Softwarepakketten in "buster"
- 0ad (0.0.23.1-2)
- Real-time strategy game of ancient warfare
- 0ad-data (0.0.23.1-1)
- Real-time strategy game of ancient warfare (data files)
- 0ad-data-common (0.0.23.1-1)
- Real-time strategy game of ancient warfare (common data files)
- 0install (2.12.3-2)
- cross-distribution packaging system
- 0install-core (2.12.3-2)
- cross-distribution packaging system (non-GUI parts)
- 0xffff (0.8-1)
- Open Free Fiasco Firmware Flasher
- 2048-qt (0.1.6-1+b1)
- mathematics based puzzle game
- 2ping (4.3-1)
- Pinghulpmiddel om gerichte pakketverlies te bepalen
- 2to3 (3.7.3-1)
- 2to3 binary using python3
- 2vcard (0.6-1)
- perl script to convert an addressbook to VCARD file format
- 3270-common (3.6ga4-3+b1)
- Common files for IBM 3270 emulators and pr3287
- 389-ds (1.4.0.21-1+deb10u1) [security]
- 389 Directory Server suite - metapackage
- 389-ds-base (1.4.0.21-1+deb10u1) [security]
- 389 Directory Server suite - server
- 389-ds-base-dev (1.4.0.21-1+deb10u1) [security]
- 389 Directory Server suite - development files
- 389-ds-base-legacy-tools (1.4.0.21-1+deb10u1) [security]
- Legacy utilities for 389 Directory Server
- 389-ds-base-libs (1.4.0.21-1+deb10u1) [security]
- 389 Directory Server suite - libraries
- 3dchess (0.8.1-20)
- Speel schaak over 3 borden!
- 3depict (0.0.21-1)
- visualisatie en analyse voor single valued point data
- 4digits (1.1.4-1+b1)
- guess-the-number game, aka Bulls and Cows
- 4g8 (1.0-3.2)
- Pakketten onderscheppen in geschakelde netwerken
- 4pane (5.0-2)
- four-pane detailed-list file manager
- 4store (1.1.6+20151109-2+b3)
- RDF database storage and query engine -- database daemon
- 4ti2 (1.6.9+ds-1)
- mathematical tool suite for problems on linear spaces -- tools
- 4ti2-doc (1.6.9+ds-1)
- mathematical tool suite for problems on linear spaces -- user guide
- 6tunnel (1:0.12-1)
- TCP-proxy voor niet-IPv6 toepassingen
- 7kaa (2.15.1+dfsg-1)
- Seven Kingdoms Ancient Adversaries: real-time strategiespel
- 7kaa-data (2.15.1+dfsg-1)
- Seven Kingdoms Ancient Adversaries - game data
- 9base (1:6-7+b1)
- Plan 9 userland tools
- (1.9-2)
- Creates X menus from the shell
- 9mount (1.3+hg20170412-1)
- Plan 9 filesystem (v9fs) user mount utilities
- 9wm (1.4.1-1)
- X11 window manager inspired by Plan 9's rio
- a2jmidid (8~dfsg0-3)
- Daemon for exposing legacy ALSA MIDI in JACK MIDI systems
- a2ps (1:4.14-4)
- GNU a2ps - 'Anything to PostScript' converter and pretty-printer
- a52dec
- virtueel pakket geboden door liba52-0.7.4-dev
- a52dec-dev
- virtueel pakket geboden door liba52-0.7.4-dev
- a56 (1.3+dfsg-9)
- Motorola DSP56001 assembler
- a7xpg (0.11.dfsg1-10)
- achtervolgings actiespel
- a7xpg-data (0.11.dfsg1-10)
- achtervolgings actiespel - speldata.
- aa3d (1.0-8+b2)
- ASCII-kunst stereogram generator
- aac-enc (0.1.6-1) [non-free]
- Fraunhofer FDK AAC Codec Library - frontend binary
- aajm (0.4-9+b2)
- ASCII art version of jugglemaster
- aalib-bin
- virtueel pakket geboden door libaa-bin
- aalib1
- virtueel pakket geboden door libaa1
- aaphoto (0.45-1)
- Auto Adjust Photo, automatische kleurcorrectie van foto's
- aapt (1:8.1.0+r23-3)
- Android Asset Packaging Tool
- aapt
- virtueel pakket geboden door google-android-build-tools-installer
- abacas (1.3.1-5)
- close gaps in genomic alignments from short reads
- abcde (2.9.3-1)
- A Better CD Encoder
- abci (0.0~git20170124.0.f94ae5e-2+b33)
- Tendermint's Serverside Blockchain API
- abcm2ps (8.14.2-0.2)
- Translates ABC music description files to PostScript
- abcmidi (20190101-1)
- converter from ABC to MIDI format and back
- abe (1.1+dfsg-3)
- side-scrolling game named "Abe's Amazing Adventure"
- abe-data (1.1+dfsg-3)
- side-scrolling game named "Abe's Amazing Adventure" -- data
- abgate (1.1.9-1)
- LV2 noise gate plug-in
- abi-compliance-checker (2.3-0.2)
- tool to compare ABI compatibility of shared C/C++ library versions
- abi-dumper (1.1-1)
- tool to dump ABI of an ELF object containing DWARF debug info
- abi-monitor (1.12-2)
- monitor ABI of shared libraries
- abi-tracker (1.11-1)
- visualize ABI changes of a C/C++ library
- abicheck (1.2-5)
- Controlehulpmiddel voor binaire compatibiliteit
- abigail-doc (1.5-1)
- ABI Generic Analysis and Instrumentation Library (documentation)
- abigail-tools (1.5-1)
- ABI Generic Analysis and Instrumentation Library (tools)
- abinit (8.8.4-2)
- package for electronic structure calculations
- abinit-data (8.8.4-2)
- package for electronic structure calculations (Data files)
- abinit-doc (8.8.4-2)
- package for electronic structure calculations (Documentation)
- abisip-find (1.3.0-2)
- Command line utility to find ip.access compatible BTS
- abiword (3.0.2-8)
- efficiënte tekstverwerker met veel functies en mogelijkheden tot samenwerking
- abiword-common (3.0.2-8)
- Efficiënte tekstverwerker met veel functies, waaronder mogelijkheden tot samenwerking -- algemene bestanden
- abiword-plugin-grammar (3.0.2-8)
- grammar checking plugin for AbiWord
- ableton-link-dev (3.0.2+dfsg-1)
- synchronizes musical applications on multiple devices - development
- ableton-link-utils (3.0.2+dfsg-1)
- synchronizes musical applications on multiple devices - cmdline utils
- ableton-link-utils-gui (3.0.2+dfsg-1)
- synchronizes musical applications on multiple devices - GUI utils
- abntex (0.9~beta2-5.1)
- LaTeX-class om documenten te schrijven in de ABNT-standaard
- abook (0.6.1-1+b2)
- tekst-gebasseerd ncurses adresboek.
- abootimg (0.6-1+b2)
- Tool to read/write/update android boot images
- abr2gbr (1:1.0.2-2+b2)
- Zet Photoshop kwasten om naar GIMP
- abs-guide (10-3)
- The Advanced Bash-Scripting Guide
- abw2epub (0.9.6-2)
- AbiWord to EPUB format converter
- abw2odt (0.9.6-2)
- AbiWord to OpenDocument converter
- abx (0.0~b1-1+b1)
- audio ABX testing software
- abyss (2.1.5-7)
- de novo, parallel, sequence assembler for short reads
- accerciser (3.22.0-7)
- interactive Python accessibility explorer for the GNOME desktop
- accountsservice (0.6.45-2)
- query and manipulate user account information
- accountwizard (4:18.08.3-1)
- wizard for KDE PIM applications account setup
- acct (6.6.4-2)
- GNU Accounting utilities for process and login accounting
- ace (0.0.5-3+b11)
- HTML template engine for Go (command-line tool)
- ace-gperf (6.4.5+dfsg-1+b12)
- ACE perfect hash function generator
- ace-netsvcs (6.4.5+dfsg-1+b12)
- ACE network service implementations
- ace-of-penguins (1.5~rc2-3)
- penguin-themed solitaire games
- acedb-other (4.9.39+dfsg.02-4)
- retrieval of DNA or protein sequences
- acedb-other-belvu (4.9.39+dfsg.02-4)
- transitional package for belvu
- acedb-other-belvu
- virtueel pakket geboden door belvu
- acedb-other-dotter (4.9.39+dfsg.02-4)
- transitional package for dotter
- acedb-other-dotter
- virtueel pakket geboden door dotter
- aces3 (3.0.8-6)
- Advanced Concepts in Electronic Structure III
- aces3-data (3.0.8-6)
- Advanced Concepts in Electronic Structure III
- acetoneiso (2.4-3)
- uitgebreid programma om cd- en dvd-imagebestanden aan te koppelen en te beheren
- acfax (981011-17+b1)
- Faxen ontvangen via uw radio en geluidskaart
- acheck (0.5.7)
- Check common localisation mistakes
- acheck-rules (0.3.3)
- Basic rules for acheck
- achilles (2-9)
- Artificial life and evolution simulator
- ack (2.24-1)
- grep-like program specifically for large source trees
- ack-grep
- virtueel pakket geboden door ack
- acl (2.2.53-4)
- access control list - utilities
- acl-dev
- virtueel pakket geboden door libacl1-dev
- acl2 (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: main binary
- acl2-books (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: compiled libraries
- acl2-books-certs (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: library certificates
- acl2-books-source (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: library sources
- acl2-doc (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: documentation
- acl2-emacs (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: emacs interface
- acl2-infix (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: infix interface
- acl2-infix-source (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: infix source
- acl2-source (8.0dfsg-1)
- Computational Logic for Applicative Common Lisp: source files
- aclock.app (0.4.0-2+b1)
- Analog dockapp clock for GNUstep
- acm (5.0-29.2)
- Multi-player classic aerial combat simulation
- acme (1:0.96.2-1)
- Multi-platform cross assembler for 6502/6510/65816 CPU
- acme-tiny (1:4.0.4-1+deb10u1)
- letsencrypt tiny Python client
- acmetool (0.0.62-3+deb10u1) [security]
- automatic certificate acquisition tool for Let's Encrypt
- aconnectgui (0.9.0rc2-1-10)
- graphical ALSA sequencer connection manager
- acorn-fdisk (3.0.6-10)
- partition editor for Acorn/RISC OS machines
- acoustid-fingerprinter (0.6-6+b1)
- Acoustid fingerprinter
- acpi (1.7-1.1)
- weergeven van informatie over ACPI apparaten
- acpi-call
- virtueel pakket geboden door acpi-call-dkms
- acpi-call-dkms (1.1.0-5)
- Kernel module that enables you to call ACPI methods
- acpi-fakekey (0.142-8+b1)
- tool to generate fake key events
- acpi-modules
- virtueel pakket geboden door acpi-modules-4.19.0-20-686-di, acpi-modules-4.19.0-21-amd64-di, acpi-modules-4.19.0-21-686-pae-di, acpi-modules-4.19.0-21-686-di, acpi-modules-4.19.0-20-686-pae-di, acpi-modules-4.19.0-20-amd64-di
- acpi-modules-4.19.0-20-686-di (4.19.235-1)
- ACPI support modules
- acpi-modules-4.19.0-20-686-pae-di (4.19.235-1)
- ACPI support modules
- acpi-modules-4.19.0-20-amd64-di (4.19.235-1)
- ACPI support modules
- acpi-modules-4.19.0-21-686-di (4.19.249-2)
- ACPI support modules
- acpi-modules-4.19.0-21-686-pae-di (4.19.249-2)
- ACPI support modules
- acpi-modules-4.19.0-21-amd64-di (4.19.249-2)
- ACPI support modules
- acpi-support (0.142-8)
- scripts for handling many ACPI events
- acpi-support-base (0.142-8)
- scripts for handling base ACPI events such as the power button
- acpica-tools (20181213-1)
- ACPICA tools for the development and debug of ACPI tables
- acpid (1:2.0.31-1)
- Instelprogramma geavanceerde gebeurtenissen en energiebeheer (ACPI)
- acpidump
- virtueel pakket geboden door acpica-tools
- acpitail (0.1-4+b1)
- Show ACPI information in a tail-like style
- acpitool (0.5.1-4+b4)
- command line ACPI client
- acpitool-dbg (0.5.1-4+b4)
- command line ACPI client (debug)
- acr (1.7.2-1)
- autoconf like tool
- actiona (3.10.0-1)
- emulate human activity through a powerful GUI and JavaScript
- actionaz (3.10.0-1)
- transitional dummy package
- activemq (5.15.16-0+deb10u1) [security]
- Java message broker - server
- activity-log-manager (0.8.0-1.2)
- blacklist configuration user interface for Zeitgeist
- ada-reference-manual-2005 (1:2012.3-2)
- Ada 2005 language standard
- ada-reference-manual-2012 (1:2012.3-2)
- Ada 2012 language standard
- adabrowse (4.0.3-10)
- HTML generator for Ada 95 library unit specifications
- adacontrol (1.20r7-3)
- Ada rules controller
- adacontrol-doc (1.20r7-3)
- Ada rules controller (documentation)
- adapta-gtk-theme (3.95.0.11-1)
- Adaptive Gtk+ theme
- adapta-kde (20180828-2)
- Port of the popular Gtk theme Adapta for Plasma 5 desktop
- adapterremoval (2.2.3-1)
- rapid adapter trimming, identification, and read merging of gene sequences
- adapterremoval-examples (2.2.3-1)
- rapid adapter trimming, identification, and read merging (example data)
- adb (1:8.1.0+r23-5)
- Android Debug Bridge
- adcli (0.8.2-1+b1)
- Tool for performing actions on an Active Directory domain
- addresses-goodies-for-gnustep (0.4.8-3+b1)
- Personal Address Manager for GNUstep (Goodies)
- addressmanager.app (0.4.8-3+b1)
- Personal Address Manager for GNUstep
- adduser (3.118)
- toevoegen en verwijderen van gebruikers en groepen
- adequate (0.15.2)
- Debian package quality testing tool
- adjtimex (1.29-10)
- kernel time variables configuration utility
- adlibtracker2 (2.4.24-1)
- userfriendly tracker aimed for the OPL3 FM-chip
- admesh (0.98.3-3)
- Tool for processing triangulated solid meshes. Binary
- adminer (4.7.1-1+deb10u1)
- Web-based database administration tool
- adms (2.3.6-2)
- Automatic device model synthesizer for Verilog-AMS
- adns-tools (1.5.0~rc1-1.1)
- Asynchronous-capable DNS client utilities
- adonthell (0.3.8-1)
- 2D graphical roleplaying game
- adonthell-data (0.3.8-1)
- Data files needed by Adonthell
- adplay (1.7-4)
- console-based OPL2 audio player
- adplug-utils (2.2.1+dfsg3-1)
- free AdLib sound library (utils)
- adql-java (1.4-1)
- Parse, manipulate and translate ADQL queries with Java
- adql-java-doc (1.4-1)
- Parse, manipulate and translate ADQL queries (API doc)
- adun-core (0.81-13)
- Molecular Simulator
- adun.app (0.81-13)
- Molecular Simulator for GNUstep (GUI)
- adv-17v35x-dkms (5.0.3.0-2)
- dkms driver sources for Advantech PCI/PCIe ACOM Series adapters
- advancecomp (2.1-2.1)
- Verzameling hulpmiddelen voor hercompressie
- adventure
- virtueel pakket geboden door colossal-cave-adventure, bsdgames
- advi (1.10.2-4)
- active DVI previewer and presenter
- advi-examples (1.10.2-4)
- example presentations for Active-DVI (advi)
- adwaita-icon-theme (3.30.1-1)
- default icon theme of GNOME
- adwaita-qt (1.0-2)
- Qt 5 port of GNOME’s Adwaita theme
- aegean (0.16.0+dfsg-1)
- integrated genome analysis toolkit
- aegisub (3.2.2+dfsg-4+deb10u1)
- advanced subtitle editor
- aegisub-l10n (3.2.2+dfsg-4+deb10u1)
- aegisub language packages
- aeolus (0.9.5-1)
- Synthesised pipe organ emulator
- aephea (12-248-3)
- text-based authoring tool for HTML
- aes2501-wy (0.1-5+b2)
- userspace software for usb aes2501 fingerprint scanner
- aesfix (1.0.1-6)
- tool for correcting bit errors in an AES key schedule
- aeskeyfind (1:1.0-5)
- tool for locating AES keys in a captured memory image
- aeskulap (0.2.2-beta2+git20180219.8787e95-2)
- medische afbeeldingenviewer en DICOM-netwerkclient
- aeson-pretty (0.8.7-3+b1)
- JSON pretty-printing tool
- aespipe (2.4d-1+b1)
- AES-encryption tool with loop-AES support
- aevol (5.0-2+b1)
- digital genetics model to run Evolution Experiments in silico
- aewan (1.0.01-4.1+b1)
- ASCII-art Editor Without A Name
- aewm (1.3.12-3)
- minimalist window manager for X11
- aewm++ (1.1.2-5.1)
- minimal window manager written in C++
- aewm++-goodies (1.0-10)
- utilities to complement a minimal window manager
- afew (1.3.0-1)
- Tagging script for notmuch mail
- affiche.app (0.6.0-10+b1)
- Application to "stick" little notes on the desktop
- afflib-tools (3.7.17-5)
- Advanced Forensics Format Library (utilities)
- afio (2.5.1.20160103+gitc8e4317-1) [non-free]
- archive file manipulation program
- afl (2.52b-5)
- instrumentation-driven fuzzer for binary formats
- afl-clang (2.52b-5)
- instrumentation-driven fuzzer for binary formats - clang support
- afl-cov (0.6.2-1)
- code coverage for afl (American Fuzzy Lop)
- afl-doc (2.52b-5)
- instrumentation-driven fuzzer for binary formats - documentation
- afnix (2.8.1-2)
- Compiler and run-time for the AFNIX programming language
- afnix-doc (2.8.1-2)
- Compiler and run-time for the AFNIX programming language (documentation)
- aft (2:5.098-4)
- "free form" document preparation system
- afterstep (2.2.12-12)
- window manager with the NEXTSTEP look and feel
- afterstep-data (2.2.12-12)
- data files for AfterStep window manager
- afuse (0.4.1-1+b3)
- automounting file system implemented in user-space using FUSE
- agda (2.5.4.1-3)
- dependently typed functional programming language
- agda-bin (2.5.4.1-3+b1)
- commandline interface to Agda
- agda-mode (2.5.4.1-3)
- transitional dummy package for elpa-agda2-mode
- agda-mode
- virtueel pakket geboden door elpa-agda2-mode
- agda-stdlib (0.17-1)
- standard library for Agda
- agda-stdlib-doc (0.17-1)
- standard library for Agda — documentation
- agedu (9723-1+b1)
- Unix utility for tracking down wasted disk space
- agenda.app (0.44-2+b1)
- Calendar manager for GNUstep
- agent-transfer (0.43-3)
- copy a secret key from GnuPG's gpg-agent to OpenSSH's ssh-agent
- aggregate (1.6-7+b1)
- ipv4 cidr prefix aggregator
- aghermann (1.1.2-2)
- Sleep-research experiment manager
- aglfn (1.7-3)
- Adobe Glyph List For New Fonts
- agrep (4.17-9.1) [non-free]
- text search tool with support for approximate patterns
- agtl (0.8.0.3-1.1)
- Tool for paperless geocaching
- aha (0.5-1)
- ANSI color to HTML converter
- ahcpd (0.53-2+b1)
- Ad-Hoc Configuration Protocol
- aho-corasick (0.6.9-1)
- Fast multiple substring searching with finite state machines
- aide (0.16.1-1+deb10u1)
- Geavanceerde omgeving voor inbraakdetectie - statische binary
- aide-binary
- virtueel pakket geboden door aide-xen, aide, aide-dynamic
- aide-common (0.16.1-1+deb10u1)
- Geavanceerde omgeving voor inbraakdetectie - veelgebruikte bestanden
- aide-dynamic (0.16.1-1+deb10u1)
- Advanced Intrusion Detection Environment - dynamic binary
- aide-xen (0.16.1-1+deb10u1)
- Advanced Intrusion Detection Environment - static binary for XEN
- aidl (1:8.1.0+r23-1)
- Binder generator of AIDL interfaces
- aiksaurus (1.2.1+dev-0.12-6.3)
- This package provides an English-language thesaurus (utility)
- air-quality-sensor (0.1.4.2-1)
- user space driver for AppliedSensor's Indoor Air Monitor
- aircrack-ng (1:1.5.2-3)
- wireless WEP/WPA cracking utilities
- airgraph-ng (1:1.5.2-3)
- Tool to graph txt files created by aircrack-ng
- airport-utils (2-6)
- configuration and management utilities for Apple AirPort base stations
- airspy (1.0.9-3)
- Tiny and efficient software defined radio receiver - utilities
- airstrike (0.99+1.0pre6a-9)
- 2d dogfight game in the tradition of 'Biplanes' and 'BIP'
- airstrike-common (0.99+1.0pre6a-9)
- 2d dogfight game - data files
- aiscm (0.18.1-1+b1)
- Guile numerical arrays and tensor extension
- aisleriot (1:3.22.7-2)
- GNOME solitaire card game collection
- aj-snapshot (0.9.8-1)
- make snapshots of JACK connections
- ajaxterm (0.10-13)
- Web based terminal written in Python
- akonadi-backend-mysql (4:18.08.3-7~deb10u1)
- MySQL storage backend for Akonadi
- akonadi-backend-postgresql (4:18.08.3-7~deb10u1)
- PostgreSQL storage backend for Akonadi
- akonadi-backend-sqlite (4:18.08.3-7~deb10u1)
- SQLite storage backend for Akonadi
- akonadi-contacts-data (4:18.08.3-1)
- Akonadi contacts access library - data files
- akonadi-import-wizard (4:18.08.3-1)
- PIM data import wizard
- akonadi-mime-data (4:18.08.3-1)
- Akonadi MIME handling library - data files
- akonadi-server (4:18.08.3-7~deb10u1)
- Akonadi PIM storage service
- akonadiconsole (4:18.08.3-1)
- management and debugging console for akonadi
- akqml (8.5.0+dfsg-2)
- full featured webcam capture application - qml module
- akregator (4:18.08.3-2)
- RSS/Atom feed aggregator
- alacarte (3.11.91-4)
- Gemakkelijke hulpmiddel om het GNOME-menu aan te passen
- aladin (10.076+dfsg-1)
- Interactive sky atlas for astronomical images and datasets
- albatross-gtk-theme (1.7.4-1)
- dark and light GTK+ theme from the Shimmer Project
- album (4.15-1) [non-free]
- HTML photo album generator with theme support
- aldo (0.7.7-1+b4)
- Morse code training program
- ale (0.9.0.3-3)
- synthetic capture engine and renderer
- alembic (1.0.0-3)
- lightweight database migration tool for SQLAlchemy
- alevt (1:1.6.2-5.1+b2)
- X11 Teletext/Videotext browser
- alevt
- virtueel pakket geboden door dvb-apps
- alex (3.2.4-4)
- lexical analyser generator for Haskell
- alex4 (1.1-8)
- Alex the Allegator 4 - a retro platform game
- alex4-data (1.1-8)
- Alex the Allegator 4 - game data
- alfa (1.0-3+b1)
- Automated Line Fitting Algorithm
- alfred (2018.2-1)
- Almighty Lightweight Fact Remote Exchange Daemon
- algobox (1.0.2+dfsg-2)
- algorithmics introduction - French UI
- algol68g (2.8.4-1)
- Implementation of Algol 68 as defined by the Revised Report
- alice (0.19-2)
- Web browser (WebKit or Gecko) based IRC client
- alien (8.95)
- converteren en installeren van rpm en andere pakketten
- alien-arena (7.66+dfsg-5) [contrib]
- Standalone 3D first person online deathmatch shooter
- alien-arena-data (7.66-3) [non-free]
- Game data files for Alien Arena
- alien-arena-server (7.66+dfsg-5) [contrib]
- Dedicated server for Alien Arena
- alien-hunter (1.7-7)
- Interpolated Variable Order Motifs to identify horizontally acquired DNA
- alienblaster (1.1.0-10)
- Classic 2D shoot 'em up
- alienblaster-data (1.1.0-10)
- Game data for Alien Blaster
- aliki (0.3.0-3)
- Measurement tool for Impulse Responses
- all-knowing-dns (1.7-2)
- tiny DNS server for IPv6 Reverse DNS
- allegro4-doc (2:4.4.2-13)
- documentation for the Allegro library
- allegro5-doc (2:5.2.4.0-3)
- documentation for the Allegro 5 library
- alliance (5.1.1-3)
- VLSI CAD Tools
- alljoyn-daemon-1504 (15.04b+dfsg.1-3)
- AllJoyn daemon service
- alljoyn-daemon-1509 (15.09a+dfsg.1-3)
- AllJoyn daemon service
- alljoyn-daemon-1604 (16.04a+dfsg.1-3)
- AllJoyn daemon service
- alljoyn-gateway-1504 (15.04~git20160606-4)
- AllJoyn gateway agent for 1504
- alljoyn-services-1504 (15.04-8)
- AllJoyn base services for 1504
- alljoyn-services-1509 (15.09-6)
- AllJoyn base services for 1509
- alljoyn-services-1604 (16.04-5)
- AllJoyn base services for 1604
- alljoyn-thin-client-1504 (15.04b-3)
- AllJoyn thin client for 1504
- alljoyn-thin-client-1509 (15.09a-3)
- AllJoyn thin client for 1509
- alljoyn-thin-client-1604 (16.04-3)
- AllJoyn thin client for 1604
- allure (0.8.3.0-3)
- near-future Sci-Fi roguelike and tactical squad game
- almanah (0.11.1-2+b1)
- Application to ease management of a personal diary
- alot (0.8.1-1+deb10u1)
- Text mode MUA using notmuch mail
- alot-doc (0.8.1-1+deb10u1)
- Text mode MUA using notmuch mail - documentation
- alpine (2.21+dfsg1-1.1)
- Text-based email client, friendly for novices but powerful
- alpine-doc (2.21+dfsg1-1.1)
- Text-based email client's documentation
- alpine-pico (2.21+dfsg1-1.1)
- Eenvoudige tekst-editor van Alpine, een tekst-gebaseerde e-mailclient
- alqalam (0.2-8)
- Qur'an typesetting macros for TeX/LaTeX
- alsa-firmware-loaders (1.1.7-1) [contrib]
- ALSA software loaders for specific hardware
- alsa-oss (1.1.8-1)
- ALSA wrapper for OSS applications
- alsa-tools (1.1.7-1)
- Console based ALSA utilities for specific hardware
- alsa-tools-gui (1.1.7-1)
- GUI based ALSA utilities for specific hardware
- alsa-utils (1.1.8-2)
- Utilities for configuring and using ALSA
- alsa-utils-udeb (1.1.8-2)
- Utilities for configuring and using ALSA (udeb)
- alsamixergui (0.9.0rc2-1-10)
- graphical soundcard mixer for ALSA soundcard driver
- alsaplayer
- virtueel pakket geboden door alsaplayer-common
- alsaplayer-alsa (0.99.81-2)
- alsaplayer output module for ALSA
- alsaplayer-common (0.99.81-2)
- audio player (common files)
- alsaplayer-daemon (0.99.81-2)
- alsaplayer daemon
- alsaplayer-gtk (0.99.81-2)
- alsaplayer gtk interface
- alsaplayer-interface
- virtueel pakket geboden door alsaplayer-gtk, alsaplayer-xosd, alsaplayer-text, alsaplayer-daemon
- alsaplayer-jack (0.99.81-2)
- alsaplayer output module for JACK
- alsaplayer-nas (0.99.81-2)
- alsaplayer output module for NAS
- alsaplayer-oss (0.99.81-2)
- alsaplayer output module for OSS
- alsaplayer-output
- virtueel pakket geboden door alsaplayer-oss, alsaplayer-nas, alsaplayer-jack, alsaplayer-alsa
- alsaplayer-text (0.99.81-2)
- alsaplayer text interface
- alsaplayer-xosd (0.99.81-2)
- alsaplayer XOSD display module
- alsoft-conf (1.4.3-2)
- OpenAL-Soft configuration utility
- alt-ergo (2.0.0-3)
- Automatic theorem prover dedicated to program verification
- alter-sequence-alignment (1.3.4-2)
- genomic sequences ALignment Transformation EnviRonment
- altermime (0.3.10-9)
- utility used to alter mime-encoded mailpacks
- altos (1.9-3)
- Altus Metrum firmware and utilities
- altree (1.3.1-7+b1)
- program to perform phylogeny-based association and localization analysis
- altree-examples (1.3.1-7)
- example files for ALTree
- alttab (1.3.0-1)
- task switcher for minimalistic WMs or standalone X session
- alure-doc (1.2-6)
- AL Utilities REtooled (documentation)
- alure-utils (1.2-6+b1)
- AL Utilities REtooled (utilities)
- amanda-client (1:3.5.1-2+deb10u2) [security]
- Advanced Maryland Automatic Network Disk Archiver (Client)
- amanda-common (1:3.5.1-2+deb10u2) [security]
- Advanced Maryland Automatic Network Disk Archiver (Libs)
- amanda-server (1:3.5.1-2+deb10u2) [security]
- Advanced Maryland Automatic Network Disk Archiver (Server)
- amap-align (2.2+git20080214.600fc29+dfsg-1)
- Protein multiple alignment by sequence annealing
- amavis
- virtueel pakket geboden door amavisd-new
- amavisd-milter (1.5.0-5)
- amavisd-new interface for milter-capable MTAs
- amavisd-milter-dbg (1.5.0-5)
- amavisd-new interface for milter-capable MTAs - debugging symbols
- amavisd-new (1:2.11.0-6.1)
- interface tussen MTA en virusscanner/inhoudfilters
- amavisd-new-milter
- virtueel pakket geboden door amavisd-milter
- amazon-ecr-credential-helper (0.2.0-1+b10)
- Amazon ECR Credential Helper for Docker
- amb-plugins (0.8.1-7)
- ambisonics LADSPA plugins
- ambdec (0.7.1-1)
- Ambisonic decoder for first and second order
- amber (0.0~git20171010.cdade1c-1+b11)
- Elegant HTML templating engine for Go, inspired from HAML and Jade (CLI tool)
- amd64-microcode (3.20230719.1~deb10u1) [non-free] [security]
- Processor microcode firmware for AMD CPUs
- amide (1.0.5-12+b1)
- software for Medical Imaging
- amideco (0.31e-3.1+b2)
- flash-bestanden voor een AMI-BIOS uitpakken
- amiga-fdisk-cross (0.04-15+b1)
- Partition editor for Amiga partitions (cross version)
- amispammer (3.3-2)
- Controleer blacklists voor mailservers
- amiwm (0.21pl2-1) [non-free]
- Amiga look alike window manager
- amoeba (1.1-30) [contrib]
- fast-paced, polished OpenGL demonstration by Excess
- amoeba-data (1.1-6) [non-free]
- Fast-paced, polished OpenGL demonstration by Excess (data)
- amoebax (0.2.1+dfsg-4)
- Puyo Puyo-style puzzle game for up to two players
- amoebax-data (0.2.1+dfsg-4)
- Data files for amoebax
- amora-applet (1.2~svn+git2015.04.25-1+b2)
- use a bluetooth device as X remote control (systray applet)
- amora-cli (1.2~svn+git2015.04.25-1+b2)
- use a bluetooth device as X remote control (commandline tool)
- amora-server
- virtueel pakket geboden door amora-cli, amora-applet
- amphetamine (0.8.10-21)
- jump'n run game with unique visual effects
- amphetamine-data (0.8.7-15)
- data files for the game "Amphetamine"
- ample (0.5.7-8)
- simple MP3 server easy to use
- ampliconnoise (1.29-8)
- removal of noise from 454 sequenced PCR amplicons
- ampr-ripd (2.4-1)
- Routing daemon for AMPRnet gateway announcements
- amqp-specs (1-0r0-3)
- specs for the Advanced Message Queuing Protocol (AMQP)
- amqp-tools (0.9.0-0.2)
- Command-line utilities for interacting with AMQP servers
- ams (2.1.1-1.1+b1)
- Realtime modulaire synthesizer voor ALSA
- amsynth (1.8.0-1)
- two oscillator software synthesizer
- amtterm (1.4-2)
- Serial-over-lan (sol) client for Intel AMT, console version
- amule (1:2.3.2-5)
- client for the eD2k and Kad networks, like eMule
- amule-common (1:2.3.2-5)
- algemene bestanden voor de andere aMule pakketten.
- amule-daemon (1:2.3.2-5)
- niet-grafische versie van aMule, een client voor eD2k- en Kad-netwerken
- amule-emc (0.5.2-4)
- toon ed2k-links in emulecollection-bestanden
- amule-gnome-support (1:2.3.2-5)
- ed2k links handling support for GNOME web browsers
- amule-utils (1:2.3.2-5)
- hulpmiddelen voor aMule (opdrachtregel-versie)
- amule-utils-gui (1:2.3.2-5)
- grafische hulpmiddelen voor aMule
- an (1.2-5)
- very fast anagram generator
- anacron (2.3-28)
- cron-like program that doesn't go by time
- anacron
- virtueel pakket geboden door systemd-cron
- analitza-common (4:17.08.3-2)
- common files for Analitza
- analog (2:6.0-22)
- webserver log analyzer
- anarchism (15.1-9)
- Exhaustive exploration of Anarchist theory and practice
- anbox (0.0~git20190124-1) [contrib]
- Android in a box
- and (1.2.2-4.1+b2)
- Auto Nice Daemon
- andi (0.12-4)
- Efficient Estimation of Evolutionary Distances
- androguard (3.3.3-1)
- full Python tool to play with Android files
- android-androresolvd (1.3-1+b1)
- Daemon to transfer Android DNS property to resolv.conf
- android-framework-res (1:8.1.0+r23-3)
- Android platform framework resources
- android-libaapt (1:8.1.0+r23-3)
- Android Asset Packaging Tool - Shared library
- android-libadb (1:8.1.0+r23-5)
- Library for Android Debug Bridge
- android-libadb-dev (1:8.1.0+r23-5)
- Library for Android Debug Bridge - Development files
- android-libandroidfw (1:8.1.0+r23-3)
- Android utility library
- android-libandroidfw-dev (1:8.1.0+r23-3)
- Android utility library - Development files
- android-libart (8.1.0+r23-3)
- Android Runtime
- android-libbacktrace (1:8.1.0+r23-5)
- Android backtrace library
- android-libbacktrace-dev (1:8.1.0+r23-5)
- Android backtrace library - Development files
- android-libbase (1:8.1.0+r23-5)
- Android base library
- android-libbase-dev (1:8.1.0+r23-5)
- Android base library - Development files
- android-libboringssl (8.1.0+r23-2)
- Google's internal fork of OpenSSL for the Android SDK
- android-libboringssl-dev (8.1.0+r23-2)
- Google's internal fork of OpenSSL for the Android SDK - devel
- android-libcrypto-utils (1:8.1.0+r23-5)
- Android crypto-utils library
- android-libcrypto-utils-dev (1:8.1.0+r23-5)
- Android crypto-utils library - Development files
- android-libcutils (1:8.1.0+r23-5)
- Android utils library for C
- android-libcutils-dev (1:8.1.0+r23-5)
- Android utils library for C - Development files
- android-libetc1 (1:8.1.0+r23-2)
- ETC1 compression library
- android-libetc1-dev (1:8.1.0+r23-2)
- ETC1 compression library - Development files
- android-libext4-utils (8.1.0+r23-2)
- Android ext4 utility library
- android-libext4-utils-dev (8.1.0+r23-2)
- Android ext4 utility library - Development files
- android-libf2fs-utils (8.1.0+r23-2)
- Android F2FS utility library
- android-libf2fs-utils-dev (8.1.0+r23-2)
- Android F2FS utility library - Development files
- android-liblog (1:8.1.0+r23-5)
- Android NDK logger interfaces
- android-liblog-dev (1:8.1.0+r23-5)
- Android NDK logger interfaces - Development files
- android-libnativebridge (1:8.1.0+r23-5)
- Android native bridge library
- android-libnativebridge-dev (1:8.1.0+r23-5)
- Android native bridge library - Development files
- android-libnativehelper (8.1.0+r23-1)
- Support functions for Android's class libraries
- android-libnativehelper-dev (8.1.0+r23-1)
- Support functions for Android's class libraries - Development files
- android-libnativeloader (1:8.1.0+r23-5)
- Android native loader library
- android-libnativeloader-dev (1:8.1.0+r23-5)
- Android native loader library - Development files
- android-libselinux (8.1.0+r23-2)
- Security-Enhanced Linux for Android
- android-libselinux-dev (8.1.0+r23-2)
- Security-Enhanced Linux for Android - Development files
- android-libsepol (8.1.0+r23-2)
- Security-Policy Linux for Android
- android-libsepol-dev (8.1.0+r23-2)
- Security-Policy Linux for Android - Development files
- android-libsparse (1:8.1.0+r23-5)
- Library for sparse files
- android-libsparse-dev (1:8.1.0+r23-5)
- Library for sparse files - Development files
- android-libunwind (8.1.0+r23-2)
- libunwind for Android
- android-libunwind-dev (8.1.0+r23-2)
- libunwind for Android - Development files
- android-libutils (1:8.1.0+r23-5)
- Android Utility Function Library
- android-libutils-dev (1:8.1.0+r23-5)
- Android Utility Function Library - Development files
- android-libziparchive (1:8.1.0+r23-5)
- Library for ZIP archives
- android-libziparchive-dev (1:8.1.0+r23-5)
- Library for ZIP archives - Development files
- android-logtags-tools (1:8.1.0+r23-2)
- Tools from AOSP that process event-log-tags files
- android-platform-frameworks-native-headers (1:8.1.0+r23-2)
- Headers of android-platform-frameworks-native
- android-platform-libcore-headers (8.1.0+r23-2)
- Header files in AOSP repository platform/libcore
- android-platform-system-core-headers (1:8.1.0+r23-5)
- Shared headers in AOSP repository platform/system/core
- android-sdk (25.0.0+11+deb10u1)
- Software development kit for Android platform
- android-sdk-build-tools (27.0.1+11+deb10u1)
- Tools for building Android applications
- android-sdk-build-tools-common (27.0.1+11+deb10u1)
- Tools for building Android applications - Common files
- android-sdk-common (25.0.0+11+deb10u1)
- Common files of Android SDK base toolset
- android-sdk-ext4-utils (8.1.0+r23-2)
- Android ext4-utils tools
- android-sdk-libsparse-utils (1:8.1.0+r23-5)
- Android sparse image creation tool
- android-sdk-platform-23 (6.0.1+r72-5)
- Android SDK Platform for API Level 23 (6.0 Marshmallow)
- android-sdk-platform-tools (27.0.0+11+deb10u1)
- Tools for interacting with an Android platform
- android-sdk-platform-tools-common (27.0.0+11+deb10u1)
- Tools for interacting with an Android platform - Common files
- android-system-dev
- virtueel pakket geboden door android-platform-system-core-headers
- android-tools-adb (1:8.1.0+r23-5)
- transitional package
- android-tools-adb
- virtueel pakket geboden door adb
- android-tools-adbd (5.1.1.r38-1.1)
- Android Debug Bridge daemon
- android-tools-fastboot (1:8.1.0+r23-5)
- transitional package
- android-tools-fastboot
- virtueel pakket geboden door fastboot
- android-tools-fsutils (5.1.1.r38-1.1)
- Android ext4 utilities with sparse support
- android-tools-mkbootimg (1:8.1.0+r23-5)
- transitional package
- anfo (0.98-7)
- Short Read Aligner/Mapper from MPG
- angband (1:3.5.1-2.3)
- Single-player, text-based, dungeon simulation game
- angband-data (1:3.5.1-2.3)
- Game data for angband
- angrydd (1.0.1-12)
- Angry Drunken Dwarves - falling blocks puzzle game
- animals (201207131226-2.1)
- Traditional AI animal guessing engine using a binary tree DB
- anjuta (2:3.28.0-5)
- GNOME development IDE, for C/C++
- anjuta-common (2:3.28.0-5)
- GNOME development IDE, for C/C++ - data files
- (3.26.0-5)
- plugins and extras for anjuta
- anki (2.1.8+dfsg-1)
- extensible flashcard learning program
- ann-tools (1.1.2+doc-7)
- Approximate Nearest Neighbor Searching library (tools)
- anna (1.71)
- anna's not nearly apt, but for the Debian installer, it will do
- anomaly (1.1.0-3+b1)
- detect anomalous data in a numeric stream
- anope (2.0.6-1+b1)
- IRC Services designed for flexibility and ease of use
- anorack (0.2.4-1)
- specialized spell-checker that finds incorrect indefinite articles
- ansible (2.7.7+dfsg-1+deb10u2) [security]
- Configuration management, deployment, and task execution system
- ansible-doc (2.7.7+dfsg-1+deb10u2) [security]
- Ansible documentation and examples
- ansible-lint (4.1.0+dfsg.1-1)
- lint tool for Ansible playbooks
- ansible-tower-cli (3.3.0-1)
- command line tool for Ansible Tower and AWX Project
- ansible-tower-cli-doc (3.3.0-1)
- documentation for tower-cli command line tool and library
- ansiweather (1.11-1)
- Weather in your terminal, with ANSI colors and Unicode symbols
- ant (1.10.5-2)
- Java based build tool like make
- ant-contrib (1.0~b3+svn177-10)
- collection of tasks, types and other tools for Apache Ant
- ant-contrib-cpptasks (1.0~b5-2)
- C/C++ compilation tasks for Ant.
- ant-doc (1.10.5-2)
- Java based build tool like make - API documentation and manual
- ant-optional (1.10.5-2)
- Java based build tool like make - optional libraries
- antennavis (0.3.1-4+b1)
- antenna radiation pattern visualization software
- anthy (1:0.3-8.1)
- Japanese kana-kanji conversion - utilities
- anthy-common (1:0.3-8.1)
- Japanese kana-kanji conversion - dictionary
- anthy-el (1:0.3-8.1)
- Japanese kana-kanji conversion - elisp frontend
- antigravitaattori (0.0.3-8)
- Multiplayer flying saucer racing game
- antimony (0.9.3-1+b1)
- Computer-aided design CAD tool
- antiword (0.37-14)
- Converts MS Word files to text, PS, PDF and XML
- antlr (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc
- antlr-doc (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc
- antlr3 (3.5.2-9)
- language tool for constructing recognizers, compilers etc
- antlr3-doc (3.5.2-9)
- language tool for constructing compilers etc - documentation
- antlr3-gunit-maven-plugin (3.5.2-9)
- Maven plugin for gUnit, a unit test framework for ANTLR grammars
- antlr3-maven-plugin (3.5.2-9)
- Maven plugin for ANTLR 3
- antlr3.2 (3.2-16)
- language tool for constructing recognizers, compilers etc
- antlr3.2-gunit-maven-plugin (3.2-16)
- Maven plugin for gUnit, a unit test framework for ANTLR grammars
- antlr3.2-maven-plugin (3.2-16)
- Maven plugin for ANTLR 3.2
- antlr4 (4.7.2-1)
- ANTLR Parser Generator
- antlr4-doc (4.7.2-1)
- ANTLR Parser Generator (documentation)
- antlr4-maven-plugin (4.7.2-1)
- Maven plugin for ANTLR 4
- antpm (1.19-6)
- ANT+ information retrieval client for Garmin GPS products
- anypaper (2.4-2+b1)
- front-end for wallpapersetter
- anyremote (6.7.2-1)
- Remote control daemon for applications using Bluetooth, IrDA or WiFi
- anyremote-data (6.7.2-1)
- architecture independent files for anyremote
- anyremote-doc (6.7.2-1)
- Documentation for anyremote
- anytun (0.3.7-1.1)
- secure anycast tunneling protocol
- aodh-api (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - API server
- aodh-common (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - common files
- aodh-doc (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - doc
- aodh-evaluator (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - alarm evaluator
- aodh-expirer (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - expirer
- aodh-listener (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - listener
- aodh-notifier (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - alarm notifier
- aoetools (36-3)
- tools to assist in using ATA over Ethernet
- aoeui (1.7+20160302.git4e5dee9-1)
- lightweight, unobtrusive, Dvorak-optimized text editor
- aoflagger (2.13.0-1+b2)
- Find RFI in radio astronomical observations
- aoflagger-dev (2.13.0-1+b2)
- Find RFI in radio astronomical observations (development files)
- aom-tools (1.0.0-3+deb10u1) [security]
- AV1 Video Codec Library -- Tools
- aosd-cat (0.2.7-1.1+b1)
- an on screen display tool which uses libaosd
- ap-utils (1.5-3+b1)
- Access Point SNMP Utils for Linux
- apache-tools
- virtueel pakket geboden door open-infrastructure-apache-tools
- apache2 (2.4.38-3+deb10u10) [security]
- Apache HTTP Server
- apache2-api-20120211
- virtueel pakket geboden door apache2-bin
- apache2-api-20120211-openssl1.1
- virtueel pakket geboden door apache2-bin
- apache2-bin (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (modules and other binary files)
- apache2-data (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (common files)
- apache2-dev (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (development headers)
- apache2-doc (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (on-site documentation)
- apache2-ssl-dev (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (mod_ssl development headers)
- apache2-suexec
- virtueel pakket geboden door apache2-suexec-custom, apache2-suexec-pristine
- apache2-suexec-custom (2.4.38-3+deb10u10) [security]
- Apache HTTP Server configurable suexec program for mod_suexec
- apache2-suexec-pristine (2.4.38-3+deb10u10) [security]
- Apache HTTP Server standard suexec program for mod_suexec
- apache2-utils (2.4.38-3+deb10u10) [security]
- Apache HTTP Server (utility programs for web servers)
- apachedex (1.6.3-1)
- Compute APDEX from Apache-style logs
- apacheds (2.0.0~M24-3)
- Apache Directory Server
- apachetop (0.18.4-1)
- Realtime Apache monitoring tool
- apbs (1.4-1+b1)
- Adaptive Poisson Boltzmann Solver
- apcalc (2.12.7.2-2)
- Arbitrary precision calculator (original name: calc)
- apcalc-common (2.12.7.2-2)
- Arbitrary precision calculator (common files)
- apcalc-dev (2.12.7.2-2)
- Library for arbitrary precision arithmetic
- apcupsd (3.14.14-2)
- APC UPS Power Management (daemon)
- apcupsd-cgi (3.14.14-2)
- APC UPS Power Management (web interface)
- apcupsd-doc (3.14.14-2)
- APC UPS Power Management (documentation/examples)
- apel (10.8+0.20120427-19)
- portable library for emacsen
- apertium (3.5.2-1)
- Shallow-transfer machine translation engine
- apertium-af-nl (0.2.0~r58256-2)
- Apertium translation data for the Afrikaans-Dutch pair
- apertium-all-dev (3.5.2-1)
- Metapackage for all tools required for Apertium development
- apertium-apy (0.11.4-2)
- Apertium APY service
- apertium-ar-mt
- virtueel pakket geboden door apertium-mlt-ara
- apertium-ara-mlt
- virtueel pakket geboden door apertium-mlt-ara
- apertium-arg (0.1.2~r65494-2)
- Apertium single language data for Aragonese
- apertium-arg-cat (0.1.0~r64925-2)
- Apertium translation data for the Aragonese-Catalan pair
- apertium-arg-spa
- virtueel pakket geboden door apertium-spa-arg
- apertium-ast-es
- virtueel pakket geboden door apertium-es-ast
- apertium-bel (0.1.0~r81357-2)
- Apertium single language data for Belarusian
- apertium-bel-rus (0.2.0~r81186-2)
- Apertium translation data for the Belarusian-Russian pair
- apertium-bg-mk
- virtueel pakket geboden door apertium-mk-bg
- apertium-br-fr (0.5.0~r61325-3)
- Apertium linguistic data to translate between Breton and French
- apertium-ca-en
- virtueel pakket geboden door apertium-en-ca
- apertium-ca-eo
- virtueel pakket geboden door apertium-eo-ca
- apertium-ca-es
- virtueel pakket geboden door apertium-es-ca
- apertium-ca-fr
- virtueel pakket geboden door apertium-fra-cat
- apertium-ca-it (0.1.1~r57554-2)
- Apertium translation data for the Catalan-Italian pair
- apertium-ca-oc
- virtueel pakket geboden door apertium-oc-ca
- apertium-ca-pt
- virtueel pakket geboden door apertium-pt-ca
- apertium-cat (2.6.0-1)
- Apertium single language data for Catalan
- apertium-cat-arg
- virtueel pakket geboden door apertium-arg-cat
- apertium-cat-fra
- virtueel pakket geboden door apertium-fra-cat
- apertium-cat-spa
- virtueel pakket geboden door apertium-spa-cat
- apertium-cat-srd (1.0.0~r82995-2)
- Apertium translation data for the Catalan-Sardinian pair
- apertium-crh (0.2.0~r83161-2)
- Apertium single language data for Crimean Tatar
- apertium-crh-tur (0.3.0~r83159-2)
- Apertium translation data for the Crimean Tatar-Turkish pair
- apertium-cy-en (0.1.1~r57554-4)
- Apertium translation data for the Welsh-English pair
- apertium-da-sv
- virtueel pakket geboden door apertium-swe-dan
- apertium-dan (0.5.0~r67099-2)
- Apertium single language data for Danish
- apertium-dan-nor (1.3.0~r67099-2)
- Apertium translation data for the Danish-Norwegian pair
- apertium-dan-swe
- virtueel pakket geboden door apertium-swe-dan
- apertium-dev (3.5.2-1)
- Development tools and library for Apertium
- apertium-en-ca (0.9.3~r61328-2)
- Apertium translation data for the English-Catalan pair
- apertium-en-cy
- virtueel pakket geboden door apertium-cy-en
- apertium-en-eo
- virtueel pakket geboden door apertium-eo-en
- apertium-en-es (0.8.0~r57502-4)
- Apertium translation data for the English-Spanish pair
- apertium-en-eu
- virtueel pakket geboden door apertium-eu-en
- apertium-en-gl (0.5.2~r57551-2)
- Apertium translation data for the English-Galician pair
- apertium-en-mk
- virtueel pakket geboden door apertium-mk-en
- apertium-eng-hbs
- virtueel pakket geboden door apertium-hbs-eng
- apertium-eng-isl
- virtueel pakket geboden door apertium-isl-eng
- apertium-eo-ca (1:0.9.1~r60655-3)
- Apertium translation data for the Esperanto-Catalan pair
- apertium-eo-en (1.0.0~r63833-2)
- Apertium linguistic data to translate between Esperanto and English
- apertium-eo-es (1:0.9.1~r60655-3)
- Apertium translation data for the Esperanto-Spanish pair
- apertium-eo-fr (0.9.0~r57551-2)
- Apertium translation data for the Esperanto-French pair
- apertium-es-ast (1.1.0~r51165-2)
- Apertium translation data for the Spanish-Asturian pair
- apertium-es-ca (2.1.0~r79717-2)
- Transitional dummy package for apertium-spa-cat
- apertium-es-en
- virtueel pakket geboden door apertium-en-es
- apertium-es-eo
- virtueel pakket geboden door apertium-eo-es
- apertium-es-eu
- virtueel pakket geboden door apertium-eu-es
- apertium-es-fr
- virtueel pakket geboden door apertium-fr-es
- apertium-es-gl (1.0.8~r57542-3)
- Apertium translation data for the Spanish-Galician pair
- apertium-es-it (0.2.0~r78826-2)
- Transitional dummy package for apertium-spa-ita
- apertium-es-oc
- virtueel pakket geboden door apertium-oc-es
- apertium-es-pt (1.1.5+svn~57507-4)
- Apertium translation data for the Spanish-Portuguese pair
- apertium-es-ro (0.7.3~r57551-3)
- Apertium translation data for the Spanish-Romanian pair
- apertium-eu-en (0.3.1~r56205-2)
- Apertium translation data for the Basque-English pair
- apertium-eu-es (0.3.3~r56159-3)
- Apertium translation data for the Basque-Spanish pair
- apertium-fr-br
- virtueel pakket geboden door apertium-br-fr
- apertium-fr-ca
- virtueel pakket geboden door apertium-fra-cat
- apertium-fr-eo
- virtueel pakket geboden door apertium-eo-fr
- apertium-fr-es (0.9.2~r61322-3)
- Apertium translation data for the French-Spanish pair
- apertium-fra (1.5.0-1)
- Apertium single language data for French
- apertium-fra-cat (1.5.0-1)
- Apertium translation data for the French-Catalan pair
- apertium-gl-en
- virtueel pakket geboden door apertium-en-gl
- apertium-gl-es
- virtueel pakket geboden door apertium-es-gl
- apertium-gl-pt
- virtueel pakket geboden door apertium-pt-gl
- apertium-hbs (0.5.0~r68212-3)
- Apertium single language data for Serbo-Croatian
- apertium-hbs-eng (0.1.0~r57598-2)
- Apertium translation data for the Serbo-Croatian - English pair
- apertium-hbs-mkd (0.1.0~r76450-2.1)
- Apertium translation data for the Serbo-Croatian-Macedonian pair
- apertium-hbs-slv (0.1.0~r59294-2)
- Apertium translation data for the Serbo-Croatian-Slovenian pair
- apertium-hin (0.1.0~r59158-2)
- Apertium single language data for Hindi
- apertium-hin-urd
- virtueel pakket geboden door apertium-urd-hin
- apertium-id-ms (0.1.1~r57551-2)
- Apertium translation data for the Indonesian-Malay pair
- apertium-is-sv (0.1.0~r76450-2)
- Apertium translation data for the Icelandic-Swedish pair
- apertium-isl (0.1.0~r65494-2)
- Apertium single language data for Icelandic
- apertium-isl-eng (0.1.0~r66083-2)
- Apertium translation data for the Icelandic-English pair
- apertium-it-ca
- virtueel pakket geboden door apertium-ca-it
- apertium-it-es
- virtueel pakket geboden door apertium-es-it
- apertium-ita (0.10.0~r82237-2)
- Apertium single language data for Italian
- apertium-ita-spa
- virtueel pakket geboden door apertium-spa-ita
- apertium-ita-srd
- virtueel pakket geboden door apertium-srd-ita
- apertium-kaz (0.1.0~r61338-2)
- Apertium single language data for Kazakh
- apertium-kaz-tat (0.2.1~r57554-2)
- Apertium translation data for the Kazakh-Tatar pair
- apertium-lex-tools (0.2.1-1)
- Constraint-based lexical selection module
- apertium-mk-bg (0.2.0~r49489-2)
- Apertium translation data for the Macedonian-Bulgarian pair
- apertium-mk-en (0.1.1~r57554-2)
- Apertium translation data for the Macedonian-English pair
- apertium-mkd-hbs
- virtueel pakket geboden door apertium-hbs-mkd
- apertium-mlt-ara (0.2.0~r62623-2)
- Apertium translation data for the Maltese-Arabic pair
- apertium-ms-id
- virtueel pakket geboden door apertium-id-ms
- apertium-mt-ar
- virtueel pakket geboden door apertium-mlt-ara
- apertium-nl-af
- virtueel pakket geboden door apertium-af-nl
- apertium-nno (0.9.0~r69513-3)
- Apertium single language data for Norwegian Nynorsk
- apertium-nno-nob (1.1.0~r66076-2)
- Apertium translation data for the Norwegian Nynorsk-Norwegian Bokmål pair
- apertium-nob (0.9.0~r69513-2)
- Apertium single language data for Norwegian Bokmål
- apertium-nob-nno
- virtueel pakket geboden door apertium-nno-nob
- apertium-nob-sme
- virtueel pakket geboden door apertium-sme-nob
- apertium-nor-dan
- virtueel pakket geboden door apertium-dan-nor
- apertium-nor-swe
- virtueel pakket geboden door apertium-swe-nor
- apertium-oc-ca (1.0.6~r57551-3)
- Apertium translation data for the Occitan-Catalan pair
- apertium-oc-es (1.0.6~r57551-3)
- Apertium translation data for the Occitan-Spanish pair
- apertium-oci (0.1.0-1)
- Apertium single language data for Occitan
- apertium-pol (0.1.1-1)
- Apertium single language data for Polish
- apertium-pt-ca (0.8.2+svn~57507-4)
- Apertium translation data for the Portuguese-Catalan pair
- apertium-pt-es
- virtueel pakket geboden door apertium-es-pt
- apertium-pt-gl (0.9.2~r57551-3)
- Apertium translation data for the Portuguese-Galician pair
- apertium-ro-es
- virtueel pakket geboden door apertium-es-ro
- apertium-rus (0.2.0~r82706-1)
- Apertium single language data for Russian
- apertium-rus-bel
- virtueel pakket geboden door apertium-bel-rus
- apertium-separable (0.3.2-1)
- Reordering separable/discontiguous multiwords
- apertium-slv-hbs
- virtueel pakket geboden door apertium-hbs-slv
- apertium-sme-nob (0.6.0~r61921-2)
- Apertium translation data for the Northern Sami-Norwegian Bokmål pair
- apertium-spa (1.1.0~r79716-2)
- Apertium single language data for Spanish
- apertium-spa-arg (0.4.0~r64399-2)
- Apertium translation data for the Spanish-Aragonese pair
- apertium-spa-cat (2.1.0~r79717-2)
- Apertium translation data for the Spanish-Catalan pair
- apertium-spa-ita (0.2.0~r78826-2)
- Apertium translation data for the Spanish-Italian pair
- apertium-srd (1.2.0~r82994-2)
- Apertium single language data for Sardinian
- apertium-srd-cat
- virtueel pakket geboden door apertium-cat-srd
- apertium-srd-ita (0.9.5~r82237-2)
- Apertium translation data for the Sardinian-Italian pair
- apertium-sv-da
- virtueel pakket geboden door apertium-swe-dan
- apertium-sv-is
- virtueel pakket geboden door apertium-is-sv
- apertium-swe (0.7.0~r69513-2)
- Apertium single language data for Swedish
- apertium-swe-dan (0.7.0~r66063-2)
- Apertium translation data for the Swedish-Danish pair
- apertium-swe-nor (0.2.0~r69544-2)
- Apertium translation data for the Swedish-Norwegian pair
- apertium-szl (0.1.0-1)
- Apertium single language data for Silesian
- apertium-tat (0.1.0~r60887-2)
- Apertium single language data for Tatar
- apertium-tat-kaz
- virtueel pakket geboden door apertium-kaz-tat
- apertium-tur (0.2.0~r83161-2)
- Apertium single language data for Turkish
- apertium-tur-crh
- virtueel pakket geboden door apertium-crh-tur
- apertium-ukr (0.1.0~r82563-2)
- Apertium single language data for Ukrainian
- apertium-urd (0.1.0~r61311-2)
- Apertium single language data for Urdu
- apertium-urd-hin (0.1.0~r64379-2)
- Apertium translation data for the Urdu-Hindi pair
- apf-firewall (9.7+rev1-5.1)
- easy iptables based firewall system
- apg (2.2.3.dfsg.1-5)
- Automated Password Generator - Standalone version
- apgdiff (2.5.0~alpha.2-75-gcaaaed9-4)
- Another PostgreSQL Diff Tool
- api-sanity-checker (1.98.7-2)
- automatic generator of basic unit tests for a C/C++ library API
- apitrace (7.1+git20170623.d38a69d6+repack-3+b3)
- tools for debugging OpenGL applications and drivers - cli frontends
- apitrace-gui (7.1+git20170623.d38a69d6+repack-3+b3)
- tools for debugging OpenGL applications and drivers - graphical frontend
- apitrace-tracers (7.1+git20170623.d38a69d6+repack-3+b3)
- tools for debugging OpenGL applications and drivers - application tracer
- apkinfo (0.3.13-1)
- Simple CLI script to display info about an APK file
- apksigner (0.8-2)
- command line tool to sign and verify Android APKs
- apktool (2.3.4-1)
- tool for reverse engineering Android apk files
- aplus-fsf (4.22.1-10)
- A+ programming language run-time environment
- aplus-fsf-dev (4.22.1-10)
- A+ programming language development environment
- aplus-fsf-doc (4.22.1-10)
- A+ programming language documentation
- aplus-fsf-el (4.22.1-10)
- XEmacs lisp for A+ development
- apm-sleep
- virtueel pakket geboden door sleepd
- apng2gif (1.8-0.1)
- tool for converting APNG images to animated GIF format
- apngasm (2.7-2)
- assemble APNG animation from PNG/TGA image sequence
- apngdis (2.5-2)
- deconstruct APNG file into a sequence of PNG frames
- apngopt (1.2-2)
- optimize APNG animated images
- apophenia-bin (1.0+ds-7+b13)
- Apophenia Statistical C Library -- binary package
- apophenia-doc (1.0+ds-7)
- Apophenia Statistical C Library -- reference manual
- apparix (11-062-1)
- console-based bookmark tool for fast file system navigation
- apparmor (2.13.2-10)
- user-space parser utility for AppArmor
- apparmor-easyprof (2.13.2-10)
- AppArmor easyprof profiling tool
- apparmor-notify (2.13.2-10)
- AppArmor notification system
- apparmor-profiles (2.13.2-10)
- experimental profiles for AppArmor security policies
- (1.26)
- Extra profiles for AppArmor Security policies
- apparmor-utils (2.13.2-10)
- utilities for controlling AppArmor
- appc-spec (0.8.11+dfsg-2+b11)
- App Container Specification (appc) - tools
- append2simg (1:8.1.0+r23-5)
- Transitional package
- apper (1.0.0-2)
- KDE package management tool using PackageKit
- apper-data (1.0.0-2)
- KDE package management tool using PackageKit (data files)
- virtueel pakket geboden door appmenu-gtk2-module
- (0.7.1-1)
- Common files for GtkMenuShell D-Bus exporter
- (0.7.1-1)
- GtkMenuShell D-Bus exporter (GTK+2.0)
- virtueel pakket geboden door appmenu-gtk3-module
- (0.7.1-1)
- GtkMenuShell D-Bus exporter (GTK+3.0)
- (0.2.6-2)
- application menu for Qt
- (0.7.1-1)
- Appmenu DBusMenu registrar
- approx (5.10-1)
- caching proxy server for Debian archive files
- appstream (0.12.5-1)
- Software component metadata management
- appstream-doc (0.12.5-1)
- Developer documentation for AppStream
- appstream-generator (0.7.7-1)
- Generator for AppStream metadata
- appstream-glib-doc (0.7.14-1+deb10u1)
- Developer documentation for the libappstream-glib library
- appstream-index
- virtueel pakket geboden door appstream
- appstream-util (0.7.14-1+deb10u1)
- Utility to work with AppStream metadata
- aprsdigi (3.10.0-2+b1)
- digipeater for APRS
- aprx (2.9.0+dfsg-2)
- APRS Digipeater and iGate
- apsfilter (7.2.6-2)
- Magic print filter with automatic file type recognition
- apt (1.8.2.3)
- commandline package manager
- apt-build (0.12.47)
- frontend to apt to build, optimize and install packages
- apt-cacher (1.7.20.1)
- Caching proxy server for Debian/Ubuntu/Devuan software repositories
- apt-cacher-ng (3.2.1-1)
- caching proxy server for software repositories
- apt-cdrom-setup (1:0.151~deb10u1)
- set up a CD in sources.list
- apt-clone (0.4.1)
- Script to create state bundles
- apt-config-auto-update (2.1)
- APT configuration for automatic cache updates
- apt-config-icons (0.12.5-1)
- APT configuration snippet to enable icon downloads
- apt-config-icons-hidpi (0.12.5-1)
- APT configuration snippet to enable HiDPI icon downloads
- apt-config-icons-large (0.12.5-1)
- APT configuration snippet to enable large icon downloads
- apt-config-icons-large-hidpi (0.12.5-1)
- APT configuration snippet to enable large HiDPI icon downloads
- apt-cudf (5.0.1-12)
- CUDF solver integration for APT
- apt-dater (1.0.4-2)
- terminal-based remote package update manager
- apt-dater-host (1.0.1-1)
- host helper application for apt-dater
- apt-doc (1.8.2.3)
- documentation for APT
- apt-dpkg-ref (5.3.1+nmu2)
- APT, Dpkg Quick Reference sheet
- apt-file (3.2.2)
- search for files within Debian packages (command-line interface)
- apt-forktracer (0.5)
- utility for tracking non-official package versions
- apt-listbugs (0.1.28)
- tool which lists critical bugs before each APT installation
- apt-listchanges (3.19)
- Hulpprogramma dat u op de hoogte stelt van pakketveranderingen
- apt-listdifferences (1.20190206)
- source differences notification tool
- apt-mirror (0.5.4-1)
- APT sources mirroring tool
- apt-mirror-setup (1:0.151~deb10u1)
- set up a mirror in sources.list
- apt-mirror-setup
- virtueel pakket geboden door apt-cdrom-setup
- apt-move (4.2.27-5)
- maintain Debian packages in a package pool
- apt-rdepends (1.3.0-6)
- recursively lists package dependencies
- apt-setup-udeb (1:0.151~deb10u1)
- Configure apt
- apt-show-source (0.11)
- Shows source-package information
- apt-show-versions (0.22.11)
- lists available package versions with distribution
- apt-src (0.25.2)
- manage Debian source packages
- apt-transport-https (1.8.2.3)
- transitional package for https support
- apt-transport-https (= 1.8.2.2)
- virtueel pakket geboden door apt
- apt-transport-https (= 1.8.2.3)
- virtueel pakket geboden door apt
- apt-transport-s3 (1.3.0-1)
- APT transport for privately held AWS S3 repositories
- apt-transport-tor (0.4)
- APT transport for anonymous package downloads via Tor
- apt-utils (1.8.2.3)
- package management related utility programs
- apt-venv (1.0.0-2)
- apt virtual environment
- apt-xapian-index (0.49)
- maintenance and search tools for a Xapian index of Debian packages
- aptfs (2:0.13.1-1)
- FUSE filesystem for APT source repositories
- apticron (1.2.1)
- Simple tool to mail about pending package updates - cron version
- apticron-systemd (1.2.1)
- Simple tool to mail about pending package updates - systemd version
- aptitude (0.8.11-7)
- terminal-based package manager
- aptitude-common (0.8.11-7)
- architecture independent files for the aptitude package manager
- aptitude-doc
- virtueel pakket geboden door aptitude-doc-fr, aptitude-doc-it, aptitude-doc-nl, aptitude-doc-en, aptitude-doc-cs, aptitude-doc-fi, aptitude-doc-ru, aptitude-doc-es, aptitude-doc-ja
- aptitude-doc-cs (0.8.11-7)
- Czech manual for aptitude, a terminal-based package manager
- aptitude-doc-en (0.8.11-7)
- English manual for aptitude, a terminal-based package manager
- aptitude-doc-es (0.8.11-7)
- Spanish manual for aptitude, a terminal-based package manager
- aptitude-doc-fi (0.8.11-7)
- Finnish manual for aptitude, a terminal-based package manager
- aptitude-doc-fr (0.8.11-7)
- French manual for aptitude, a terminal-based package manager
- aptitude-doc-it (0.8.11-7)
- Italian manual for aptitude, a terminal-based package manager
- aptitude-doc-ja (0.8.11-7)
- Japanese manual for aptitude, a terminal-based package manager
- aptitude-doc-nl (0.8.11-7)
- Dutch manual for aptitude, a terminal-based package manager
- aptitude-doc-ru (0.8.11-7)
- Russian manual for aptitude, a terminal-based package manager
- aptitude-robot (1.5.2-1)
- Automate package choice management
- aptly (1.3.0+ds1-2.2~deb10u2) [security]
- Swiss army knife for Debian repository management - main package
- aptly-api (1.3.0+ds1-2.2~deb10u2) [security]
- Swiss army knife for Debian repository management - API
- aptly-publisher (0.12.10-1)
- Tool for management of Aptly publishes
- apulse (0.1.12-2)
- PulseAudio emulation for ALSA
- apvlv (0.1.5+dfsg-3)
- PDF viewer with Vim-like behaviour
- apwal (0.4.5-1.1)
- icon-based floating application launcher with transparency
- aqbanking-tools (5.7.8-3)
- basic command line homebanking utilities
- aqemu (0.9.2-2.3)
- Qt5 front-end for QEMU and KVM
- aqsis (1.8.2-11+b1)
- 3D rendering solution adhering to the RenderMan(R) standard, binaries
- aqsis-examples (1.8.2-11)
- 3D rendering solution adhering to the RenderMan(R) standard, examples
- arachne-pnr (0.1+20180909git840bdfd-1)
- Place and route tool for iCE40 family FPGAs
- arachne-pnr-chipdb (0.1+20180909git840bdfd-1)
- Chip db files for arachne-pnr
- aragorn (1.2.38-2)
- tRNA and tmRNA detection in nucleotide sequences
- arandr (0.1.9-2)
- Simple visual front end for XRandR
- aranym (1.0.2-2.2)
- Atari Running on Any Machine
- aravis-tools (0.6.0-2)
- Aravis based tools for GenICam cameras
- arb (6.0.6-4) [non-free]
- phylogenetic sequence analysis suite - main program
- arb-common (6.0.6-4) [non-free]
- phylogenetic sequence analysis suite - common files
- arb-doc (6.0.6-4) [non-free]
- phylogenetic sequence analysis suite - documentation
- arbtt (0.10.1-1)
- Automatic Rule-Based Time Tracker
- arc (5.21q-6)
- Archive utility based on the MSDOS ARC program
- arc-gui-clients (0.4.6-6)
- ARC Graphical Clients
- arc-theme (20190213-1)
- Flat theme with transparent elements
- arcanist (0~git20190207-1)
- Command line interface for Phabricator (review platform)
- arcanist-clang-format-linter (0.git20161021-2)
- clang-format linter for Arcanist
- arch-install-scripts (21-1)
- scripts aimed at automating some menial tasks when debootstrapping
- arch-test (0.15-2+deb10u1)
- detect architectures supported by your machine/kernel
- archdetect (1.137)
- Hardware architecture detector
- archfs
- virtueel pakket geboden door rdiff-backup-fs
- archipel-agent-action-scheduler (0.6.0-1)
- Virtual Machine Orchestration (Agent Action Scheduler)
- archipel-agent-hypervisor-geolocalization (0.6.0-1)
- Virtual Machine Orchestration (Agent Hypervisor Geolocalization)
- archipel-agent-hypervisor-health (0.6.0-1)
- Virtual Machine Orchestration (Agent Hypervisor Health)
- archipel-agent-hypervisor-network (0.6.0-1)
- Virtual Machine Orchestration (Agent Hypervisor Network)
- archipel-agent-hypervisor-platformrequest (0.6.0-1)
- Virtual Machine Orchestration (Agent Hypervisor Platformrequest)
- archipel-agent-iphone-notification (0.6.0-1)
- Virtual Machine Orchestration (Agent iPhone Notification)
- archipel-agent-virtualmachine-oomkiller (0.6.0-1)
- Virtual Machine Orchestration (Agent Virtualmachine Oomkiller)
- archipel-agent-virtualmachine-snapshoting (0.6.0-1)
- Virtual Machine Orchestration (Agent Virtualmachine Snapshoting)
- archipel-agent-virtualmachine-vnc (0.6.0-1)
- Virtual Machine Orchestration (Agent Virtualmachine VNC)
- archipel-agent-vmcasting (0.6.0-1)
- Virtual Machine Orchestration (Agent Vmcasting)
- archipel-agent-vmparking (0.6.0-1)
- Virtual Machine Orchestration (Agent Vmparking)
- archipel-agent-xmppserver (0.6.0-1)
- Virtual Machine Orchestration (Agent XMPP Server)
- archipel-core (0.6.0-1)
- Virtual Machine Orchestration (Core)
- archivemail (0.9.0-1.1)
- archive and compress or delete your old email
- archivemount (0.8.7-1+b1)
- mounts an archive for access as a file system
- archmage (1:0.3.1-4)
- CHM(Compiled HTML) Decompressor
- archmbox (4.10.0-2)
- a simple email archiver written in perl
- arctica-greeter (0.99.1.3-1)
- LightDM Arctica Greeter
- arctica-greeter-guest-session (0.99.1.3-1)
- LightDM Arctica Greeter - Guest Session Support
- arctica-greeter-remote-logon (0.99.1.3-1)
- LightDM Arctica Greeter - Remote Login Support
- arctica-greeter-theme-debian (0.99.1.3-1)
- LightDM Arctica Greeter - Debian Theme (active theme)
- arctica-greeter-theme-debian-buster (0.99.1.3-1)
- LightDM Arctica Greeter - Debian 10 (buster) Theme
- arctica-greeter-theme-debian-futureprototype (0.99.1.3-1)
- LightDM Arctica Greeter - Debian Theme (futurePrototype)
- arctica-greeter-theme-debian-softwaves (0.99.1.3-1)
- LightDM Arctica Greeter - Debian Theme (softWaves)
- arctica-greeter-theme-debian-stretch (0.99.1.3-1)
- LightDM Arctica Greeter - Debian 9 (stretch) Theme
- arden (1.0-4)
- specificity control for read alignments using an artificial reference
- ardentryst (1.71-6)
- Action/RPG sidescoller, focused on story and character development
- ardour (1:5.12.0-3)
- the digital audio workstation
- ardour-data (1:5.12.0-3)
- digital audio workstation (data)
- ardour-video-timeline (1:5.12.0-3)
- digital audio workstation - video timeline
- arduino (2:1.0.5+dfsg2-4.1)
- AVR development board IDE and built-in libraries
- arduino-core (2:1.0.5+dfsg2-4.1)
- Code, examples, and libraries for the Arduino platform
- arduino-mighty-1284p (1-3)
- Platform files for Arduino to run on ATmega1284P
- arduino-mk (1.5.2-1)
- Program your Arduino from the command line
- arename (4.0-4)
- automatic audio file renaming tool
- argagg-dev (0.4.6-4)
- Argument Aggregator - Simple C++11 command line argument parser
- argagg-dev-doc (0.4.6-4)
- Argument Aggregator - Simple C++11 command line argument parser - source doc
- argon2 (0~20171227-0.2)
- memory-hard hashing function - utility
- argonaut-client (1.2.3-2)
- Argonaut JSON-RPC client to manage computers and services
- argonaut-common (1.2.3-2)
- Argonaut (common functions and libraries)
- argonaut-common-fai (1.2.3-2)
- Argonaut (common library for FAI)
- argonaut-dovecot (1.2.3-2)
- Argonaut (client-module for dovecot)
- argonaut-fai-mirror (1.2.3-2)
- Argonaut (scripts to manage Debian mirrors)
- argonaut-fai-monitor (1.2.3-2)
- Argonaut (service to get status from FAI installations)
- argonaut-fai-nfsroot (1.2.3-2)
- Argonaut (tools, queues and status management)
- argonaut-fai-server (1.2.3-2)
- Argonaut (scripts to enable Argonaut integration with FAI)
- argonaut-freeradius (1.2.3-2)
- Argonaut scripts to generate authentication for FreeRADIUS
- argonaut-fuse (1.2.3-2)
- Argonaut (modular TFTP/Fuse supplicant)
- argonaut-fuse-module-fai (1.2.3-2)
- Argonaut (LDAP FAI module for the TFTP/Fuse supplicant)
- argonaut-fuse-module-opsi (1.2.3-2)
- Argonaut (OPSI module for the TFTP/Fuse supplicant)
- argonaut-fusiondirectory (1.2.3-2)
- Scripts that goes with plugins in Fusiondirectory
- argonaut-fusioninventory (1.2.3-2)
- Argonaut (scripts to generate the FusionInventory schema)
- argonaut-ldap2zone (1.2.3-2)
- Argonaut (tool to extract DNS zones from LDAP trees)
- argonaut-quota (1.2.3-2)
- Argonaut (tool to apply disk quota from ldap)
- argonaut-samba (1.2.3-2)
- Argonaut scripts to generate Samba share configurations
- argonaut-server (1.2.3-2)
- Argonaut JSON-RPC server to manage system deployment
- argonaut-server-module-fai (1.2.3-2)
- Argonaut JSON-RPC server module to manage FAI (Fully Automated Install)
- argonaut-server-module-opsi (1.2.3-2)
- Argonaut JSON-RPC server module to manage OPSI (open pc server integration)
- argus-client (1:3.0.8.2-3+b2)
- IP network transaction auditing tool
- argus-server (2:3.0.8.2-1+b1)
- IP network transaction auditing tool
- argyll (2.0.1+repack-1)
- Color Management System, calibrator and profiler
- argyll-doc (2.0.1+repack-1)
- Color Management System, calibrator and profiler (documentation)
- argyll-ref (2.0.1+repack-1)
- Color Management System, calibrator and profiler (data files)
- aria2 (1.34.0-4)
- High speed download utility
- ariba (2.13.3+ds-1)
- Antibiotic Resistance Identification By Assembly
- aribas (1.64-6)
- interpreter for arithmetic
- ario (1.6-1)
- GTK+ client for the Music Player Daemon (MPD)
- ario-common (1.6-1)
- GTK+ client for the Music Player Daemon (MPD) (Common files)
- arj (3.10.22-18)
- archiver for .arj files
- ark (4:18.08.3-1+deb10u2)
- archive utility
- arm-trusted-firmware (2.0+290.98aab974-2)
- "secure world" software for ARM SoCs
- armagetronad (0.2.8.3.4-2)
- 3D Tron-like high speed game
- armagetronad-common (0.2.8.3.4-2)
- Common files for the Armagetron Advanced packages
- armagetronad-dedicated (0.2.8.3.4-2)
- dedicated game server for Armagetron Advanced
- arno-iptables-firewall (2.0.3-2)
- single- and multi-homed firewall script with DSL/ADSL support
- aroarfw-dev (0.1~beta5-4)
- framework to build hardware with RoarAudio protocol support
- aroarfw-doc (0.1~beta5-4)
- framework to build hardware with RoarAudio protocol support (documentation)
- arp-scan (1.9.5-1)
- arp scanning and fingerprinting tool
- arpalert (2.0.12-3)
- monitor ARP changes in ethernet networks
- arpd
- virtueel pakket geboden door iproute2
- arping (2.19-6)
- sends IP and/or ARP pings (to the MAC address)
- arpon (3.0-ng+dfsg1-3)
- Versatile ARP defense daemon
- arptables (0.0.4+snapshot20181021-4)
- ARP table administration
- arpwatch (2.1a15-7)
- Ethernet/FDDI station activity monitor
- array-info (0.16-4)
- command line tool reporting RAID status for several RAID types
- art-nextgen-simulation-tools (20160605+dfsg-3)
- simulation tools to generate synthetic next-generation sequencing reads
- art-nextgen-simulation-tools-profiles (20160605+dfsg-3)
- profiles for art simulation tools
- artemis (17.0.1+dfsg-2)
- genome browser and annotation tool
- artfastqgenerator (0.0.20150519-3)
- outputs artificial FASTQ files derived from a reference genome
- artfastqgenerator-doc (0.0.20150519-3)
- outputs artificial FASTQ files derived from a reference genome (doc)
- artfastqgenerator-examples (0.0.20150519-3)
- ou7puts artificial FASTQ files derived from a reference genome (examples)
- artha (1.0.3-3)
- Handy off-line thesaurus based on WordNet
- artikulate (4:17.08.3-1)
- Language learning application
- as10k1
- virtueel pakket geboden door alsa-tools
- as31 (2.3.1-7)
- Intel 8031/8051 assembler
- asc (2.6.1.0-5+b1)
- turn-based strategy game
- asc-data (2.6.1.0-5)
- data files for the Advanced Strategic Command game
- asc-music (1.3-4)
- music pack for ASC
- ascd (0.13.2-6+b1)
- CD player and mixer
- ascdc (0.3-15+b1)
- AfterStep CD changer
- ascii (3.18-1)
- interactive ASCII name and synonym chart
- ascii2binary (2.14-1+b2)
- Convert between ASCII, hexadecimal and binary representations
- asciiart (0.0.9-1)
- command line tool to turn images into ASCII art
- asciidoc (8.6.10-3)
- Highly configurable text format for writing documentation
- asciidoc-base (8.6.10-3)
- Minimal version of asciidoc not suitable for pdf
- asciidoc-common (8.6.10-3)
- Basic data and configuration files for asciidoc
- asciidoc-dblatex (8.6.10-3)
- Asciidoc package including dblatex dependencies
- asciidoc-doc (8.6.10-3)
- Examples and documentation for asciidoc
- asciidoc-fop (8.6.10-3)
- Asciidoc package including fop dependencies
- asciidoc-tests (8.6.10-3)
- Test framework for asciidoc
- asciidoctor (1.5.8-1)
- AsciiDoc to HTML rendering for Ruby
- asciidoctor-doc (1.5.8-1)
- AsciiDoc to HTML rendering for Ruby (documentation)
- asciijump (1.0.2~beta-10)
- Small and funny ASCII-art game about ski jumping
- asciinema (2.0.2-1)
- Record and share your terminal sessions, the right way
- asciio (1.51.3-1)
- dynamically create ASCII charts and graphs with GTK+2
- asclock (2.0.12-29)
- clock designed with the NeXTStep look
- asclock-themes (2.0.12-29)
- Theme files for ASclock, a clock applet
- asdftool (2.3.2-2)
- Command line tool to manipulate ASDF scientific data files
- ase (3.17.0-2)
- Atomic Simulation Environment
- aseba (1.6.0-5)
- Event-based framework for distributed mobile robots control
- aseba-plugin-blockly (20180211+git-2) [non-free]
- blockly plugin to enhance the package Aseba
- aseqjoy (0.0.2-1)
- Joystick to ALSA MIDI Sequencer Converter
- ash (0.5.10.2-5)
- compatibility package for dash
- asis-doc (2018-2)
- Ada Semantic Interface Specification (ASIS) documentation
- asis-programs (2018-2)
- Ada Semantic Interface Specification (ASIS) example programs
- ask (1.1.1-3)
- Adaptive Sampling Kit for big experimental spaces
- asl-doc (0.1.7-2)
- documentation for ASL
- asl-tools (0.1.7-2+b1)
- command-line tools for ASL
- asmail (2.1-4+b1)
- AfterStep mail monitor
- asmix (1.5-4.1+b2)
- display a volume knob
- asmixer (0.5-14+b2)
- AfterStep audio mixer
- asmon (0.71-5.1+b1)
- system resource monitor dockapp for Afterstep and WindowMaker
- asn1c (0.9.28+dfsg-3)
- ASN.1 compiler for C
- asn1c-doc (0.9.28+dfsg-3)
- Documentation for asn1c
- asp (1.8-8+b2)
- Discovers present ip-address of dynamically connected hosts
- asp.net-examples (4.2-2.1)
- demo pages for ASP.NET infrastructure
- aspcud (1:1.9.4-2)
- CUDF solver based on Answer Set Programming
- aspectc++ (1:2.2+git20181008-2)
- aspect-oriented programming extension for C++
- aspectj (1.9.2-1)
- aspect-oriented extension for Java - tools
- aspectj-doc (1.9.2-1)
- aspect-oriented extension for Java - documentation
- aspell (0.60.7~20110707-6+deb10u1)
- Aspell, spellingcontrole verspreid onder de GNU-licentie
- aspell-am (0.03-1-5)
- Amharic dictionary for aspell
- aspell-ar (0.0.20060329-6)
- Arabic dictionary for aspell
- aspell-ar-large (1.2-0-5)
- Large Arabic dictionary for aspell
- aspell-bg (4.1-7)
- Bulgarian dictionary for aspell
- aspell-bin
- virtueel pakket geboden door aspell
- aspell-bn (1:0.01.1-1-3)
- Bengali (bn) dictionary for GNU aspell
- aspell-br (0.50-2-6.1)
- Breton dictionary for GNU Aspell
- aspell-ca (0.20111230b-12)
- Catalan dictionary for aspell
- aspell-cs (0.51.0-1)
- Czech dictionary for GNU Aspell
- aspell-cy (0.50-3-6.2)
- Welse bibliotheek voor GNU aspell
- aspell-da (1.6.36-11)
- Het Uitgebreide Deense woordenboek (DSDO) - aspell
- aspell-de (20161207-7)
- German dictionary for aspell
- aspell-de-1901 (1:2-35)
- Traditional German dictionary for aspell
- aspell-dictionary
- virtueel pakket geboden door aspell-da, aspell-ru, aspell-de-1901, aspell-bg, aspell-ar-large, aspell-lv, aspell-fa, aspell-sv, aspell-es, aspell-et, aspell-pt-pt, aspell-ro, aspell-nl, aspell-ga, aspell-gl-minimos, aspell-tl, aspell-uk, aspell-pt-br, aspell-uz, aspell-ar, aspell-cy, aspell-sl, aspell-kk, aspell-am, aspell-lt, aspell-cs, aspell-hr, aspell-pl, aspell-eu, aspell-it, aspell-is, aspell-fo, aspell-hy, aspell-ca, aspell-de, aspell-eo, aspell-sk, aspell-he, aspell-br, aspell-ku, aspell-hsb, aspell-fr, aspell-eo-cx7, aspell-el, aspell-hu, aspell-en
- aspell-doc (0.60.7~20110707-6+deb10u1)
- Documentatie voor het GNU Aspell (spellingcontrole)
- aspell-el (0.50-3-6.2)
- Greek dictionary for GNU Aspell
- aspell-en (2018.04.16-0-1)
- English dictionary for GNU Aspell
- aspell-eo (2.1.2000.02.25-57)
- Esperanto dictionary for aspell
- aspell-eo-cx7 (2.1.2000.02.25-57)
- Esperanto dictionary for aspell, "cx" 7bit encoding
- aspell-es (1.11-15)
- Spanish dictionary for aspell
- aspell-et (1:20030606-30)
- Estonian dictionary for Aspell
- aspell-eu (0.5.20151110-4)
- Basque (Euskera) dictionary for aspell
- aspell-eu-es (0.5.20151110-4)
- transitional dummy package to aspell-eu
- aspell-eu-es
- virtueel pakket geboden door aspell-eu
- aspell-fa (0.11-0-4)
- Persian dictionary for GNU Aspell
- aspell-fo (0.4.2-13)
- Faroese dictionary for aspell
- aspell-fr (0.50-3-8)
- French dictionary for aspell
- aspell-ga (0.50-4-4.2)
- Irish (Gaeilge) dictionary for GNU Aspell
- aspell-gl-minimos (0.5-46)
- Aspell dictionary for Galician (minimos)
- aspell-gu (0.03-0-10)
- Gujarati (gu) dictionary for GNU aspell
- aspell-he (1.0-0-8)
- Hebrew dictionary for aspell
- aspell-hi (0.02-7)
- Hindi (hi) dictionary for GNU aspell
- aspell-hr (0.51-4)
- The Croatian dictionary for GNU Aspell
- aspell-hsb (0.02.0-1)
- Upper Sorbian dictionary for GNU Aspell
- aspell-hu (0.99.4.2-0-4)
- Hungarian dictionary for aspell
- aspell-hy (0.10.0-0-3)
- Armenian dictionary for GNU Aspell
- aspell-is (0.51-0-utf8-0.2)
- Icelandic dictionary for GNU Aspell
- aspell-it (2.4-20070901-0-3)
- Italian dictionary for GNU Aspell
- aspell-kk (0.2-1)
- Kazachstaans woordenboek voor GNU aspell
- aspell-kn (0.01-3-1)
- Kannada (kn) woordenboek voor GNU aspell
- aspell-ku (0.20-0-8)
- Kurdish dictionary for aspell
- aspell-lt (1.2.1-8)
- aspell dictionary for Lithuanian (LT)
- aspell-lv (0.9.6-9)
- Latvian dictionary for Aspell
- aspell-ml (0.04-1-8)
- Malayalam (ml) dictionary for GNU aspell
- aspell-mr (0.10-9)
- Marathi (mr) dictionary for GNU aspell
- aspell-nl (1:2.10-6)
- Dutch dictionary for Aspell
- aspell-no (2.2-4)
- Norwegian dictionary for aspell
- aspell-or (0.03-1-6)
- Oriya (or) dictionary for GNU aspell
- aspell-pa (0.01-1-5)
- Punjabi (pa) dictionary for GNU aspell
- aspell-pl (20150428-3)
- Polish dictionary for aspell
- aspell-pt (1.7)
- Portuguese dictionaries for GNU Aspell (old package)
- aspell-pt-br (20131030-12)
- Brazilian Portuguese dictionary for GNU Aspell
- aspell-pt-pt (20171225-3)
- European Portuguese dictionary for GNU Aspell
- aspell-ro (3.3.9-1)
- Romanian dictionary for GNU aspell
- aspell-ru (0.99g5-23)
- Russian dictionary for Aspell
- aspell-sk (2.02-0-1.1)
- Slovak dictionary for GNU Aspell
- aspell-sl (0.60-4)
- Slovenian dictionary for GNU Aspell
- aspell-sv (0.51-0-3)
- Swedish dictionary for GNU aspell
- aspell-ta (20040424-1-1)
- Tamil (ta) dictionary for GNU aspell
- aspell-te (0.01-2-6)
- Telugu (te) dictionary for GNU aspell
- aspell-tl (0.4-0-18)
- Tagalog dictionary for GNU Aspell
- aspell-uk (1.7.1-2)
- Het oekraïense woordenboek voor GNU aspell
- aspell-uz (0.6.0-2)
- The Uzbek dictionary for GNU Aspell
- aspell6a-dictionary
- virtueel pakket geboden door aspell-no
- aspic (1.05-4+b2)
- Line art generator
- asql (1.6-1)
- Run SQL queries against apache logs
- assaultcube (1.1.0.4+dfsg2-1.2+b1) [contrib]
- realistic first-person-shooter
- assaultcube-data (1.1.0.4+repack1-2.1) [non-free]
- data files and documentation for AssaultCube
- assembly-linker
- virtueel pakket geboden door mono-devel
- assemblytics (1.0+ds-1)
- detect and analyze structural variants from a genome assembly
- assimp-utils (4.1.0~dfsg-5)
- 3D model import library (utilities)
- asterisk (1:16.28.0~dfsg-0+deb10u4) [security]
- Open Source Private Branch Exchange (PBX)
- asterisk-1fb7f5c06d7a2052e38d021b3d8ca151
- virtueel pakket geboden door asterisk
- asterisk-config (1:16.28.0~dfsg-0+deb10u4) [security]
- Configuration files for Asterisk
- asterisk-core-sounds-en (1.6.1-1)
- asterisk PBX sound files - US English
- asterisk-core-sounds-en-g722 (1.6.1-1)
- asterisk PBX sound files - en-us/g722
- asterisk-core-sounds-en-gsm (1.6.1-1)
- asterisk PBX sound files - en-us/gsm
- asterisk-core-sounds-en-wav (1.6.1-1)
- asterisk PBX sound files - en-us/wav
- asterisk-core-sounds-es (1.6.1-1)
- asterisk PBX sound files - Spanish
- asterisk-core-sounds-es-g722 (1.6.1-1)
- asterisk PBX sound files - es-mx/g722
- asterisk-core-sounds-es-gsm (1.6.1-1)
- asterisk PBX sound files - es-mx/gsm
- asterisk-core-sounds-es-wav (1.6.1-1)
- asterisk PBX sound files - es-mx/wav
- asterisk-core-sounds-fr (1.6.1-1)
- asterisk PBX sound files - Canadian French
- asterisk-core-sounds-fr-g722 (1.6.1-1)
- asterisk PBX sound files - fr-ca/g722
- asterisk-core-sounds-fr-gsm (1.6.1-1)
- asterisk PBX sound files - fr-ca/gsm
- asterisk-core-sounds-fr-wav (1.6.1-1)
- asterisk PBX sound files - fr-ca/wav
- asterisk-core-sounds-it (1.6.1-1)
- asterisk PBX sound files - Italian
- asterisk-core-sounds-it-g722 (1.6.1-1)
- asterisk PBX sound files - it-it/g722
- asterisk-core-sounds-it-gsm (1.6.1-1)
- asterisk PBX sound files - it-it/gsm
- asterisk-core-sounds-it-wav (1.6.1-1)
- asterisk PBX sound files - it-it/wav
- asterisk-core-sounds-ru (1.6.1-1)
- asterisk PBX sound files - Russian
- asterisk-core-sounds-ru-g722 (1.6.1-1)
- asterisk PBX sound files - ru-ru/g722
- asterisk-core-sounds-ru-gsm (1.6.1-1)
- asterisk PBX sound files - ru-ru/gsm
- asterisk-core-sounds-ru-wav (1.6.1-1)
- asterisk PBX sound files - ru-ru/wav
- asterisk-dahdi (1:16.28.0~dfsg-0+deb10u4) [security]
- DAHDI devices support for the Asterisk PBX
- asterisk-dev (1:16.28.0~dfsg-0+deb10u4) [security]
- Development files for Asterisk
- asterisk-doc (1:16.28.0~dfsg-0+deb10u4) [security]
- Source code documentation for Asterisk
- asterisk-espeak (5.0~1-3)
- eSpeak module for Asterisk
- asterisk-flite (3.0-3)
- flite module for Asterisk
- asterisk-mobile (1:16.28.0~dfsg-0+deb10u4) [security]
- Bluetooth phone support for the Asterisk PBX
- asterisk-modules (1:16.28.0~dfsg-0+deb10u4) [security]
- loadable modules for the Asterisk PBX
- asterisk-moh-opsound-g722 (2.03-1)
- asterisk extra sound files - English/g722
- asterisk-moh-opsound-gsm (2.03-1)
- asterisk extra sound files - English/gsm
- asterisk-moh-opsound-wav (2.03-1)
- asterisk extra sound files - English/wav
- asterisk-mp3 (1:16.28.0~dfsg-0+deb10u4) [security]
- MP3 playback support for the Asterisk PBX
- asterisk-mysql (1:16.28.0~dfsg-0+deb10u4) [security]
- MySQL database protocol support for the Asterisk PBX
- asterisk-ooh323 (1:16.28.0~dfsg-0+deb10u4) [security]
- H.323 protocol support for the Asterisk PBX - ooH323c
- asterisk-opus (13.7+20171009-2)
- opus module for Asterisk
- asterisk-prompt-de (2.0-1.1)
- German voice prompts for the Asterisk PBX
- asterisk-prompt-en
- virtueel pakket geboden door asterisk-core-sounds-en
- asterisk-prompt-en-us
- virtueel pakket geboden door asterisk-core-sounds-en
- asterisk-prompt-es
- virtueel pakket geboden door asterisk-core-sounds-es
- asterisk-prompt-es-co (0.20070403-2)
- Colombian Spanish voice prompts for Asterisk
- asterisk-prompt-es-mx
- virtueel pakket geboden door asterisk-core-sounds-es
- asterisk-prompt-fr
- virtueel pakket geboden door asterisk-prompt-fr-proformatique, asterisk-prompt-fr-armelle, asterisk-core-sounds-fr
- asterisk-prompt-fr-armelle (20070613-2)
- French voice prompts for Asterisk by Armelle Desjardins
- asterisk-prompt-fr-ca
- virtueel pakket geboden door asterisk-core-sounds-fr
- asterisk-prompt-fr-proformatique (20070706-1.4-2)
- French voice prompts for Asterisk
- asterisk-prompt-it (1:1.4.22+mm20110907-3)
- dummy transitional package
- asterisk-prompt-it
- virtueel pakket geboden door asterisk-core-sounds-it
- asterisk-prompt-it-it
- virtueel pakket geboden door asterisk-core-sounds-it, asterisk-prompt-it-menardi
- asterisk-prompt-it-menardi (1:1.4.22+mm20110907-3)
- asterisk PBX Italian sound files
- asterisk-prompt-it-menardi-alaw (1:1.4.22+mm20110907-3)
- asterisk PBX Italian sound files - a-law prompts
- asterisk-prompt-it-menardi-gsm (1:1.4.22+mm20110907-3)
- asterisk PBX Italian sound files - gsm prompts
- asterisk-prompt-it-menardi-wav (1:1.4.22+mm20110907-3)
- asterisk PBX Italian sound files - wav prompts
- asterisk-prompt-ru
- virtueel pakket geboden door asterisk-core-sounds-ru
- asterisk-prompt-ru-ru
- virtueel pakket geboden door asterisk-core-sounds-ru
- asterisk-tests (1:16.28.0~dfsg-0+deb10u4) [security]
- internal test modules of the Asterisk PBX
- asterisk-voicemail (1:16.28.0~dfsg-0+deb10u4) [security]
- simple voicemail support for the Asterisk PBX
- asterisk-voicemail-imapstorage (1:16.28.0~dfsg-0+deb10u4) [security]
- IMAP voicemail storage support for the Asterisk PBX
- asterisk-voicemail-odbcstorage (1:16.28.0~dfsg-0+deb10u4) [security]
- ODBC voicemail storage support for the Asterisk PBX
- asterisk-voicemail-storage
- virtueel pakket geboden door asterisk-voicemail-odbcstorage, asterisk-voicemail-imapstorage, asterisk-voicemail
- asterisk-vpb (1:16.28.0~dfsg-0+deb10u4) [security]
- VoiceTronix devices support for the Asterisk PBX
- astro-all (2.0)
- Default selection of tasks for Debian Astro
- astro-catalogs (2.0)
- Astronomy catalogs
- astro-datareduction (2.0)
- Data reduction pipelines for astronomy
- astro-development (2.0)
- C/C++ development packages for astronomy
- astro-education (2.0)
- Educational astronomy applications
- astro-frameworks (2.0)
- Astronomical data analysis frameworks
- astro-gdl (2.0)
- IDL/GDL packages for astronomy
- astro-iraf (2.0)
- IRAF packages
- astro-java (2.0)
- Java packages for astronomy
- astro-publication (2.0)
- Packages for the publication and presentation of astronomical data
- astro-python (2.0)
- Python 2 packages for astronomy
- astro-python3 (2.0)
- Python 3 packages for astronomy
- astro-radioastronomy (2.0)
- Radio Astronomy Software
- astro-simulation (2.0)
- Simulation packages for astronomy
- astro-tasks (2.0)
- Debian Astronomy Pure Blend (tasksel tasks)
- astro-tcltk (2.0)
- Tcl/Tk packages for astronomy
- astro-telescopecontrol (2.0)
- Telescope control and data processing
- astro-tools (2.0)
- Astronomy tools and utilities
- astro-viewers (2.0)
- Interactive astronomical data viewers
- astro-virtual-observatory (2.0)
- Tools and viewers for the Virtual Observatory
- astroid (0.14-2.1)
- graphical notmuch email client
- astromatic (1.1)
- Astronomical pipeline software collection
- astromenace (1.3.2+repack-5) [contrib]
- hardcore 3D space shooter with spaceship upgrade possibilities
- astromenace-data-src (1.3.2+repack-3) [non-free]
- data files for AstroMenace game
- astrometry-data-2mass (1.1) [contrib]
- Astrometry.net 2MASS index files downloader
- astrometry-data-2mass-00 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (2'-2.8')
- astrometry-data-2mass-01 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (2.8'-4')
- astrometry-data-2mass-02 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (4'-5.6')
- astrometry-data-2mass-03 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (5.6'-8')
- astrometry-data-2mass-04 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (8'-11')
- astrometry-data-2mass-05 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (11'-16')
- astrometry-data-2mass-06 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (16'-22')
- astrometry-data-2mass-07 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (22'-30')
- astrometry-data-2mass-08-19 (1.1) [contrib]
- Astrometry.net 2MASS index files downloader (30'-2000')
- astrometry-data-tycho2 (2-4)
- Astrometry.net Tycho-2 index files
- astrometry-data-tycho2-07 (2-4)
- Astrometry.net Tycho-2 index files (22'-30')
- astrometry-data-tycho2-07-bigendian (2-4)
- Astrometry.net Tycho-2 big endian index files (22'-30')
- astrometry-data-tycho2-07-littleendian (2-4)
- Astrometry.net Tycho-2 little endian index files (22'-30')
- astrometry-data-tycho2-08 (2-4)
- Astrometry.net Tycho-2 index files (30'-44')
- astrometry-data-tycho2-08-bigendian (2-4)
- Astrometry.net Tycho-2 big endian index files (30'-44')
- astrometry-data-tycho2-08-littleendian (2-4)
- Astrometry.net Tycho-2 little endian index files (30'-44')
- astrometry-data-tycho2-09 (2-4)
- Astrometry.net Tycho-2 index files (44'-60')
- astrometry-data-tycho2-09-bigendian (2-4)
- Astrometry.net Tycho-2 big endian index files (44'-60')
- astrometry-data-tycho2-09-littleendian (2-4)
- Astrometry.net Tycho-2 little endian index files (44'-60')
- astrometry-data-tycho2-10-19 (2-4)
- Astrometry.net Tycho-2 index files (60'-2000')
- astrometry-data-tycho2-10-19-bigendian (2-4)
- Astrometry.net Tycho-2 big endian index files (60'-2000')
- astrometry-data-tycho2-10-19-littleendian (2-4)
- Astrometry.net Tycho-2 little endian index files (60'-2000')
- astrometry.net (0.76+dfsg-3)
- Astrometry plate solver
- astronomical-almanac (5.6-6)
- astronomical almanac - calculate planet and star positions
- astropy-utils (3.1.2-2)
- Command line tools from astropy
- astyle (3.1-2)
- Source code indenter for C, C++, Objective-C, C#, and Java
- asunder (2.9.3-3+deb10u1)
- graphical audio CD ripper and encoder
- asused (3.72-12)
- tool to check IPv4 allocations and assignments as stored in the RIPE database
- asylum (0.3.2-3)
- surreal platform shooting game
- asylum-data (0.3.2-3)
- surreal platform shooting game - data files
- asymptote (2.47-2)
- script-based vector graphics language inspired by MetaPost
- asymptote-doc (2.47-2)
- documentation and examples for asymptote
- at (3.1.23-1)
- Uitgestelde commando uitvoer en batch verwerking
- at-spi
- virtueel pakket geboden door libatk-adaptor
- at-spi2-core (2.30.0-7)
- Assistive Technology Service Provider Interface (dbus core)
- at-spi2-core-udeb (2.30.0-7)
- Assistive Technology Service Provider Interface (dbus core for d-i)
- at-spi2-doc (2.30.0-7)
- Assistive Technology Service Provider Interface (Documentatie)
- ata-modules
- virtueel pakket geboden door ata-modules-4.19.0-20-686-pae-di, ata-modules-4.19.0-21-amd64-di, ata-modules-4.19.0-21-686-pae-di, ata-modules-4.19.0-21-686-di, ata-modules-4.19.0-20-686-di, ata-modules-4.19.0-20-amd64-di, ata-modules-4.19.0-20-arm64-di, ata-modules-4.19.0-21-armmp-di, ata-modules-4.19.0-21-arm64-di, ata-modules-4.19.0-20-armmp-di
- ata-modules-4.19.0-20-686-di (4.19.235-1)
- ATA disk modules
- ata-modules-4.19.0-20-686-pae-di (4.19.235-1)
- ATA disk modules
- ata-modules-4.19.0-20-amd64-di (4.19.235-1)
- ATA disk modules
- ata-modules-4.19.0-20-arm64-di (4.19.235-1)
- ATA disk modules
- ata-modules-4.19.0-20-armmp-di (4.19.235-1)
- ATA disk modules
- ata-modules-4.19.0-21-686-di (4.19.249-2)
- ATA disk modules
- ata-modules-4.19.0-21-686-pae-di (4.19.249-2)
- ATA disk modules
- ata-modules-4.19.0-21-amd64-di (4.19.249-2)
- ATA disk modules
- ata-modules-4.19.0-21-arm64-di (4.19.249-2)
- ATA disk modules
- ata-modules-4.19.0-21-armmp-di (4.19.249-2)
- ATA disk modules
- atac (0~20150903+r2013-6)
- genome assembly-to-assembly comparison
- atanks (6.5~dfsg-3)
- tank-battling game
- atanks-data (6.5~dfsg-3)
- data files for Atomic tanks
- atari800 (4.0.0-1) [contrib]
- Atari 8-bit emulator for SDL
- aterm (9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- aterm
- virtueel pakket geboden door rxvt-unicode
- aterm-ml (9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- atf-allwinner (1.0.aw-6-1)
- "secure world" software for Allwinner SoCs
- atfs (1.4pl6-14)
- Attributed File System (AtFS)
- atfs-dev (1.4pl6-14)
- Attributed File System (AtFS development libraries)
- atftp (0.7.git20120829-3.2~deb10u3)
- advanced TFTP client
- atftpd (0.7.git20120829-3.2~deb10u3)
- advanced TFTP server
- atheist (0.20110402-3)
- General purpose command-line testing tool
- atheme-services (7.2.9-3)
- modular IRC services daemon
- atheme-services-contrib (7.2.9-3)
- modular IRC services daemon - contrib modules
- athena-jot (9.0-7)
- print out increasing, decreasing, random, or redundant data, one per line
- atig (0.6.1-3)
- Another Twitter IRC gateway
- atitvout (0.4-13)
- ATI TV Out Support Program
- atlc (4.6.1-2)
- Arbitrary Transmission Line Calculator
- atlc-examples (4.6.1-2)
- Examples for Arbitrary Transmission Line Calculator
- atm-tools (1:2.5.1-2)
- Base programs for ATM in Linux, the net-tools for ATM
- atmel-firmware (1.3-4) [non-free]
- Firmware for Atmel at76c50x wireless networking chips.
- atom4 (4.1-9+b1)
- Original two-player color puzzle game
- atomicparsley (0.9.6-2)
- read, parse and set metadata of MPEG-4 and 3gp files
- atomix (3.31.90-1)
- puzzle game for building molecules out of separate atoms
- atomix-data (3.31.90-1)
- puzzle game for building molecules out of separate atoms -- data
- atool (0.39.0-9)
- tool for managing file archives of various types
- atop (2.4.0-3)
- Monitor for system resources and process activity
- atril (1.20.3-1+deb10u1)
- MATE document viewer
- atril-common (1.20.3-1+deb10u1)
- MATE document viewer (common files)
- ats-lang-anairiats (0.2.11-1+b1)
- Legacy ATS v1 language compiler, named Anairiats
- ats-lang-anairiats-doc (0.2.11-1)
- Documentation for the ATS compiler Anairiats
- ats-lang-anairiats-examples (0.2.11-1)
- Examples for the ATS compiler Anairiats
- ats2-lang (0.3.11-2)
- ATS version 2 programming language compiler
- attr (1:2.4.48-4)
- utilities for manipulating filesystem extended attributes
- attr-dev
- virtueel pakket geboden door libattr1-dev
- attr-udeb (1:2.4.48-4)
- utilities for manipulating filesystem extended attributes
- aubio-tools (0.4.6-2)
- library for audio segmentation -- utilities
- auctex (11.91-2)
- geïntegreerde documentbewerkingsomgeving voor TeX enz.
- audacious (3.10.1-1)
- small and fast audio player which supports lots of formats
- audacious-dev (3.10.1-1)
- audacious development files
- audacious-plugins (3.10.1-1)
- Base plugins for audacious
- audacious-plugins-data (3.10.1-1)
- Data files for Audacious plugins
- audacity (2.2.2-1+b1)
- Snelle, cross-platform geluidsbewerkingsoftware
- audacity-data (2.2.2-1)
- fast, cross-platform audio editor (data)
- audio-mixer
- virtueel pakket geboden door alsa-utils-udeb, aumix, alsa-utils, aumix-gtk, opencubicplayer
- audiofile-tools (0.3.6-5+deb10u1) [security]
- sfinfo and sfconvert tools
- audiolink (0.05-3)
- makes managing and searching for music easier
- audiotools (3.1.1-1.1+b3)
- Collection of audio handling programs for the command line
- audispd-plugins (1:2.8.4-3)
- Plugins for the audit event dispatcher
- auditd (1:2.8.4-3)
- User space tools for security auditing
- audtty (0.1.12-5+b1)
- ncurses based frontend to audacious
- aufs-dev (4.19+20190211-1)
- Development files for aufs
- aufs-dkms (4.19+20190211-1)
- DKMS files to build and install aufs
- aufs-tools (1:4.14+20190211-1)
- Tools to manage aufs filesystems
- augeas-doc (1.11.0-3)
- Augeas lenses documentation
- augeas-lenses (1.11.0-3)
- Set of lenses needed by libaugeas0 to parse config files
- augeas-tools (1.11.0-3)
- Augeas command line tools
- augustus (3.3.2+dfsg-2)
- gene prediction in eukaryotic genomes
- augustus-data (3.3.2+dfsg-2)
- data files for AUGUSTUS
- augustus-doc (3.3.2+dfsg-2)
- documentation files for AUGUSTUS
- aumix (2.9.1-6+b1)
- Simple text-based mixer control program
- aumix
- virtueel pakket geboden door aumix-gtk
- aumix-common (2.9.1-6)
- Simple text-based mixer control program (common files)
- aumix-gtk (2.9.1-6+b1)
- Simple mixer control program with GUI and text interfaces
- auralquiz (1.0.0-1.1)
- simple music quiz game using your own music files
- authbind (2.1.2)
- bind() op lage poorten toestaan voor non-root programma's
- auto-07p (0.9.1+dfsg-7)
- software for continuation and bifurcation problems in ODE
- auto-apt-proxy (11)
- automatic detector of common APT proxy settings
- auto-complete-el (1.5.1-0.1)
- transitional package for elpa-auto-complete
- auto-install-el (1.58-1)
- Auto install elisp file
- auto-multiple-choice (1.4.0-1)
- Auto Multiple Choice - multiple choice papers management
- auto-multiple-choice-common (1.4.0-1)
- Auto Multiple Choice - architecture independent files
- auto-multiple-choice-doc (1.4.0-1)
- Auto Multiple Choice - HTML documentation
- auto-multiple-choice-doc-pdf (1.4.0-1)
- Auto Multiple Choice - PDF documentation
- autobahn-cpp-dev (17.5.1+git7cc5d37-2)
- WAMP in C++ for Boost/Asio - development headers
- autobahn-cpp-doc (17.5.1+git7cc5d37-2)
- WAMP in C++ for Boost/Asio - examples
- autoclass (3.3.6.dfsg.1-1+b2)
- automatic classification or clustering
- autoconf (2.69-11)
- automatic configure script builder
- autoconf-archive (20180313-1)
- Autoconf Macro Archive
- autoconf-dickey (2.52+20181006-1)
- automatic configure script builder (Thomas Dickey's version)
- autoconf-doc (2.69-11)
- automatic configure script builder documentation
- autoconf-gl-macros
- virtueel pakket geboden door autoconf-archive
- autoconf2.13 (2.13-68)
- automatic configure script builder (obsolete version)
- autoconf2.64 (2.64+dfsg-1)
- scriptbouwer voor automatische configuratie (verouderde versie)
- autocutsel (0.10.0-2)
- Keep the X clipboard and the cutbuffer in sync
- autodeb-server (0.20.0-1+b11)
- main server for autodeb
- autodeb-worker (0.20.0-1+b11)
- runs autodeb jobs
- autodep8 (0.18)
- DEP-8 test control file generator
- autodia (2.14-1)
- generates UML diagrams from perl or C++ code
- autodir (0.99.9-10+b1)
- Automatically creates home and group directories for LDAP/NIS/SQL/local accounts
- autodns-dhcp (0.9)
- Automatic DNS updates for DHCP
- autodock (4.2.6-6)
- analysis of ligand binding to protein structure
- autodock-getdata (4.2.6-6)
- instructions for getData to collect compounds
- autodock-test (4.2.6-6)
- test files for AutoDock
- autodock-vina (1.1.2-5+b1)
- docking of small molecules to proteins
- autofdo (0.18-2)
- AutoFDO Profile Toolchain
- autofs (5.1.2-4)
- kernel-based automounter for Linux
- autofs-hesiod (5.1.2-4)
- Hesiod map support for autofs
- autofs-ldap (5.1.2-4)
- LDAP map support for autofs
- autofs5
- virtueel pakket geboden door autofs
- autofs5-hesiod
- virtueel pakket geboden door autofs-hesiod
- autofs5-ldap
- virtueel pakket geboden door autofs-ldap
- autogen (1:5.18.12-4)
- automated text file generator
- autogen-doc (1:5.18.12-4)
- automated text file generator - documentation
- autogrid (4.2.6-6)
- pre-calculate binding of ligands to their receptor
- autogrid-test (4.2.6-6)
- test files for AutoGrid
- autojump (22.5.1-1)
- shell extension to jump to frequently used directories
- autokey-common (0.90.4-1.1)
- desktop automation utility - common data
- autokey-gtk (0.90.4-1.1)
- desktop automation utility - GTK+ version
- autolog (0.40+debian-3)
- Log out idle users
- automake (1:1.16.1-4)
- Tool for generating GNU Standards-compliant Makefiles
- automake-1.15 (1:1.15.1-5)
- Tool for generating GNU Standards-compliant Makefiles
- automake-1.16
- virtueel pakket geboden door automake
- automake1.11 (1:1.11.6-5)
- Tool for generating GNU Standards-compliant Makefiles
- automaken
- virtueel pakket geboden door automake1.11, automake, automake-1.15
- automoc (1.0~version-0.9.88-5+b2)
- automatic moc voor Qt 4-pakketten
- automx (0.10.0-2.1)
- Provides account configuration data to mailclients
- automysqlbackup (2.6+debian.4-2)
- daily, weekly and monthly backup for your MySQL database
- autopkgtest (5.10)
- automatic as-installed testing for Debian packages
- autopoint (0.19.8.1-9)
- Het autopoint-programma van GNU-gettext
- autopostgresqlbackup (1.1-1)
- Automated tool to make periodic backups of PostgreSQL databases
- autoproject (0.20-11)
- create a skeleton source package for a new program
- autopsy (2.24-3)
- graphical interface to SleuthKit
- autoradio (3.1-6)
- radio automation software
- autorandr (1.7-1)
- Automatically select a display configuration for connected devices
- autorenamer (0.4-1)
- program to rename files to make them sort in given order
- autorevision (1.21-1)
- extracts revision metadata from your VCS repository
- autossh (1.4g-1)
- Automatically restart SSH sessions and tunnels
- autosuspend (2.0.4-1)
- daemon to suspend a system in case of inactivity
- autotalent (0.2-5)
- pitch correction LADSPA plugin
- autotools-dev (20180224.1)
- Update infrastructure for config.{guess,sub} files
- autotrash (0.1.5-1.1)
- purges files from your trash based on age and/or filename
- avahi-autoipd (0.7-4+deb10u3) [security]
- Avahi IPv4LL network address configuration daemon
- avahi-daemon (0.7-4+deb10u3) [security]
- Avahi mDNS/DNS-SD daemon
- avahi-discover (0.7-4+deb10u3) [security]
- Service discover user interface for avahi
- avahi-dnsconfd (0.7-4+deb10u3) [security]
- Avahi DNS configuration tool
- avahi-ui-utils (0.7-4+deb10u3) [security]
- Avahi GTK+ utilities
- avahi-utils (0.7-4+deb10u3) [security]
- Avahi browsing, publishing and discovery utilities
- avarice (2.13+svn375-1)
- use GDB with Atmel AVR debuggers
- avce00 (2.0.0-7)
- Conversion of ESRI Arcinfo Vector Coverage in E00 format
- avfs (1.0.6-1)
- virtual filesystem to access archives, disk images, remote locations
- aview (1.3.0rc1-9+b2)
- A high quality ASCII art image viewer and video player
- avis (1.2.2-4)
- high-performance publish/subscribe event router
- avogadro (1.2.0-4+b2)
- Molecular Graphics and Modelling System
- avogadro-data (1.2.0-4)
- Molecular Graphics and Modelling System (Data Files)
- avr-evtd (1.7.7-2+b2)
- AVR watchdog daemon for Linkstation/Kuroboxes
- avr-libc (1:2.0.0+Atmel3.6.1-2)
- Standard C library for Atmel AVR development
- avra (1.3.0-3)
- assembler for Atmel AVR microcontrollers
- avrdude (6.3-20171130+svn1429-2)
- software for programming Atmel AVR microcontrollers
- avrdude-doc (6.3-20171130+svn1429-2)
- documentation for avrdude
- avro-bin (1.8.2-1)
- Apache Avro C utilities (avro-c)
- avrp (1.0beta3-7+b2)
- Programmer for Atmel AVR microcontrollers
- avw.lv2 (0.1.6~dfsg0-1+b2)
- collection of Voltage Controlled LV2 modules
- awardeco (0.2-3.1+b2)
- Decompress flashfiles equipped with an AWARD BIOS
- away (0.9.5+ds-0+nmu2+b1)
- Terminal locking program
- awesfx (0.5.1e-2)
- utility programs for AWE32/64 and Emu10k1 driver
- awesome (4.3-4)
- highly configurable X window manager
- awesome-doc (4.3-4)
- highly configurable X window manager - documentation
- (2019021001)
- additional modules for awesome
- awffull (3.10.2-6)
- web server log analysis program
- awit-dbackup (0.0.22-1)
- Flexible one archive per directory backup tool
- awk
- virtueel pakket geboden door mawk, gawk, original-awk
- awl-doc (0.60-1+deb10u1)
- Andrew's Web Libraries - API documentation
- aws-shell (0.2.1-1)
- Interactive productivity booster for the AWS CLI
- awscli (1.16.113-1)
- Universal Command Line Environment for AWS
- awstats (7.6+dfsg-2+deb10u2) [security]
- krachtig webserver-loganalyseprogramma met vele functies
- ax25-apps (0.0.8-rc4-2+b1)
- AX.25 ham radio applications
- ax25-tools (0.0.10-rc4-3)
- tools for AX.25 interface configuration
- ax25-xtools (0.0.10-rc4-3)
- tools for AX.25 interface configuration -- X11-based
- ax25mail-utils (0.13-1+b1)
- hamradio packet utilities for fbb
- axe-demultiplexer (0.3.3+dfsg-1)
- Trie-based DNA sequencing read demultiplexer
- axel (2.16.1-4)
- light command line download accelerator
- axiom (20170501-4)
- General purpose computer algebra system: main binary and modules
- axiom-databases (20170501-4)
- General purpose computer algebra system: generated text databases
- axiom-doc (20170501-4)
- General purpose computer algebra system: documentation
- axiom-graphics (20170501-4)
- General purpose computer algebra system: graphics subsystem
- axiom-graphics-data (20170501-4)
- General purpose computer algebra system: graphics subsystem
- axiom-hypertex (20170501-4)
- General purpose computer algebra system: hypertex subsystem
- axiom-hypertex-data (20170501-4)
- General purpose computer algebra system: hypertex subsystem
- axiom-source (20170501-4)
- General purpose computer algebra system: source files
- axiom-test (20170501-4)
- General purpose computer algebra system: regression test inputs
- axiom-tex (20170501-4)
- General purpose computer algebra system: style file for TeX
- axmail (2.9-2)
- Mail user agent for ax.25 users, accessed via a node frontend
- ayatana-indicator-application (0.5.2-2)
- Ayatana Application Indicators
- ayatana-indicator-common (0.6.2-3)
- libayatana-indicator shared files
- ayatana-indicator-messages (0.6.0-2)
- Ayatana Indicator that collects messages that need a response
- ayatana-indicator-notifications (0.4.0-2)
- Ayatana Indicator for viewing recent notifications
- ayatana-indicator-power (2.0.93-3)
- Ayatana Indicator showing power state
- ayatana-indicator-printers (0.1.9-2)
- Ayatana Indicator showing active print jobs
- ayatana-indicator-session (0.4.3-2)
- Ayatana Indicator showing session management, status and user switching
- aylet (0.5-3+b3)
- ncurses-based player for Spectrum '.ay' music files
- aylet-gtk (0.5-3+b3)
- X-GTK2-based player for Spectrum '.ay' music files
- b43-fwcutter (1:019-4+deb10u1) [contrib]
- utility for extracting Broadcom 43xx firmware
- babel-minify (0.5.0-1)
- ES6+ aware minifier based on the Babel toolchain
- babeld (1.8.3-1)
- loop-free distance-vector routing protocol
- babeljs (= 6.26.0+dfsg-3)
- virtueel pakket geboden door node-babel-cli
- babeljs (= 6.26.0+dfsg-3+deb10u1)
- virtueel pakket geboden door node-babel-cli
- babeltrace (1.5.6-2+deb10u1)
- Trace conversion program
- babiloo (2.0.11-2)
- dictionary viewer with multi-languages support
- backblaze-b2 (1.3.8-1)
- Command Line Tool for Backblaze B2
- backdoor-factory (3.4.2+dfsg-4)
- Patch 32/64 bits ELF & win32/64 binaries with shellcode
- backintime-common (1.1.24-0.1)
- simple backup/snapshot system (common files)
- backintime-gnome (1.1.24-0.1)
- GNOME front-end for backintime (transitional package)
- backintime-kde (1.1.24-0.1)
- KDE front-end for backintime (transitional package)
- backintime-qt4 (1.1.24-0.1)
- simple backup/snapshot system (graphical interface)
- backup-manager (0.7.14-1+deb10u1)
- command-line backup tool
- backup-manager-doc (0.7.14-1+deb10u1)
- documentation package for Backup Manager
- backup2l (1.6-3)
- low-maintenance backup/restore tool
- backupchecker (1.7-1)
- fully automated backup checker
- backupninja (1.1.0-2.1)
- lightweight, extensible meta-backup system
- backuppc (3.3.2-2+deb10u1)
- hoogwaardig systeem voor het back-uppen van pc's, ook voor ondernemingen
- bacula (9.4.2-2+deb10u1)
- network backup service - metapackage
- bacula-bscan (9.4.2-2+deb10u1)
- network backup service - bscan tool
- bacula-client (9.4.2-2+deb10u1)
- network backup service - client metapackage
- bacula-common (9.4.2-2+deb10u1)
- network backup service - common support files
- bacula-common-mysql (9.4.2-2+deb10u1)
- network backup service - MySQL common files
- bacula-common-pgsql (9.4.2-2+deb10u1)
- network backup service - PostgreSQL common files
- bacula-common-sqlite3 (9.4.2-2+deb10u1)
- network backup service - SQLite v3 common files
- bacula-console (9.4.2-2+deb10u1)
- network backup service - text console
- bacula-console-qt (9.4.2-2+deb10u1)
- network backup service - Bacula Administration Tool
- bacula-director (9.4.2-2+deb10u1)
- network backup service - Director daemon
- bacula-director-common (9.4.2-2+deb10u1)
- transitional package
- bacula-director-database
- virtueel pakket geboden door bacula-director-sqlite3, bacula-director-pgsql, bacula-director-mysql
- bacula-director-mysql (9.4.2-2+deb10u1)
- network backup service - MySQL storage for Director
- bacula-director-pgsql (9.4.2-2+deb10u1)
- network backup service - PostgreSQL storage for Director
- bacula-director-sqlite3 (9.4.2-2+deb10u1)
- network backup service - SQLite 3 storage for Director
- bacula-doc (9.4.2-2)
- Documentation for Bacula
- bacula-fd (9.4.2-2+deb10u1)
- network backup service - file daemon
- bacula-sd (9.4.2-2+deb10u1)
- network backup service - storage daemon
- bacula-server (9.4.2-2+deb10u1)
- network backup service - server metapackage
- bacula-tray-monitor (9.4.2-2+deb10u1)
- network backup service - Bacula Tray Monitor
- bagel (1.2.2-1)
- Computational Chemistry Package
- baitfisher (1.2.7+git20180107.e92dbf2+dfsg-1)
- software package for designing hybrid enrichment probes
- balance (3.57-1+b1)
- Load balancing solution and generic tcp proxy
- balboa (1.0-3+b22)
- Passive DNS database with GraphQL interface
- balder2d (1.0-2+b1)
- A 2D shooter in zero gravity
- balder2d-data (1.0-2)
- data files for balder2d
- bali-phy (3.4+dfsg-1)
- Bayesian Inference of Alignment and Phylogeny
- ballerburg (1.2.0-3)
- Classical castle combat game
- ballview (1.5.0+git20180813.37fc53c-3)
- free molecular modeling and molecular graphics tool
- ballz (1.0.4-1)
- B.A.L.L.Z. - platform/puzzle game where you control a rolling ball
- ballz-data (1.0.4-1)
- B.A.L.L.Z. - game data
- baloo-kf5 (5.54.0-1)
- framework for searching and managing metadata
- baloo-kf5-dev (5.54.0-1)
- framework for searching and managing metadata devel files
- balsa (2.5.6-2+deb10u1)
- e-mail client for GNOME
- bam (0.5.1-1)
- fast and flexible build system
- bambam (1.0.1+dfsg-1)
- keyboard mashing and doodling game for babies
- bambootracker (0.1.5-1)
- YM2608 (OPNA, sound chip of Yamaha) music tracker
- bamfdaemon (0.5.4-1)
- Window matching library - daemon
- bamtools (2.5.1+dfsg-3)
- toolkit for manipulating BAM (genome alignment) files
- bandage (0.8.1-1)
- Bioinformatics Application for Navigating De novo Assembly Graphs Easily
- bandit (1.5.1-1)
- Security oriented static analyzer for Python code - Metapackage
- bandwidthd (2.0.1+cvs20090917-11)
- Tracks usage of TCP/IP and builds html files with graphs
- bandwidthd-pgsql (2.0.1+cvs20090917-11)
- Tracks usage of TCP/IP and builds html files with graphs
- baobab (3.30.0-2)
- GNOME disk usage analyzer
- bar (1.11.1-3)
- Show information about a data transfer
- barbican-api (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - API Server
- barbican-common (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - common files
- barbican-doc (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - doc
- barbican-keystone-listener (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - Keystone Listener
- barbican-worker (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - Worker Node
- barcode (0.99-3)
- Utility for barcode generation
- bareos (16.2.6-5)
- Backup Archiving Recovery Open Sourced - metapackage
- bareos-bat (16.2.6-5)
- Backup Archiving Recovery Open Sourced - Bareos Admin Tool (BAT)
- bareos-bconsole (16.2.6-5)
- Backup Archiving Recovery Open Sourced - text console
- bareos-client (16.2.6-5)
- Backup Archiving Recovery Open Sourced - client metapackage
- bareos-common (16.2.6-5)
- Backup Archiving Recovery Open Sourced - common files
- bareos-database-common (16.2.6-5)
- Backup Archiving Recovery Open Sourced - common catalog files
- bareos-database-mysql (16.2.6-5)
- Backup Archiving Recovery Open Sourced - MySQL backend
- bareos-database-postgresql (16.2.6-5)
- Backup Archiving Recovery Open Sourced - PostgreSQL backend
- bareos-database-sqlite3 (16.2.6-5)
- Backup Archiving Recovery Open Sourced - SQLite backend
- bareos-database-tools (16.2.6-5)
- Backup Archiving Recovery Open Sourced - database tools
- bareos-dbg (16.2.6-5)
- Backup Archiving Recovery Open Sourced - debugging symbols
- bareos-devel (16.2.6-5)
- Backup Archiving Recovery Open Sourced - development files
- bareos-director (16.2.6-5)
- Backup Archiving Recovery Open Sourced - director daemon
- bareos-director-python-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - director Python plugin
- bareos-filedaemon (16.2.6-5)
- Backup Archiving Recovery Open Sourced - file daemon
- bareos-filedaemon-ceph-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - file daemon CEPH plugin
- bareos-filedaemon-glusterfs-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - filedaemon-glusterfs-plugin
- bareos-filedaemon-ldap-python-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - file daemon LDAP plugin
- bareos-filedaemon-python-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - file daemon Python plugin
- bareos-storage (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage daemon
- bareos-storage-ceph (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage daemon CEPH backend
- bareos-storage-fifo (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage daemon FIFO backend
- bareos-storage-glusterfs (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage-glusterfs-plugin
- bareos-storage-python-plugin (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage-python-plugin
- bareos-storage-tape (16.2.6-5)
- Backup Archiving Recovery Open Sourced - storage daemon tape support
- bareos-tools (16.2.6-5)
- Backup Archiving Recovery Open Sourced - common tools
- bareos-traymonitor (16.2.6-5)
- Backup Archiving Recovery Open Sourced - tray monitor
- baresip (0.6.1-1)
- portable and modular SIP user-agent - metapackage
- baresip-core (0.6.1-1)
- portable and modular SIP user-agent - core parts
- baresip-ffmpeg (0.6.1-1)
- portable and modular SIP user-agent - FFmpeg codecs and formats
- baresip-gstreamer (0.6.1-1)
- portable and modular SIP user-agent - GStreamer pipelines
- baresip-gtk (0.6.1-1)
- portable and modular SIP user-agent - GTK+ front-end
- baresip-x11 (0.6.1-1)
- portable and modular SIP user-agent - X11 features
- barman (2.6-1)
- Backup and Recovery Manager for PostgreSQL
- barman-cli (1.3-1)
- Client utilities for the integration of Barman in PostgreSQL clusters
- barnowl (1.10-1+b1)
- A curses-based tty Jabber, IRC, AIM and Zephyr client
- barnowl-irc
- virtueel pakket geboden door barnowl
- barrage (1.0.4-3)
- Rather destructive action game
- barrier (2.1.2+dfsg-1)
- Share mouse, keyboard and clipboard over the network
- barrnap (0.9+dfsg-1)
- rapid ribosomal RNA prediction
- bart (0.4.04-2)
- tools for computational magnetic resonance imaging
- bart-view (0.1.00-2)
- viewer for multi-dimensional complex-valued data
- base
- virtueel pakket geboden door base-files
- base-files (10.3+deb10u13)
- Debian base system miscellaneous files
- base-installer (1.189)
- base system installation framework
- base-passwd (3.5.46)
- Debian basissysteem hoofd wachtwoord en groep-bestanden
- base58 (1.0.3-1)
- base58 encode/decode: command-line interface
- basex (9.0.1+ds-1)
- XML database and XPath/XQuery processor
- basez (1.6-3+deb10u1)
- base 16/32/64 encode/decode data to standard output
- bash (5.0-4)
- GNU Bourne Again SHell
- bash-builtins (5.0-4)
- Bash loadable builtins - headers & examples
- bash-completion (1:2.8-6)
- programmable completion for the bash shell
- bash-doc (5.0-4)
- Documentation and examples for the GNU Bourne Again SHell
- bash-static (5.0-4)
- GNU Bourne Again SHell (static version)
- basic256 (1.1.4.0-3)
- educational BASIC programming environment for children
- basilisk2 (0.9.20180101-1+b1) [contrib]
- 68k Macintosh emulator
- basket (2.11~beta+git20180715.058ce7a-1)
- multi-purpose note-taking application for KDE
- basket-data (2.11~beta+git20180715.058ce7a-1)
- data files for BasKet Notepads
- bastet (0.43-5+b1)
- ncurses Tetris clone with a bastard algorithm
- batctl (2019.0-1)
- B.A.T.M.A.N. advanced control and management tool
- batmand (0.3.2-18)
- better approach to mobile adhoc networking
- batmon.app (0.9-2+b1)
- Battery monitor for GNUstep
- bats (0.4.0-1.1)
- bash automated testing system
- battery-stats (0.5.6-1)
- collects statistics about charge of laptop batteries
- baycomepp (0.10-15)
- Drivers for the HB9JNX packet radio epp modem
- baycomusb (0.10-14)
- Drivers for the HB9JNX packet radio usb modem
- bb (1.3rc1-11)
- ASCII-art demo based on AAlib
- bbdb (2.36-4.1)
- The Insidious Big Brother Database (email rolodex) for Emacs
- bbdb
- virtueel pakket geboden door bbdb3
- bbdb3 (3.2-5)
- Reboot of the BBDB Insidious Big Brother Database for Emacs
- bbe (0.2.2-3)
- sed-like editor for binary files
- bbmail (0.9.3-2+b1)
- Mail notifier for Blackbox/Fluxbox
- (0.4.7-5+b1)
- Pager for the blackbox and fluxbox window managers
- bbqsql (1.1-3)
- SQL Injection Exploitation Tool
- bbswitch-dkms (0.8-8)
- Interface for toggling the power on NVIDIA Optimus video cards
- bbswitch-source (0.8-8)
- Interface for toggling the power on NVIDIA Optimus video cards
- bbtime (0.1.5-13+b1)
- Time tool for the blackbox/fluxbox window managers
- bc (1.07.1-2+b1)
- GNU bc arbitrary precision calculator language
- bcache-tools (1.0.8-3)
- bcache userspace tools
- bcal (2.1-1)
- Command-line utility for storage conversions and calculations
- bcbio (1.1.2-3)
- toolkit for analysing high-throughput sequencing data
- bcbio-doc (1.1.2-3)
- Documentation for RNAseq-workflows of bcbio(-nextgen)
- bcc (0.16.17-3.3)
- 16-bit x86 C compiler
- bcfg2 (1.4.0~pre2+git141-g6d40dace6358-2)
- Configuration management client
- bcfg2-doc (1.4.0~pre2+git141-g6d40dace6358-2)
- Configuration management system documentation
- bcfg2-server (1.4.0~pre2+git141-g6d40dace6358-2)
- Configuration management server
- bcfg2-web (1.4.0~pre2+git141-g6d40dace6358-2)
- Configuration management web interface
- bcftools (1.9-1)
- genomic variant calling and manipulation of VCF/BCF files
- bchunk (1.2.2-2)
- CD image format conversion from bin/cue to iso/cdr
- bcolz-doc (1.2.1+ds2-2)
- high performant compressed data container (documentation)
- bcpp (0.0.20180401-1)
- C(++) beautifier
- bcpp-dbg (0.0.20180401-1)
- C(++) beautifier - debug
- bcrelay (1.4.0-11+b1)
- Broadcast relay daemon
- bcron (0.11-8)
- Bruce cron system
- bcron-run
- virtueel pakket geboden door bcron
- bcrypt (1.1-8.1+b1)
- Cross platform file encryption utility using blowfish (Decrypt only)
- bd (1.02-4)
- quickly go back to a specific parent directory in bash
- bdbvu (0.1-2)
- simple GUI tool to browse Berkeley DB databases
- bdf2psf (1.193~deb10u1)
- font converter to generate console fonts from BDF source fonts
- bdfresize (1.5-11)
- tool for resizing BDF format font
- bdii (5.2.23-2)
- Berkeley Database Information Index (BDII)
- beads (1.1.18+dfsg-3+b1)
- 2-DE electrophoresis gel image spot detection
- beagle (5.0-180928+dfsg-1+deb10u1)
- Genotype calling, genotype phasing and imputation of ungenotyped markers
- beagle-doc (5.0-180928+dfsg-1+deb10u1)
- Documentation for Beagle
- beancount (2.2.0-3)
- Double-entry accounting from text files
- beanstalkd (1.10-4)
- simple, in-memory, workqueue service
- bear (2.3.13-1)
- generate compilation database for Clang tooling
- bear-factory (0.6.0-4+b1)
- Editors for Plee the Bear
- beast-mcmc (1.10.4+dfsg-1)
- Bayesian MCMC phylogenetic inference
- beast-mcmc-examples (1.10.4+dfsg-1)
- Bayesian MCMC phylogenetic inference - example data
- beast-mcmc-lib
- virtueel pakket geboden door libnucleotidelikelihoodcore0
- beast2-mcmc (2.5.1+dfsg-2)
- Bayesian MCMC phylogenetic inference
- beast2-mcmc-doc (2.5.1+dfsg-2)
- Bayesian MCMC phylogenetic inference - documentation
- beast2-mcmc-examples (2.5.1+dfsg-2)
- Bayesian MCMC phylogenetic inference - example data
- beav (1:1.40-18+b3)
- binary editor and viewer
- bedops (2.4.35+dfsg-1)
- high-performance genomic feature operations
- bedops-doc (2.4.35+dfsg-1)
- high-performance genomic feature operations (documentation)
- bedtools (2.27.1+dfsg-4)
- suite of utilities for comparing genomic features
- bedtools-test (2.27.1+dfsg-4)
- test data for the bedtools package
- beef (1.0.2-3)
- flexible Brainfuck interpreter
- beep (1.4.3-2)
- advanced PC-speaker beeper
- beep-udeb (1.4.3-2)
- advanced PC-speaker beeper - minimal package
- beets (1.4.7-2)
- music tagger and library organizer
- beets-doc (1.4.7-2)
- music tagger and library organizer - documentation
- beignet (1.3.2-6+b10)
- OpenCL library for Intel GPUs - transitional dummy package
- beignet-dev (1.3.2-6+b10)
- OpenCL for Intel GPUs (development files and documentation)
- beignet-opencl-icd (1.3.2-6+b10)
- OpenCL library for Intel GPUs
- belenios-tool (1.8+dfsg-1)
- verifiable voting system (command-line tool)
- belier (1.2-3)
- SSH connection generation tool
- belvu (4.44.1+dfsg-3)
- multiple sequence alignment viewer and phylogenetic tool
- ben (0.8.2+b3)
- toolbox for Debian maintainers
- beneath-a-steel-sky (0.0372-7)
- classic 2D point and click science fiction adventure game
- berkeley-abc (1.01+20181130git163bba5+dfsg-1)
- ABC - A System for Sequential Synthesis and Verification
- berkeley-express (1.5.2+dfsg-1+b2)
- Streaming quantification for high-throughput sequencing
- berkeley-express-doc (1.5.2+dfsg-1)
- Documentation for RNA-Seq tool eXpress
- berusky (1.7.1-1)
- Logic game based on Sokoban
- berusky-data (1.7-2)
- Data files for Berusky
- berusky2 (0.10-7+deb10u1)
- logic game Bugs Escape 3D
- berusky2-data (0.9-2)
- logic game Bugs Escape 3D -- data files
- betaradio (1.6-1+b1)
- Internet radio of Taiwan
- between (6+dfsg1-3)
- game about consciousness and isolation
- bf-utf-source (0.08)
- source for fonts needed to build Debian installers
- bfbtester (2.0.1-7.1+b2)
- Brute Force Binary Tester
- bfs (1.3.3-1)
- Breadth-first version of find(1)
- bgoffice-computer-terms (0.0.201010040104-2)
- English-Bulgarian dictionary of computer terms
- bgoffice-dict-downloader (0.10) [contrib]
- download dictionaries for gbgoffice
- bgpdump (1.6.0-1+deb10u1)
- Translate binary zebra/quagga/MRT files into readable output
- bgpq3 (0.1.35-1)
- automatic BGP filter generator using RADB data
- bhl (1.7.3-3)
- Emacs mode for converting annotated text to HTML and LaTeX
- biabam (0.9.7-7.2)
- bash attachment mailer
- bibclean (2.11.4.1-4+b2)
- pretty-printer for BibTeX databases
- bibcursed (2.0.0-6.1+b1)
- Interactive program to edit BibTeX bibliographies
- biber (2.12-2)
- Much-augmented BibTeX replacement for BibLaTeX users
- bible-kjv (4.30)
- King James Version of the Bible: user interface program.
- bible-kjv-text (4.30)
- King James Version of the Bible - text and concordance
- bibledit (5.0.758-1)
- Bible editor
- bibledit-data (5.0.758-1)
- Data for bibledit
- bibletime (2.11.2-11)
- bible study tool for Qt
- bibletime-data (2.11.2-11)
- Documentation and data for bibletime, a bible study tool
- biboumi (8.3-1+b1)
- XMPP gateway to connect to IRC servers
- bibtex2html (1.99-2)
- filters BibTeX files and translates them to HTML
- bibtexconv (0.8.20-1+b4)
- BibTeX Converter
- bibtool (2.67+ds-5)
- tool to manipulate BibTeX files
- bibus (1.5.2+dfsg-1)
- bibliographic database
- bibus-doc-en (1.5.2+dfsg-1)
- Bibus bibliographic database documentation
- bibutils (6.2-1)
- interconvert various bibliographic data formats
- bicyclerepair (0.9-6.2)
- A refactoring tool for python
- bidiv (1.5-6)
- BiDi-viewer - commandoregel hulpprogramma voor weergave van Hebreeuws/Arabisch
- biff (1:0.17.pre20000412-5.1)
- a mail notification tool
- big-cursor (3.10)
- larger mouse cursors for X
- bijiben (3.30.3-2)
- intuitive note editor integrated with GNOME 3
- bilibop (0.5.6)
- run Debian GNU/Linux from external media - metapackage
- bilibop-common (0.5.6)
- shell functions for bilibop scripts
- bilibop-device-policy
- virtueel pakket geboden door bilibop-udev, bilibop-rules
- bilibop-lockfs (0.5.6)
- lock filesystems and write changes into RAM
- bilibop-rules (0.5.6)
- device management rules for OS running from external media
- bilibop-udev (0.5.6)
- minimal udev rule for Debian GNU/Linux running from external media
- billard-gl (1.75-17)
- 3D billiards game
- billard-gl-data (1.75-17)
- 3D billiards game - data files
- biloba (0.9.3-8)
- turn based strategy board game for up to 4 players
- biloba-data (0.9.3-8)
- data package for biloba turn based strategy board game
- bin86 (0.16.17-3.3)
- 16-bit x86 assembler and loader
- binaryen (68-1)
- compiler and toolchain infrastructure library for WebAssembly
- binclock (1.5-6+b1)
- binary clock for console with color support
- bind9 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Internet Domain Name Server
- bind9-doc (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Documentatie voor BIND
- bind9-dyndb-ldap (11.1-5)
- LDAP back-end plug-in for BIND
- bind9-host (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- DNS lookup utility (deprecated)
- bind9utils (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Utilities for BIND
- bindechexascii (0.0+20140524.git7dcd86-4)
- simple ASCII,binary,decimal and hex converter
- bindfs (1.13.10-1)
- mirrors or overlays a local directory with altered permissions
- bindgen (0.47.0-1)
- Automatically generates Rust FFI bindings to C and C++ libraries
- binfmt-support (2.2.0-2)
- Support for extra binary formats
- binfmtc (0.17-2+b1)
- Execute C program as script
- bing (1.3.5-2)
- Empirical stochastic bandwidth tester
- biniax2 (1.30-4)
- logic game with arcade and tactics modes
- biniax2-data (1.30-4)
- logic game with arcade and tactics modes -- data
- binkd (1.1a-99-1)
- FidoTech TCP/IP mailer
- bino (1.6.6-3+b2)
- 3D video player
- binoculars (0.0.4-1)
- Surface X-ray diffraction 2D detector data reduction
- binoculars-doc (0.0.4-1)
- Surface X-ray diffraction 2D detector data reduction - Documentation
- binpac (0.51-1)
- high level protocol parser language
- binstats (1.08-8.2)
- Statistics tool for installed programs
- binutils (2.31.1-16)
- GNU assembler, linker and binary utilities
- binutils-aarch64-linux-gnu (2.31.1-16)
- GNU binary utilities, for aarch64-linux-gnu target
- binutils-aarch64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for aarch64-linux-gnu target (debug symbols)
- binutils-alpha-linux-gnu (2.31.1-16)
- GNU binary utilities, for alpha-linux-gnu target
- binutils-alpha-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for alpha-linux-gnu target (debug symbols)
- binutils-arm-linux-gnueabi (2.31.1-16)
- GNU binary utilities, for arm-linux-gnueabi target
- binutils-arm-linux-gnueabi-dbg (2.31.1-16)
- GNU binary utilities, for arm-linux-gnueabi target (debug symbols)
- binutils-arm-linux-gnueabihf (2.31.1-16)
- GNU binary utilities, for arm-linux-gnueabihf target
- binutils-arm-linux-gnueabihf-dbg (2.31.1-16)
- GNU binary utilities, for arm-linux-gnueabihf target (debug symbols)
- binutils-arm-none-eabi (2.31.1-12+11)
- GNU assembler, linker and binary utilities for ARM Cortex-R/M processors
- binutils-avr (2.26.20160125+Atmel3.6.1-4)
- Binary utilities supporting Atmel's AVR targets
- binutils-common (2.31.1-16)
- Common files for the GNU assembler, linker and binary utilities
- binutils-dev (2.31.1-16)
- GNU binary utilities (BFD development files)
- binutils-doc (2.31.1-16)
- Documentation for the GNU assembler, linker and binary utilities
- binutils-for-build (2.31.1-16)
- GNU assembler, linker and binary utilities for the build architecture
- binutils-for-host (2.31.1-16)
- GNU assembler, linker and binary utilities for the host architecture
- binutils-gold
- virtueel pakket geboden door binutils
- binutils-h8300-hms (2.16.1-10+b2)
- GNU binary utilities, for h8300-hitachi-coff target
- binutils-hppa-linux-gnu (2.31.1-16)
- GNU binary utilities, for hppa-linux-gnu target
- binutils-hppa-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for hppa-linux-gnu target (debug symbols)
- binutils-hppa64
- virtueel pakket geboden door binutils-hppa64-linux-gnu
- binutils-hppa64-linux-gnu (2.31.1-16)
- GNU assembler, linker and binary utilities targeted for hppa64-linux
- binutils-hppa64-linux-gnu-dbg (2.31.1-16)
- GNU binutils targeted for hppa64-linux (debug symbols)
- binutils-i586-gnu
- virtueel pakket geboden door binutils-i686-gnu
- binutils-i586-kfreebsd-gnu
- virtueel pakket geboden door binutils-i686-kfreebsd-gnu
- binutils-i586-linux-gnu
- virtueel pakket geboden door binutils-i686-linux-gnu
- binutils-i686-gnu (2.31.1-16)
- GNU binary utilities, for i686-gnu target
- binutils-i686-gnu-dbg (2.31.1-16)
- GNU binary utilities, for i686-gnu target (debug symbols)
- binutils-i686-kfreebsd-gnu (2.31.1-16)
- GNU binary utilities, for i686-kfreebsd-gnu target
- binutils-i686-kfreebsd-gnu-dbg (2.31.1-16)
- GNU binary utilities, for i686-kfreebsd-gnu target (debug symbols)
- binutils-i686-linux-gnu (2.31.1-16)
- GNU binary utilities, for i686-linux-gnu target
- binutils-i686-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for i686-linux-gnu target (debug symbols)
- binutils-ia64-linux-gnu (2.31.1-16)
- GNU binary utilities, for ia64-linux-gnu target
- binutils-ia64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for ia64-linux-gnu target (debug symbols)
- binutils-m68hc1x (1:2.18-9)
- binary utilities that support Motorola's 68HC11/12 targets
- binutils-m68k-linux-gnu (2.31.1-16)
- GNU binary utilities, for m68k-linux-gnu target
- binutils-m68k-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for m68k-linux-gnu target (debug symbols)
- binutils-mingw-w64 (2.31.1-11+8.3)
- Cross-binutils for Win32 and Win64 using MinGW-w64
- binutils-mingw-w64-i686 (2.31.1-11+8.3)
- Cross-binutils for Win32 (x86) using MinGW-w64
- binutils-mingw-w64-x86-64 (2.31.1-11+8.3)
- Cross-binutils for Win64 (x64) using MinGW-w64
- binutils-mips-linux-gnu (2.31.1-16)
- GNU binary utilities, for mips-linux-gnu target
- binutils-mips-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for mips-linux-gnu target (debug symbols)
- binutils-mips64-linux-gnuabi64 (2.31.1-14cross3)
- GNU binary utilities, for mips64-linux-gnuabi64 target
- binutils-mips64-linux-gnuabi64-dbg (2.31.1-14cross3)
- GNU binary utilities, for mips64-linux-gnuabi64 target (debug symbols)
- binutils-mips64-linux-gnuabin32 (2.31.1-14cross3)
- GNU binary utilities, for mips64-linux-gnuabin32 target
- binutils-mips64-linux-gnuabin32-dbg (2.31.1-14cross3)
- GNU binary utilities, for mips64-linux-gnuabin32 target (debug symbols)
- binutils-mips64el-linux-gnuabi64 (2.31.1-16)
- GNU binary utilities, for mips64el-linux-gnuabi64 target
- binutils-mips64el-linux-gnuabi64-dbg (2.31.1-16)
- GNU binary utilities, for mips64el-linux-gnuabi64 target (debug symbols)
- binutils-mips64el-linux-gnuabin32 (2.31.1-14cross3)
- GNU binary utilities, for mips64el-linux-gnuabin32 target
- binutils-mips64el-linux-gnuabin32-dbg (2.31.1-14cross3)
- GNU binary utilities, for mips64el-linux-gnuabin32 target (debug symbols)
- binutils-mipsel-linux-gnu (2.31.1-16)
- GNU binary utilities, for mipsel-linux-gnu target
- binutils-mipsel-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for mipsel-linux-gnu target (debug symbols)
- binutils-mipsisa32r6-linux-gnu (2.31.1-14cross3)
- GNU binary utilities, for mipsisa32r6-linux-gnu target
- binutils-mipsisa32r6-linux-gnu-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa32r6-linux-gnu target (debug symbols)
- binutils-mipsisa32r6el-linux-gnu (2.31.1-14cross3)
- GNU binary utilities, for mipsisa32r6el-linux-gnu target
- binutils-mipsisa32r6el-linux-gnu-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa32r6el-linux-gnu target (debug symbols)
- binutils-mipsisa64r6-linux-gnuabi64 (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target
- binutils-mipsisa64r6-linux-gnuabi64-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target (debug symbols)
- binutils-mipsisa64r6-linux-gnuabin32 (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target
- binutils-mipsisa64r6-linux-gnuabin32-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target (debug symbols)
- binutils-mipsisa64r6el-linux-gnuabi64 (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target
- binutils-mipsisa64r6el-linux-gnuabi64-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target (debug symbols)
- binutils-mipsisa64r6el-linux-gnuabin32 (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target
- binutils-mipsisa64r6el-linux-gnuabin32-dbg (2.31.1-14cross3)
- GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target (debug symbols)
- binutils-multiarch (2.31.1-16)
- Binary utilities that support multi-arch targets
- binutils-multiarch-dbg (2.31.1-16)
- Binary utilities that support multi-arch targets (debug symbols)
- binutils-multiarch-dev (2.31.1-16)
- GNU binary utilities that support multi-arch targets (BFD development files)
- binutils-powerpc-linux-gnu (2.31.1-16)
- GNU binary utilities, for powerpc-linux-gnu target
- binutils-powerpc-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for powerpc-linux-gnu target (debug symbols)
- binutils-powerpc-linux-gnuspe (2.31.1-16)
- GNU binary utilities, for powerpc-linux-gnuspe target
- binutils-powerpc-linux-gnuspe-dbg (2.31.1-16)
- GNU binary utilities, for powerpc-linux-gnuspe target (debug symbols)
- binutils-powerpc64-linux-gnu (2.31.1-16)
- GNU binary utilities, for powerpc64-linux-gnu target
- binutils-powerpc64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for powerpc64-linux-gnu target (debug symbols)
- binutils-powerpc64le-linux-gnu (2.31.1-16)
- GNU binary utilities, for powerpc64le-linux-gnu target
- binutils-powerpc64le-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for powerpc64le-linux-gnu target (debug symbols)
- binutils-riscv64-linux-gnu (2.31.1-16)
- GNU binary utilities, for riscv64-linux-gnu target
- binutils-riscv64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for riscv64-linux-gnu target (debug symbols)
- binutils-s390x-linux-gnu (2.31.1-16)
- GNU binary utilities, for s390x-linux-gnu target
- binutils-s390x-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for s390x-linux-gnu target (debug symbols)
- binutils-sh4-linux-gnu (2.31.1-16)
- GNU binary utilities, for sh4-linux-gnu target
- binutils-sh4-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for sh4-linux-gnu target (debug symbols)
- binutils-source (2.31.1-16)
- GNU assembler, linker and binary utilities (source)
- binutils-sparc64-linux-gnu (2.31.1-16)
- GNU binary utilities, for sparc64-linux-gnu target
- binutils-sparc64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for sparc64-linux-gnu target (debug symbols)
- binutils-x86-64-kfreebsd-gnu (2.31.1-16)
- GNU binary utilities, for x86-64-kfreebsd-gnu target
- binutils-x86-64-kfreebsd-gnu-dbg (2.31.1-16)
- GNU binary utilities, for x86-64-kfreebsd-gnu target (debug symbols)
- binutils-x86-64-linux-gnu (2.31.1-16)
- GNU binary utilities, for x86-64-linux-gnu target
- binutils-x86-64-linux-gnu-dbg (2.31.1-16)
- GNU binary utilities, for x86-64-linux-gnu target (debug symbols)
- binutils-x86-64-linux-gnux32 (2.31.1-16)
- GNU binary utilities, for x86-64-linux-gnux32 target
- binutils-x86-64-linux-gnux32-dbg (2.31.1-16)
- GNU binary utilities, for x86-64-linux-gnux32 target (debug symbols)
- binutils-xtensa-lx106 (2.31.1-14+2)
- GNU binary utilities, for Xtensa lx106 core
- binutils-z80 (2.31.1-15+4+b14)
- GNU binary utilities for the z80-unknown-coff target
- binwalk (2.1.2~git20180830+dfsg1-1+deb10u1) [security]
- tool library for analyzing binary blobs and executable code
- bio-app-api-0.11
- virtueel pakket geboden door biometric-auth
- bio-drv-api-0.10
- virtueel pakket geboden door libbiometric0
- bio-eagle (2.4.1-1)
- Haplotype phasing within a genotyped cohort or using a phased reference panel
- bio-eagle-examples (2.4.1-1)
- Examples for bio-eagle
- bio-rainbow (2.0.4+dfsg-1)
- clustering and assembling short reads for bioinformatics
- bio-tradis (1.4.1+dfsg-1)
- analyse the output from TraDIS analyses of genomic sequences
- biogenesis (0.8-3)
- artificial life program that simulates evolution of organisms
- biom-format-tools (2.1.7+dfsg-2)
- command-line tools for BIOM format
- biometric-auth (0.9.61-2)
- Biometric Authentication Service
- (0.9.61-2)
- Biometric Authentication Driver (community multidevice)
- biometric-utils (0.9.61-2)
- Biometric authentication utils
- bioperl (1.7.2-3)
- Perl tools for computational molecular biology
- bioperl-run (1.7.2-4)
- BioPerl wrappers: scripts
- biosig-tools (1.9.3-2)
- format conversion tools for biomedical data formats
- biosquid (1.9g+cvs20050121-11)
- utilities for biological sequence analysis
- biosquid-dev
- virtueel pakket geboden door libsquid-dev
- biosyntax (1.0.0b-1)
- Syntax Highlighting for Computational Biology (metapackage)
- biosyntax-common (1.0.0b-1)
- Syntax Highlighting for Computational Biology (common files)
- biosyntax-example (1.0.0b-1)
- Syntax Highlighting for Computational Biology (example)
- biosyntax-gedit (1.0.0b-1)
- Syntax Highlighting for Computational Biology (gedit)
- biosyntax-less (1.0.0b-1)
- Syntax Highlighting for Computational Biology (less)
- biosyntax-vim (1.0.0b-1)
- Syntax Highlighting for Computational Biology (vim)
- bip (0.9.0~rc3-1)
- multiuser irc proxy with conversation replay and more
- bird (1.6.6-1+deb10u1)
- Internet Routing Daemon
- bird-bgp (1.6.6-1+deb10u1)
- Internet Routing Daemon [transitional package]
- bird-bgp
- virtueel pakket geboden door bird
- bird-doc (1.6.6-1+deb10u1)
- Internet Routing Daemon - documentation
- birdfont (2.25.0-3)
- font editor that lets you create outline vector graphics and export fonts
- birthday (1.6.2-4+b1)
- Display information about pending events on login
- bison (2:3.3.2.dfsg-1)
- YACC-compatible parser generator
- bison++ (1.21.11-4+b1)
- Generate a parser in c or c++ from BNF notation
- bison-doc (1:3.3.2-1) [non-free]
- Documentation for the Bison parser generator
- bisonc++ (6.02.04-1)
- Bison-style parser generator for C++
- bisonc++-doc (6.02.04-1)
- Bison-style parser generator for C++ documentation
- bist (0.5.2-1.1+b3)
- chemical drawing tool
- bit-babbler (0.8)
- BitBabbler hardware TRNG and kernel entropy source support
- bit-babbler-dbg (0.8)
- debugging symbols for BitBabbler tools
- bitlbee (3.5.1-1.3)
- IRC to other chat networks gateway (default version)
- bitlbee-common (3.5.1-1.3)
- IRC to other chat networks gateway (common files/docs)
- bitlbee-dev (3.5.1-1.3)
- IRC to other chat networks gateway (dev files)
- bitlbee-facebook
- virtueel pakket geboden door bitlbee-plugin-facebook
- bitlbee-libpurple (3.5.1-1.3)
- IRC to other chat networks gateway (using libpurple)
- bitlbee-plugin-facebook (1.2.0-1)
- IRC to other chat networks gateway (Facebook chat plugin)
- bitlbee-plugin-mastodon (1.4.1-1)
- Mastodon plugin for bitlbee IRC gateway
- bitlbee-plugin-otr (3.5.1-1.3)
- IRC to other chat networks gateway (OTR plugin)
- bitmeter (1.2-4)
- diagnosis tool for JACK audio software
- bitscope
- virtueel pakket geboden door bitmeter
- bitseq (0.7.5+dfsg-4)
- Bayesian Inference of Transcripts from Sequencing Data
- bitstormlite (0.2q-5)
- BitTorrent Client based on C++/Gtk+2.0
- bittornado (0.3.18-10.3)
- bittorrent client (and tracker) with console and curses interfaces
- bittornado-gui (0.3.18-10.3)
- bittorrent client with GUI interface
- bittorrent (3.4.2-12)
- Original BitTorrent client - console tools
- bittorrent-gui (3.4.2-12)
- Original BitTorrent client - GUI tools
- bittwist (2.0-11)
- libpcap based Ethernet packet generator
- bitz-server (2.0.3-1)
- ICAP server (RFC 3507) implementation in C++
- bitz-server-doc (2.0.3-1)
- ICAP server (RFC 3507) implementation in C++ (Documentation)
- bkchem (0.13.0-6)
- Chemical structures editor
- bkhive (3.0.0-6)
- transitional dummy package for samdump2
- black (18.9b0-1-6)
- uncompromising Python code formatter (Python 3)
- black-box (1.4.8-4)
- Find the crystals
- blackbird-gtk-theme (0.4+20160704~ab4a30e-1)
- dark GTK+ theme from the Shimmer Project
- blackbox (0.70.1-36)
- Window manager voor X
- blackbox-themes (0.5)
- Themes for the Blackbox Windowmanager
- blacs-mpi-test
- virtueel pakket geboden door scalapack-mpi-test
- blacs-test-common
- virtueel pakket geboden door scalapack-test-common
- bladerf (0.2017.12~rc1-2)
- nuand bladeRF software-defined radio device (tools)
- bladerf-firmware
- virtueel pakket geboden door bladerf-firmware-fx3
- bladerf-firmware-fx3 (0.2017.12~rc1-2) [contrib]
- nuand bladeRF firmware downloader (FX3)
- bladerf-fpga
- virtueel pakket geboden door bladerf-fpga-hostedx115, bladerf-fpga-hostedx40
- bladerf-fpga-hostedx115 (0.2017.12~rc1-2) [contrib]
- nuand bladeRF FPGA bitstream downloader (hostedx115)
- bladerf-fpga-hostedx40 (0.2017.12~rc1-2) [contrib]
- nuand bladeRF FPGA bitstream downloader (hostedx40)
- bladerf-host
- virtueel pakket geboden door bladerf
- blahtexml (0.9-1.1+b2)
- Converts TeX equations into MathML
- blasr (5.3.2+dfsg-1.1)
- mapping single-molecule sequencing reads
- blast2 (1:2.8.1-1+deb10u1)
- transitional dummy package to ncbi-blast+-legacy
- blastem (0.6.2.1-1)
- Fast and accurate Genesis emulator
- blazeblogger (1.2.0-3)
- simple to use, command line based, content management system
- bld (0.3.4.1-4+b2)
- Black List Daemon, automatically build blacklists
- bld-postfix (0.3.4.1-4+b2)
- Postfix tools for the Black List Daemon
- bld-tools (0.3.4.1-4+b2)
- Generic tools for Black List Daemon
- bleachbit (2.0-3)
- Overbodige bestanden verwijderen van uw systeem
- blender (2.79.b+dfsg0-7+deb10u1)
- Very fast and versatile 3D modeller/renderer
- blender-data (2.79.b+dfsg0-7+deb10u1)
- Very fast and versatile 3D modeller/renderer - data package
- blender-ogrexml-1.9 (1.9.0+dfsg1-12)
- Blender Exporter for OGRE
- blends-common (0.7.2)
- Debian Pure Blends common package
- blends-dev (0.7.2)
- Debian Pure Blends common files for developing metapackages
- blends-doc (0.7.2)
- Debian Pure Blends documentation
- blends-tasks (0.7.2)
- Debian Pure Blends tasks for new installations
- blepvco (0.1.0-3+b1)
- LADSPA, minBLEP-based, hard-sync-capable oscillator plugins
- bless (0.6.0-5.1)
- A full featured hexadecimal editor
- bley (2.0.0-2)
- intelligent greylisting daemon for Postfix and Exim
- blhc (0.09-1)
- build log hardening check
- blimps-examples (3.9+ds-1) [non-free]
- blocks database improved searcher (example data)
- blimps-utils (3.9+ds-1) [non-free]
- blocks database improved searcher
- blinken (4:17.08.3-2)
- KDE version of the Simon electronic memory game
- bliss (0.73-2)
- tool to compute graph automorphisms and labelings -- bin
- bliss-doc (0.73-2)
- suite to compute graph automorphisms and labelings -- doc
- blitz++
- virtueel pakket geboden door libblitz0-dev
- blixem (4.44.1+dfsg-3)
- interactive browser of sequence alignments
- blkreplay (1.0-3+b1)
- block device testing and benchmarking toolkit
- blkreplay-examples (1.0-3)
- block device testing and benchmarking toolkit (examples)
- blktool (4-7.1)
- tune low-level block device parameters
- blktrace (1.2.0-5)
- utilities for block layer IO tracing
- blobandconquer (1.11-dfsg+20-1.1)
- 3D platform shooting game
- blobandconquer-data (1.11-dfsg+20-1.1)
- 3D platform shooting game -- data files
- blobby (1.0-3+b1)
- Volleyball game with blobs
- blobby-data (1.0-3)
- Volleyball game with blobs (data files)
- blobby-server (1.0-3+b1)
- Volleyball game with blobs (server)
- bloboats (1.0.2+dfsg-3)
- Boat racing game
- blobwars (2.00-1+b1)
- platform shooting game
- blobwars-data (2.00-1)
- platform shooting game
- blockattack (2.3.0-1+b1)
- puzzle game inspired by Tetris
- blockfinder (3.14159-2)
- enumerates network information for countries
- blockout2 (2.4+dfsg1-9)
- Tetris like game (3D-tetris)
- blocks-of-the-undead (1.0-7)
- Tetris Attack clone with spooky undertones
- blocks-of-the-undead-data (1.0-7)
- Tetris Attack clone with spooky undertones - data files
- blop (0.2.8-6.1)
- Bandlimited wavetable-based oscillator plugins for LADSPA hosts
- bloscpack (0.15.0-4)
- CLI utility for the Blosc metacompressor
- blosxom (2.1.2-2)
- light, feature-packed weblog app with plugin extensibility
- bls-standalone (0.20151231)
- standalone build log scanner
- blt (2.5.3+dfsg-4)
- graphics extension library for Tcl/Tk - run-time
- blt-demo (2.5.3+dfsg-4)
- graphics extension library for Tcl/Tk - demos and examples
- blt-dev (2.5.3+dfsg-4)
- graphics extension library for Tcl/Tk - development files
- bluebird-gtk-theme (1.3-1)
- blue GTK+ theme from the Shimmer Project
- bluedevil (4:5.14.5-1)
- KDE Bluetooth stack
- bluefish (2.2.10-1)
- advanced Gtk+ text editor for web and software development
- bluefish-data (2.2.10-1)
- advanced Gtk+ text editor (data)
- bluefish-plugins (2.2.10-1)
- advanced Gtk+ text editor (plugins)
- blueman (2.0.8-1+deb10u1)
- Graphical bluetooth manager
- bluemon (1.4-7)
- Activate or deactivate programs based on Bluetooth link quality
- blueproximity (1.2.5-6)
- locks/unlocks your desktop tracking a bluetooth device
- bluetooth (5.50-1.2~deb10u4) [security]
- Bluetooth support (metapackage)
- bluewho (0.1-2)
- notifies new discovered bluetooth devices
- bluez (5.50-1.2~deb10u4) [security]
- Bluetooth tools and daemons
- bluez-cups (5.50-1.2~deb10u4) [security]
- Bluetooth printer driver for CUPS
- bluez-firmware (1.2-4) [non-free]
- Firmware for Bluetooth devices
- bluez-hcidump (5.50-1.2~deb10u4) [security]
- Analyses Bluetooth HCI packets
- bluez-obexd (5.50-1.2~deb10u4) [security]
- bluez obex daemon
- bluez-test-scripts (5.50-1.2~deb10u4) [security]
- test scripts of bluez
- bluez-test-tools (5.50-1.2~deb10u4) [security]
- test tools of bluez
- bluez-tools (2.0~20170911.0.7cb788c-2)
- Set of tools to manage Bluetooth devices for linux
- bmagic (3.7.0-3)
- C++ template library for efficient platform independent bitsets
- bmake (20160220-2+b1)
- NetBSD make
- bmap-tools (3.5-2)
- tool to flash image files to block devices using the block map
- bmf (0.9.4-10)
- e-mail filter for spam that learns
- bmon (1:4.0-5)
- portable bandwidth monitor and rate estimator
- bmt (0.6-1)
- software analysis benchmarking toolkit
- bnd (3.5.0-4)
- tool to create and diagnose OSGi bundles
- bnetd
- virtueel pakket geboden door pvpgn
- bnfc (2.8.1-6)
- Compiler front-end generator based on Labelled BNF
- boa-constructor (0.6.1-16)
- RAD tool for Python and wxWindows application
- boats (201307-1.1+b1)
- race scenario drawing tool
- bochs (2.6.9+dfsg-3)
- IA-32 PC emulator
- bochs-doc (2.6.9+dfsg-3)
- Bochs upstream documentation
- bochs-gui
- virtueel pakket geboden door bochs-wx, bochs-x, bochs-term, bochs-sdl
- bochs-sdl (2.6.9+dfsg-3)
- SDL plugin for Bochs
- bochs-term (2.6.9+dfsg-3)
- Terminal (ncurses-based) plugin for Bochs
- bochs-wx (2.6.9+dfsg-3)
- WxWindows plugin for Bochs
- bochs-x (2.6.9+dfsg-3)
- X11 plugin for Bochs
- bochsbios (2.6.9+dfsg-3)
- BIOS for the Bochs emulator
- bodr (10-1)
- Blue Obelisk Data Repository
- bogl-bterm (0.1.18-13)
- Ben's Own Graphics Library - graphical terminal
- bogl-bterm-udeb (0.1.18-13)
- Ben's Own Graphics Library - graphical terminal
- bogofilter (1.2.4+dfsg1-13)
- fast Bayesian spam filter (meta package)
- bogofilter-bdb (1.2.4+dfsg1-13)
- fast Bayesian spam filter (Berkeley DB)
- bogofilter-common (1.2.4+dfsg1-13)
- fast Bayesian spam filter (common files)
- bogofilter-db
- virtueel pakket geboden door bogofilter-bdb, bogofilter-tokyocabinet, bogofilter-sqlite
- bogofilter-sqlite (1.2.4+dfsg1-13)
- fast Bayesian spam filter (sqlite)
- bogofilter-tokyocabinet (1.2.4+dfsg1-13)
- fast Bayesian spam filter (tokyocabinet)
- boinc (7.14.2+dfsg-3)
- metapackage for the BOINC client and the manager
- boinc-app-seti (8.00~svn3725-3)
- SETI@home application for the BOINC client
- boinc-app-seti-graphics (8.00~svn3725-3)
- SETI@home application for the BOINC client (with graphics)
- boinc-client (7.14.2+dfsg-3)
- core client for the BOINC distributed computing infrastructure
- boinc-client-nvidia-cuda (7.14.2+dfsg-3) [contrib]
- metapackage for CUDA-savvy BOINC client and manager
- boinc-client-opencl (7.14.2+dfsg-3) [contrib]
- metapackage for AMD/ATI OpenCL-savvy BOINC client and manager
- boinc-dev (7.14.2+dfsg-3)
- development files to build applications for BOINC projects (transitional)
- boinc-manager (7.14.2+dfsg-3)
- GUI to control and monitor the BOINC core client
- boinc-screensaver (7.14.2+dfsg-3)
- screen saver auto-controlling volunteer computing
- boinc-virtualbox (7.14.2+dfsg-3) [contrib]
- metapackage for virtualbox-savvy projects
- boinctui (2.5.0-1+b1)
- Fullscreen text mode manager for Boinc client
- bolt (0.7-2)
- system daemon to manage thunderbolt 3 devices
- bolt-lmm (2.3.2+dfsg-3+b1)
- Efficient large cohorts genome-wide Bayesian mixed-model association testing
- bolt-lmm-example (2.3.2+dfsg-3)
- Examples for bolt-lmm
- bombardier (0.8.3+nmu1+b3)
- The GNU Bombing utility
- bomber (4:18.04.1-1)
- arcade spaceship game
- bomberclone (0.11.9-7.1)
- free Bomberman clone
- bomberclone-data (0.11.9-7.1)
- Data files for bomberclone game
- bomstrip (9-12)
- tool to strip Byte-Order Marks from UTF-8 text files
- bonnie
- virtueel pakket geboden door bonnie++
- bonnie++ (1.98)
- Hard drive benchmark suite
- boohu (0.12.0-1)
- Break Out Of Hareka's Underground -- a roguelike game
- bookletimposer (0.2-5)
- PDF imposition toolkit
- boolector (1.5.118.6b56be4.121013-1+b1)
- SMT solver for bit-vectors and arrays
- boolstuff (0.1.16-1)
- programs for operating on boolean expression binary trees
- boolstuff-dev (0.1.16-1)
- library for operating on boolean expression binary trees - devel
- boom-engine
- virtueel pakket geboden door prboom-plus, doomsday
- boom-wad
- virtueel pakket geboden door freedoom
- boomaga (1.3.0-1)
- virtual printer for viewing a document before printing
- boot-info-script (0.77-1)
- inspect boot environment
- bootable-system
- virtueel pakket geboden door lilo-installer, nobootloader, grub-installer, flash-kernel-installer
- bootcd (5.14)
- run your system from cd without need for disks
- booth (1.0-162-g27f917f-2+deb10u1)
- Cluster Ticket Manager
- booth-pacemaker (1.0-162-g27f917f-2+deb10u1)
- Resource agents to integrate booth with Pacemaker
- bootlogd (2.93-8)
- daemon to log boot messages
- bootp (2.4.3-18+b2)
- server for the bootp protocol with DHCP support
- bootparamd (0.17-10)
- Boot parameter server
- bootpc (0.64-7+b2)
- bootp client
- bootstrap-base (1.189)
- Install the base system
- bootstrap-vz (0.9.11+20180121git-1)
- tool for creating Debian images for cloud platforms (CLI)
- bootstrap-vz-doc (0.9.11+20180121git-1)
- tool for creating Debian images for cloud platforms (Docs)
- bopm (3.1.3-3+b2)
- Blitzed Open Proxy Monitor
- borgbackup (1.1.9-2+deb10u1)
- deduplicating and compressing backup program
- borgbackup-doc (1.1.9-2+deb10u1)
- deduplicating and compressing backup program (documentation)
- borgmatic (1.2.11-1)
- automatically create, prune and verify backups with borgbackup
- bosh (0.6-10)
- browse output of processes
- bosixnet-daemon (2.0-1)
- Build Own IPv6 Network (client utilities)
- bosixnet-webui (2.0-1)
- Build Own IPv6 Network (server utilities)
- bossa (1.3~20120408-5.1)
- Atmel SAM ARM microcontroller flash programming GUI
- bossa-cli (1.3~20120408-5.1)
- Atmel SAM ARM microcontroller flash programming utility
- boswars (2.7+svn160110-4)
- futuristic real-time strategy game
- boswars-data (2.7+svn160110-4)
- Images, data, and music files for Bos Wars
- botan (2.9.0-2)
- multiplatform crypto library (2.x version)
- botch (0.21-8)
- tools to create and analyse dependency graphs
- botch-doc (0.21-8)
- Bootstrapping helper - documentation
- bottlerocket (0.05b3-17)
- Utility to control X10 Firecracker devices for home automation
- bouncy (0.6.20071104-6)
- eat the yummy veggies in the garden - game for small kids
- bovo (4:18.04.1-1)
- gomoku (five in line) board game
- bowtie (1.2.2+dfsg-4)
- Ultrafast memory-efficient short read aligner
- bowtie-examples (1.2.2+dfsg-4)
- Voorbeelden voor bowtie, de ultrasnelle, geheugenzuinige short-read aligner
- bowtie2 (2.3.4.3-1)
- ultrafast memory-efficient short read aligner
- bowtie2-examples (2.3.4.3-1)
- Examples for bowtie2
- boxer (1.3.0-2)
- system deployment ninja tricks
- boxer-data (10.7.6)
- classes and nodes usable by Boxer
- boxes (1.3-1)
- textmode box- and comment drawing filter
- boxshade (3.3.1-12)
- Pretty-printing of multiple sequence alignments
- bpfcc-lua (0.8.0-4)
- Standalone tool to run BCC tracers written in Lua
- bpfcc-tools (0.8.0-4)
- tools for BPF Compiler Collection (BCC)
- bpftrace (0.8+git60-gccac69c2239b-2)
- high-level tracing language for Linux eBPF
- bplay (0.991-10+b2)
- Gebufferde audio bestandspeler/recorder
- bpm-tools (0.3-4)
- command-line tool to calculate tempo of audio
- bppphyview (0.6.1-1)
- Bio++ Phylogenetic Viewer
- bppsuite (2.4.1-1)
- Bio++ program suite
- bppsuite-examples (2.4.1-1)
- Examples for Bio++ program suite
- bpython (0.17.1-1)
- fancy interface to the Python 2 interpreter
- bpython3 (0.17.1-1)
- fancy interface to the Python 3 interpreter
- br2684ctl (1:2.5.1-2)
- Utility for configuring RFC 2684 ATM/Ethernet bridging
- braa (0.82-4)
- Mass SNMP scanner
- brag (1.4.1-2.1)
- Downloads and assembles multipart Usenet binaries
- braillegraph (0.3-1)
- simple histogram tool producing text dot-matrix graphs
- brailleutils (1.2.3-4)
- command-line interface for the brailleutils library
- brainparty (0.61+dfsg-5)
- 36 puzzle games for all the family
- brainparty-data (0.61+dfsg-5)
- 36 puzzle games for all the family -- game data
- brandy (1.20.1-1+b1)
- BBC BASIC V interpreter
- brasero (3.12.2-5)
- CD/DVD burning application for GNOME
- brasero-cdrkit (3.12.2-5)
- cdrkit extensions for the Brasero burning application
- brasero-common (3.12.2-5)
- Common files for the Brasero CD burning application and library
- brazilian-conjugate (3.0~beta4-22)
- Brazilian Portuguese verb conjugator
- breathe-doc (4.11.1-1)
- Sphinx autodox support for languages with doxygen support (documentation)
- brebis (0.10-1)
- fully automated backup checker
- breeze (4:5.14.5-1)
- Default Plasma theme (meta-package).
- breeze-cursor-theme (4:5.14.5-1)
- Default Plasma cursor theme.
- breeze-dev (4:5.14.5-1)
- Default Plasma theme (development files).
- breeze-gtk-theme (5.14.5-1)
- GTK theme built to match KDE's Breeze
- breeze-icon-theme (4:5.54.0-1)
- Default Plasma icon theme
- breeze-icon-theme-rcc (4:5.54.0-1)
- RCC resources for the breeze icon theme
- brewtarget (2.3.1-3)
- GUI beer brewing software
- brickos (0.9.0.dfsg-12.1)
- alternative OS for LEGO(r) Mindstorms RCX. Supports devel. in C/C++
- brickos-doc (0.9.0.dfsg-12.1)
- documentation for brickOS an Alternative OS for the RCX
- bridge-utils (1.6-2)
- Utilities for configuring the Linux Ethernet bridge
- brig (0.95+dfsg-2)
- BLAST Ring Image Generator
- brig-compiler
- virtueel pakket geboden door gccbrig-7, gccbrig-8
- brig-compiler-i686-linux-gnu
- virtueel pakket geboden door gccbrig-8-i686-linux-gnu
- brig-compiler-x86-64-linux-gnu
- virtueel pakket geboden door gccbrig-8-x86-64-linux-gnu
- brig-compiler-x86-64-linux-gnux32
- virtueel pakket geboden door gccbrig-8-x86-64-linux-gnux32
- brightd (0.4.1-2+deb10u1)
- daemon which regulates brightness of LCDs dynamically
- brightness-udev (0.4-1)
- Control backlight brightness - udev rules
- brightnessctl (0.4-1)
- Control backlight brightness
- briquolo (0.5.7-8)
- fast paced 3d Breakout game
- briquolo-data (0.5.7-8)
- data files for the fast paced 3d Breakout game Briquolo
- bristol (0.60.11-3+b1)
- vintage synthesizer emulator
- bristol-data (0.60.11-3)
- vintage synthesizer emulator (data files)
- brltty (5.6-10+deb10u1)
- Access software for a blind person using a braille display
- brltty-espeak (5.6-10+deb10u1)
- Access software for a blind person - espeak driver
- brltty-flite (5.6-10+deb10u1)
- Access software for a blind person - Flite speech driver
- brltty-speechd (5.6-10+deb10u1)
- Access software for a blind person - Speech Dispatcher driver
- brltty-udeb (5.6-10+deb10u1)
- Access software for a blind person using a braille display
- brltty-x11 (5.6-10+deb10u1)
- Access software for a blind person using a braille display - X11 drivers
- bro (2.5.5-1+deb10u1)
- passive network traffic analyzer
- bro-aux (0.42-1)
- small auxiliary tools for Bro
- bro-common (2.5.5-1+deb10u1)
- passive network traffic analyzer -- architecture-independent parts
- bro-pkg (1.5.2-1)
- Bro Package Manager
- broadcom-sta-common (6.30.223.271-10) [non-free]
- Common files for the Broadcom STA Wireless driver
- broadcom-sta-dkms (6.30.223.271-10) [non-free]
- dkms source for the Broadcom STA Wireless driver
- broadcom-sta-modules
- virtueel pakket geboden door broadcom-sta-dkms
- broadcom-sta-source (6.30.223.271-10) [non-free]
- Source for the Broadcom STA Wireless driver
- broctl (1.4-1)
- interactive shell for managing Bro installations
- brotli (1.0.7-2+deb10u1)
- lossless compression algorithm and format (command line utility)
- brp-pacu (2.1.1+git20111020-7+b1)
- audio analysis tool
- brutalchess (0.5.2+dfsg-8)
- 3D chess game with reflection of the chessmen
- brutefir (1.0o-1+b1)
- software convolution engine
- bruteforce-luks (1.3.1-1)
- Try to find a password of a LUKS encrypted volume
- bruteforce-salted-openssl (1.4.1-1)
- try to find the passphrase for files encrypted with OpenSSL
- brutespray (1.6.4-1)
- Python bruteforce tool
- brz (3.0.0~bzr7290-2)
- easy to use distributed version control system
- brz-buildpackage
- virtueel pakket geboden door brz-debian
- brz-debian (2.8.26)
- breezy plugin for Debian package management
- brz-doc (3.0.0~bzr7290-2)
- easy to use distributed version control system (documentation)
- bs1770gain (0.5.2-2)
- measure and adjust audio and video sound loudness
- bs2b-ladspa (0.9.1-3)
- Bauer stereophonic-to-binaural DSP LADSPA plugin
- bsd-mailx (8.1.2-0.20180807cvs-1)
- simple mail user agent
- bsdcpio (3.3.3-4+deb10u3) [security]
- transitional dummy package for moving bsdcpio to libarchive-tools
- bsdgames (2.17-28)
- collection of classic textual unix games
- bsdgames-nonfree (2.17-8) [non-free]
- rogue, the classic dungeon exploration game
- bsdiff (4.3-21)
- generate/apply a patch between two binary files
- bsdmainutils (11.1.2+b1)
- collection of more utilities from FreeBSD
- bsdowl (2.2.2-1)
- Universal portable build system written for BSD Make
- bsdtar (3.3.3-4+deb10u3) [security]
- transitional dummy package for moving bsdtar to libarchive-tools
- bsdutils (1:2.33.1-0.1+deb10u1) [security]
- basic utilities from 4.4BSD-Lite
- bsfilter (1:1.0.19-2)
- Bayesian spam filter
- bsh (2.0b4-19)
- Java scripting environment (BeanShell) Version 2
- bsh-doc (2.0b4-19)
- Documentation for bsh
- bsh-src (2.0b4-19)
- Java scripting environment (BeanShell) Version 2 (source code)
- bspatch
- virtueel pakket geboden door bsdiff
- bspwm (0.9.5-1)
- Binary space partitioning window manager
- btag (1.1.3-1+b7 [amd64, armhf, i386], 1.1.3-1+b4 [arm64])
- interactive command-line based multimedia tag editor
- btanks (0.9.8083-8)
- fast 2D tank arcade game with multiplayer and split-screen modes
- btanks-data (0.9.8083-8)
- fast 2D tank arcade game -- data
- btcheck (2.1-4)
- downloaded data checker and a torrent file content viewer
- bterm-unifont (1.6)
- Include complete Unicode font for bogl-bterm
- btest (0.58-1)
- simple driver for basic unit tests
- btfs (2.18-1+b2)
- access torrent files as a filesystem
- bti (034-4)
- command line Twitter client
- btrbk (0.27.1-1+deb10u2)
- backup tool for btrfs subvolumes
- btrfs-compsize (1.3-1)
- calculate compression ratio of a set of files on btrfs
- btrfs-heatmap (8-1)
- Visualize the layout of data on your btrfs filesystem
- btrfs-modules
- virtueel pakket geboden door btrfs-modules-4.19.0-20-686-pae-di, btrfs-modules-4.19.0-21-amd64-di, btrfs-modules-4.19.0-21-686-pae-di, btrfs-modules-4.19.0-20-686-di, btrfs-modules-4.19.0-21-arm64-di, btrfs-modules-4.19.0-21-686-di, btrfs-modules-4.19.0-21-armmp-di, btrfs-modules-4.19.0-20-amd64-di, btrfs-modules-4.19.0-20-armmp-di, btrfs-modules-4.19.0-20-arm64-di
- btrfs-modules-4.19.0-20-686-di (4.19.235-1)
- BTRFS filesystem support
- btrfs-modules-4.19.0-20-686-pae-di (4.19.235-1)
- BTRFS filesystem support
- btrfs-modules-4.19.0-20-amd64-di (4.19.235-1)
- BTRFS filesystem support
- btrfs-modules-4.19.0-20-arm64-di (4.19.235-1)
- BTRFS filesystem support
- btrfs-modules-4.19.0-20-armmp-di (4.19.235-1)
- BTRFS filesystem support
- btrfs-modules-4.19.0-21-686-di (4.19.249-2)
- BTRFS filesystem support
- btrfs-modules-4.19.0-21-686-pae-di (4.19.249-2)
- BTRFS filesystem support
- btrfs-modules-4.19.0-21-amd64-di (4.19.249-2)
- BTRFS filesystem support
- btrfs-modules-4.19.0-21-arm64-di (4.19.249-2)
- BTRFS filesystem support
- btrfs-modules-4.19.0-21-armmp-di (4.19.249-2)
- BTRFS filesystem support
- btrfs-progs (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities
- btrfs-progs-udeb (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (udeb)
- btrfs-tools
- virtueel pakket geboden door btrfs-progs
- btrfs-tools-udeb
- virtueel pakket geboden door btrfs-progs-udeb
- btrfsmaintenance (0.4.2-1)
- automate btrfs maintenance tasks on mountpoints or directories
- btscanner (2.1-7)
- ncurses-based scanner for Bluetooth devices
- btyacc (3.0-5+b2)
- Backtracking parser generator based on byacc
- bubblefishymon (0.6.4-6+b1)
- system load dockapp with a duck
- bubblewrap (0.3.1-4)
- setuid wrapper for unprivileged chroot and namespace manipulation
- bubbros (1.6.2-1)
- multiplayer clone of the famous Bubble Bobble game
- bucardo (5.5.0-1)
- asynchronous replication system for PostgreSQL
- bucklespring (1.4.0-2)
- Nostalgia bucklespring keyboard sound
- bucklespring-data (1.4.0-2)
- Nostalgia bucklespring keyboard sound - sound files
- budgie-app-launcher-applet (0.7.1-3)
- Applet to provide an alternative means to launch applications
- (0.7.1+dfsg1-1)
- Application Menu plugin for budgie-panel
- budgie-clockworks-applet (0.7.1-3)
- Applet to display clock across multiple time zones
- budgie-core (10.5-1)
- Core package for Budgie-Desktop
- budgie-core-dev (10.5-1)
- Development package for budgie-desktop
- budgie-countdown-applet (0.7.1-3)
- Applet providing a countdown capability on the Budgie Desktop
- budgie-desktop (10.5-1)
- Desktop package for budgie-desktop
- budgie-desktop-doc (10.5-1)
- documentation files for the budgie-desktop
- budgie-dropby-applet (0.7.1-3)
- Applet to popup when a USB device is connected
- (0.7.1-3)
- Shared component of budgie-extras applets
- budgie-hotcorners-applet (0.7.1-3)
- Applet providing hotcorners capabilities for the Budgie Desktop
- budgie-indicator-applet (0.6-2)
- Application Indicator for the budgie-desktop
- budgie-kangaroo-applet (0.7.1-3)
- Applet to allow quick file-browsing
- budgie-keyboard-autoswitch-applet (0.7.1-3)
- Applet adding the ability to set a different keyboard layout per application
- budgie-previews-applet (0.7.1-3)
- Applet providing window previews capabilities for the Budgie Desktop
- budgie-quicknote-applet (0.7.1-3)
- Applet providing simple notes capability for the Budgie Desktop
- budgie-recentlyused-applet (0.7.1-3)
- Applet displays files recently accessed for the Budgie Desktop
- budgie-rotation-lock-applet (0.7.1-3)
- Applet to lock or unlock the screen rotation
- budgie-showtime-applet (0.7.1-3)
- Applet displaying date and time on the Budgie Desktop
- budgie-sntray-plugin (0.4.11-1)
- plugin for xfce4-panel to show StatusNotifierItems - Budgie
- budgie-trash-applet (0.7.1-3)
- Applet allows access to trash capabilities for the Budgie Desktop
- budgie-weathershow-applet (0.7.1-3)
- Applet to display the weather and forecast
- budgie-window-mover-applet (0.7.1-3)
- Applet allows moving windows between workspaces for the Budgie Desktop
- budgie-workspace-overview-applet (0.7.1-3)
- Applet providing quick access to workspaces for the Budgie Desktop
- budgie-workspace-wallpaper-applet (0.7.1-3)
- Applet providing per workspace wallpaper
- buffer (1.19-12+b1)
- Buffering/reblocking program for tape backups, printing, etc.
- buffycli (0.7-1)
- Text mode alternative to Buffy
- bugsquish (0.0.6-9)
- Bugs are trying to suck blood out of your arm!
- bugwarrior (1.6.0-3)
- Pull tickets from bug trackers into taskwarrior
- bugz (0.13-1)
- command-line interface to Bugzilla
- bugzilla-cli (2.2.0-1)
- command-line tool for interacting with Bugzilla
- buici-clock (0.4.9.4+b1)
- attractive desktop clock
- build-essential (12.6)
- Informational list of build-essential packages
- buildapp (1.5.6-2)
- application to create common lisp images
- buildbot (2.0.1-2)
- System to automate the compile/test cycle (server)
- buildbot-doc (2.0.1-2)
- System to automate the compile/test cycle (documentation)
- buildbot-slave (2.0.1-2)
- transitional package for buildbot-worker
- buildbot-worker (2.0.1-2)
- System to automate the compile/test cycle (worker agent)
- buildd (0.78.1-2)
- Daemon for automatically building Debian binary packages from Debian sources
- buildnotify (0.3.5-1)
- cruise control CI build monitor
- buildstream (1.2.4-1)
- toolset for the Buildstream project
- buildtorrent (0.8-6)
- command line torrent creation program
- buku (4.1+ds-2)
- Powerful command-line bookmark manager
- bumblebee (3.2.1-20)
- NVIDIA Optimus support for Linux
- bumblebee-nvidia (3.2.1-20) [contrib]
- NVIDIA Optimus support using the proprietary NVIDIA driver
- bumprace (1.5.7-1)
- 1 or 2 players race through a multi-level maze
- bumprace-data (1.5.7-1)
- data files for bumprace
- bumps-private-libs (0.7.11-2)
- data fitting and Bayesian uncertainty modeling for inverse problems (libraries)
- bumpversion (0.5.10-2)
- Version-bump your software with a single command
- bundler (1.17.3-3+deb10u1)
- Manage Ruby application dependencies
- bundlewrap (3.6.0-1)
- Decentralized configuration management system with Python
- burgerspace (1.9.2-3)
- Avoid evil foodstuffs and make burgers
- burner-cdrkit (3.0.6-1)
- cdrkit extensions for the Burner burning application
- burner-common (3.0.6-1)
- Common files for the Burner CD burning application and library
- burp (2.1.32-2+deb10u1) [security]
- Simple cross-platform network BackUp and Restore Program
- burrow (1.2.1-1)
- Apache Kafka consumer lag checking
- bustle (0.7.4-1)
- D-Bus activity visualiser
- bustle-pcap (0.7.4-1)
- D-Bus traffic capture tool for the pcap format
- busybox (1:1.30.1-4)
- Tiny utilities for small and embedded systems
- busybox
- virtueel pakket geboden door busybox-static
- busybox-static (1:1.30.1-4)
- Standalone rescue shell with tons of builtin utilities
- busybox-syslogd (1:1.30.1-4)
- Provides syslogd and klogd using busybox
- busybox-udeb (1:1.30.1-4)
- Tiny utilities for the debian-installer
- buthead (1.1-5)
- copy all but the first few lines
- butt (0.1.17+dfsg-1)
- multi OS streaming audio tool easy to use
- butteraugli (0~20170116-3)
- measuring perceived differences between images
- buzztrax (0.10.2-6)
- Modular music composer
- bvi (1.4.0-1+b3)
- binary file editor
- bwa (0.7.17-3)
- Burrows-Wheeler Aligner
- bwbasic (2.20pl2-11+b2)
- Bywater BASIC Interpreter
- bwctl-client (1.5.4+dfsg1-1+b1)
- bandwidth test controller (client)
- bwctl-server (1.5.4+dfsg1-1+b1)
- bandwidth test controller (server)
- bwidget (1.9.13-1)
- Extension widgets for Tcl/Tk
- bwm-ng (0.6.1-6)
- small and simple console-based bandwidth monitor
- bximage (2.6.9+dfsg-3)
- Disk Image Creation Tool for Bochs
- byacc (20140715-1+b1)
- public domain Berkeley LALR Yacc parser generator
- byacc-j (1.15-1+b2)
- Berkeley YACC parser generator extended to generate Java code
- (2.3.2-2+b1)
- football (a.k.a soccer) management game
- (2.3.2-2)
- data of football (a.k.a soccer) management game
- byobu (5.112-1.1)
- text window manager, shell multiplexer, integrated DevOps environment
- virtueel pakket geboden door byobu
- bytes-circle (2.6-1)
- Show byte statistics as an ASCII circle graph
- byzanz (0.3.0+git20160312-3)
- small screencast creator
- bzflag (2.4.18-1)
- 3D first person tank battle game
- bzflag-client (2.4.18-1+b1)
- 3D first person tank battle game -- client
- bzflag-data (2.4.18-1)
- 3D first person tank battle game -- data
- bzflag-server (2.4.18-1+b1)
- 3D first person tank battle game -- server
- bzip2 (1.0.6-9.2~deb10u2) [security]
- high-quality block-sorting file compressor - utilities
- bzip2-doc (1.0.6-9.2~deb10u2) [security]
- high-quality block-sorting file compressor - documentation
- bzr (2.7.0+bzr6622-15)
- easy to use distributed version control system
- bzr-builddeb (2.8.11)
- bzr plugin for Debian package management
- bzr-buildpackage
- virtueel pakket geboden door bzr-builddeb
- bzr-doc (2.7.0+bzr6622-15)
- easy to use distributed version control system (documentation)
- bzr-email (0.0.1~bzr58-7)
- Notification email plugin for Bazaar
- bzr-explorer (1.3.0-2)
- GUI application for using Bazaar
- bzr-fastimport (0.13.0+bzr361-4)
- Fast-import/fast-export plugin for Bazaar
- bzr-git (0.6.13+bzr1650-2)
- Bazaar plugin providing Git integration
- bzr-grep
- virtueel pakket geboden door python-bzrlib
- bzr-loom (2.2.0-6)
- Focused patch plugin support for Bazaar
- bzr-search (1.7.0~bzr94-5)
- zoek plug-in voor Bazaar
- bzr-stats (0.1.0+bzr54-5)
- statistics plugin for Bazaar
- bzr-upload (1.1.0-8)
- Bazaar plugin for uploading to web servers
- bzr-xmloutput (0.8.8+bzr162-6)
- XML Communication plugin for Bazaar
- bzrtools (2.6.0-4)
- Collection of tools for bzr
- c++-annotations (11.1.0-1)
- Extensive tutorial and documentation about C++
- c++-annotations-contrib (11.1.0-1)
- Extensive tutorial and documentation about C++ - contributed files
- c++-annotations-dvi (11.1.0-1)
- Extensive tutorial and documentation about C++ - DVI output
- c++-annotations-html (11.1.0-1)
- Extensive tutorial and documentation about C++ - html output
- c++-annotations-latex (11.1.0-1)
- Extensive tutorial and documentation about C++ - LaTeX output
- c++-annotations-pdf (11.1.0-1)
- Extensive tutorial and documentation about C++ - PDF output
- c++-annotations-ps (11.1.0-1)
- Extensive tutorial and documentation about C++ - Postscript output
- c++-annotations-txt (11.1.0-1)
- Extensive tutorial and documentation about C++ - text output
- c++-compiler
- virtueel pakket geboden door clang-6.0, g++, clang-13, g++-7, g++-8, clang-11, clang-7
- c++-compiler-aarch64-linux-gnu
- virtueel pakket geboden door g++-8-aarch64-linux-gnu
- c++-compiler-alpha-linux-gnu
- virtueel pakket geboden door g++-8-alpha-linux-gnu
- c++-compiler-arm-linux-gnueabi
- virtueel pakket geboden door g++-8-arm-linux-gnueabi
- c++-compiler-arm-linux-gnueabihf
- virtueel pakket geboden door g++-8-arm-linux-gnueabihf
- c++-compiler-hppa-linux-gnu
- virtueel pakket geboden door g++-8-hppa-linux-gnu
- c++-compiler-i686-linux-gnu
- virtueel pakket geboden door g++-8-i686-linux-gnu
- c++-compiler-m68k-linux-gnu
- virtueel pakket geboden door g++-8-m68k-linux-gnu
- c++-compiler-mips-linux-gnu
- virtueel pakket geboden door g++-8-mips-linux-gnu
- c++-compiler-mips64-linux-gnuabi64
- virtueel pakket geboden door g++-8-mips64-linux-gnuabi64
- c++-compiler-mips64el-linux-gnuabi64
- virtueel pakket geboden door g++-8-mips64el-linux-gnuabi64
- c++-compiler-mipsel-linux-gnu
- virtueel pakket geboden door g++-8-mipsel-linux-gnu
- c++-compiler-mipsisa32r6-linux-gnu
- virtueel pakket geboden door g++-8-mipsisa32r6-linux-gnu
- c++-compiler-mipsisa32r6el-linux-gnu
- virtueel pakket geboden door g++-8-mipsisa32r6el-linux-gnu
- c++-compiler-mipsisa64r6-linux-gnuabi64
- virtueel pakket geboden door g++-8-mipsisa64r6-linux-gnuabi64
- c++-compiler-mipsisa64r6el-linux-gnuabi64
- virtueel pakket geboden door g++-8-mipsisa64r6el-linux-gnuabi64
- c++-compiler-powerpc-linux-gnu
- virtueel pakket geboden door g++-8-powerpc-linux-gnu
- c++-compiler-powerpc-linux-gnuspe
- virtueel pakket geboden door g++-8-powerpc-linux-gnuspe
- c++-compiler-powerpc64-linux-gnu
- virtueel pakket geboden door g++-8-powerpc64-linux-gnu
- c++-compiler-powerpc64le-linux-gnu
- virtueel pakket geboden door g++-8-powerpc64le-linux-gnu
- c++-compiler-riscv64-linux-gnu
- virtueel pakket geboden door g++-8-riscv64-linux-gnu
- c++-compiler-s390x-linux-gnu
- virtueel pakket geboden door g++-8-s390x-linux-gnu
- c++-compiler-sh4-linux-gnu
- virtueel pakket geboden door g++-8-sh4-linux-gnu
- c++-compiler-sparc64-linux-gnu
- virtueel pakket geboden door g++-8-sparc64-linux-gnu
- c++-compiler-x86-64-linux-gnu
- virtueel pakket geboden door g++-8-x86-64-linux-gnu
- c++-compiler-x86-64-linux-gnux32
- virtueel pakket geboden door g++-8-x86-64-linux-gnux32
- c++abi2-dev
- virtueel pakket geboden door g++-8-mipsisa32r6-linux-gnu, g++-8, g++-8-powerpc-linux-gnu, g++-8-arm-linux-gnueabi, g++-8-sh4-linux-gnu, g++-8-powerpc64-linux-gnu, g++-8-m68k-linux-gnu, g++-8-riscv64-linux-gnu, g++-8-sparc64-linux-gnu, g++-8-mips-linux-gnu, g++-8-hppa-linux-gnu, g++-8-alpha-linux-gnu, g++-8-arm-linux-gnueabihf, g++-8-x86-64-linux-gnux32, g++-8-i686-linux-gnu, g++-8-mipsisa32r6el-linux-gnu, g++-8-x86-64-linux-gnu, g++-8-powerpc64le-linux-gnu, g++-8-aarch64-linux-gnu, g++-8-mipsel-linux-gnu, g++-8-powerpc-linux-gnuspe, g++-8-s390x-linux-gnu, g++-8-mipsisa64r6el-linux-gnuabi64, g++-8-mips64-linux-gnuabi64, g++-8-mipsisa64r6-linux-gnuabi64, g++-8-mips64el-linux-gnuabi64, g++-7
- c-compiler
- virtueel pakket geboden door clang-6.0, clang-13, bcc, gcc-7, gcc, clang-7, gcc-8, pcc, clang-11, tcc
- c-compiler-aarch64-linux-gnu
- virtueel pakket geboden door gcc-8-aarch64-linux-gnu
- c-compiler-alpha-linux-gnu
- virtueel pakket geboden door gcc-8-alpha-linux-gnu
- c-compiler-arm-linux-gnueabi
- virtueel pakket geboden door gcc-8-arm-linux-gnueabi
- c-compiler-arm-linux-gnueabihf
- virtueel pakket geboden door gcc-8-arm-linux-gnueabihf
- c-compiler-avr
- virtueel pakket geboden door gcc-avr
- c-compiler-hppa-linux-gnu
- virtueel pakket geboden door gcc-8-hppa-linux-gnu
- c-compiler-i686-linux-gnu
- virtueel pakket geboden door gcc-8-i686-linux-gnu
- c-compiler-m68hc11
- virtueel pakket geboden door gcc-m68hc1x
- c-compiler-m68hc12
- virtueel pakket geboden door gcc-m68hc1x
- c-compiler-m68k-linux-gnu
- virtueel pakket geboden door gcc-8-m68k-linux-gnu
- c-compiler-mips-linux-gnu
- virtueel pakket geboden door gcc-8-mips-linux-gnu
- c-compiler-mips64-linux-gnuabi64
- virtueel pakket geboden door gcc-8-mips64-linux-gnuabi64
- c-compiler-mips64el-linux-gnuabi64
- virtueel pakket geboden door gcc-8-mips64el-linux-gnuabi64
- c-compiler-mipsel-linux-gnu
- virtueel pakket geboden door gcc-8-mipsel-linux-gnu
- c-compiler-mipsisa32r6-linux-gnu
- virtueel pakket geboden door gcc-8-mipsisa32r6-linux-gnu
- c-compiler-mipsisa32r6el-linux-gnu
- virtueel pakket geboden door gcc-8-mipsisa32r6el-linux-gnu
- c-compiler-mipsisa64r6-linux-gnuabi64
- virtueel pakket geboden door gcc-8-mipsisa64r6-linux-gnuabi64
- c-compiler-mipsisa64r6el-linux-gnuabi64
- virtueel pakket geboden door gcc-8-mipsisa64r6el-linux-gnuabi64
- c-compiler-powerpc-linux-gnu
- virtueel pakket geboden door gcc-8-powerpc-linux-gnu
- c-compiler-powerpc-linux-gnuspe
- virtueel pakket geboden door gcc-8-powerpc-linux-gnuspe
- c-compiler-powerpc64-linux-gnu
- virtueel pakket geboden door gcc-8-powerpc64-linux-gnu
- c-compiler-powerpc64le-linux-gnu
- virtueel pakket geboden door gcc-8-powerpc64le-linux-gnu
- c-compiler-riscv64-linux-gnu
- virtueel pakket geboden door gcc-8-riscv64-linux-gnu
- c-compiler-s390x-linux-gnu
- virtueel pakket geboden door gcc-8-s390x-linux-gnu
- c-compiler-sh4-linux-gnu
- virtueel pakket geboden door gcc-8-sh4-linux-gnu
- c-compiler-sparc64-linux-gnu
- virtueel pakket geboden door gcc-8-sparc64-linux-gnu
- c-compiler-x86-64-linux-gnu
- virtueel pakket geboden door gcc-8-x86-64-linux-gnu
- c-compiler-x86-64-linux-gnux32
- virtueel pakket geboden door gcc-8-x86-64-linux-gnux32
- c-graph (2.0.1-3.1)
- interactive visualization tool for the convolution theorem
- c-icap (1:0.5.3-2)
- ICAP server implementation
- c-sharp-2.0-compiler
- virtueel pakket geboden door mono-devel
- c-sharp-3.0-compiler
- virtueel pakket geboden door mono-devel
- c-sharp-4.0-compiler
- virtueel pakket geboden door mono-devel
- c-sharp-4.5-compiler
- virtueel pakket geboden door mono-devel
- c-sharp-compiler
- virtueel pakket geboden door mono-devel
- c-shell
- virtueel pakket geboden door tcsh, csh
- c-sig (3.8-22)
- Transition package, c-sig to elpa-c-sig
- c-sig
- virtueel pakket geboden door elpa-c-sig
- c2hs (0.28.5-2)
- C->Haskell Interface Generator
- c2hs-doc (0.28.5-2)
- C->Haskell Interface Generator -- Documentation package
- c3270 (3.6ga4-3+b1)
- Curses program for telnet sessions to IBM mainframes
- ca-certificates (20200601~deb10u2)
- Common CA certificates
- ca-certificates-java (20190405)
- Common CA certificates (JKS keystore)
- ca-certificates-mono (5.18.0.240+dfsg-3+deb10u1) [security]
- Common CA certificates (Mono keystore)
- ca-certificates-udeb (20200601~deb10u2)
- Common CA certificates - udeb
- cabal-debian (4.38.2-1)
- Create a debianization for a cabal package
- cabal-install (2.2.0.0-2)
- command-line interface for Cabal and Hackage
- (1.9-1)
- Microsoft Cabinet file unpacker
- caca-utils (0.99.beta19-2.1+deb10u1) [security]
- text mode graphics utilities
- cacti (1.2.2+ds1-2+deb10u6) [security]
- web interface for graphing of monitoring systems
- cacti-spine (1.2.2-1)
- Multi-Threading poller for cacti
- cadabra (1.46-5)
- field-theory motivated computer algebra system
- cadaver (0.23.3-2.1)
- command-line WebDAV client
- cadubi (1.3.4-1)
- Creative ASCII Drawing Utility By Ian
- cafeobj (1.5.9-1)
- new generation algebraic specification and programming language
- cafeobj-mode (1.5.9-1)
- Emacs major mode for editing CafeOBJ source code
- caffe-cpu (1.0.0+git20180821.99bd997-2+b1)
- Fast, open framework for Deep Learning (Meta)
- caffe-cuda (1.0.0+git20180821.99bd997-2+b1) [contrib]
- Fast, open framework for Deep Learning (Meta)
- caffe-doc (1.0.0+git20180821.99bd997-2)
- Caffe's doxygen docs and examples
- caffe-tools-cpu (1.0.0+git20180821.99bd997-2+b1)
- Tools for fast, open framework for Deep Learning (CPU_ONLY)
- caffe-tools-cuda (1.0.0+git20180821.99bd997-2+b1) [contrib]
- Tools for fast, open framework for Deep Learning (CUDA)
- caffeine (2.9.4-2)
- prevent the desktop becoming idle in full-screen mode
- cain (1.10+dfsg-3)
- simulations of chemical reactions
- cain-examples (1.10+dfsg-3)
- examples for cain
- cain-solvers (1.10+dfsg-3)
- solvers for cain
- cairo-5c (1.17)
- nickle bindings for the cairo graphics library
- cairo-dock (3.4.1-3)
- Metapackage for cairo-dock
- cairo-dock
- virtueel pakket geboden door cairo-dock-core
- cairo-dock-alsamixer-plug-in (3.4.1-4+b1)
- Alsamixer plug-in for Cairo-dock
- cairo-dock-animated-icons-plug-in (3.4.1-4+b1)
- Animated icons plug-in Cairo-dock
- cairo-dock-cairo-penguin-plug-in (3.4.1-4+b1)
- Cairo-Penguin plug-in for Cairo-dock
- cairo-dock-clipper-plug-in (3.4.1-4+b1)
- Clipper plug-in for Cairo-dock
- cairo-dock-clock-plug-in (3.4.1-4+b1)
- Clock plug-in for Cairo-dock
- cairo-dock-core (3.4.1-3)
- Light eye-candy fully themable animated dock for Linux desktop
- cairo-dock-dbus-plug-in (3.4.1-4+b1)
- Dbus plug-in for Cairo-dock
- cairo-dock-dbus-plug-in-interface-mono (3.4.1-4+b1)
- library of D-Bus interface for mono of Cairo-dock
- cairo-dock-dbus-plug-in-interface-python (3.4.1-4)
- library of D-Bus interface for Python of Cairo-dock
- cairo-dock-dbus-plug-in-interface-ruby (3.4.1-4)
- library of D-Bus interface for ruby of Cairo-dock
- cairo-dock-dbus-plug-in-interface-vala (3.4.1-4+b1)
- library of D-Bus interface for vala of Cairo-dock
- cairo-dock-desklet-rendering-plug-in (3.4.1-4+b1)
- Desklet rendering plug-in for Cairo-dock
- cairo-dock-dev (3.4.1-3)
- Cairo-dock development file
- cairo-dock-dialog-rendering-plug-in (3.4.1-4+b1)
- Dialog rendering plug-in for Cairo-dock
- cairo-dock-dnd2share-plug-in (3.4.1-4+b1)
- Dnd2share plug-in for Cairo-dock
- cairo-dock-drop-indicator-plug-in (3.4.1-4+b1)
- Drop indicator plug-in for Cairo-dock
- cairo-dock-dustbin-plug-in (3.4.1-4+b1)
- Dustbin plug-in for Cairo-dock
- cairo-dock-folders-plug-in (3.4.1-4+b1)
- Folders plug-in Cairo-dock
- (3.4.1-4+b1)
- GMenu plug-in for Cairo-dock
- cairo-dock-gnome-integration-plug-in (3.4.1-4+b1)
- GNOME integration plug-in for Cairo-dock
- cairo-dock-icon-effect-plug-in (3.4.1-4+b1)
- Icon effect plug-in for Cairo-dock
- cairo-dock-illusion-plug-in (3.4.1-4+b1)
- Illusion plug-in for Cairo-dock
- cairo-dock-impulse-plug-in (3.4.1-4+b1)
- Implus plug-in Cairo-dock
- cairo-dock-kde-integration-plug-in (3.4.1-4+b1)
- KDE integration plug-in for Cairo-dock
- cairo-dock-keyboard-indicator-plug-in (3.4.1-4+b1)
- Keyboard indicator plug-in for Cairo-dock
- cairo-dock-logout-plug-in (3.4.1-4+b1)
- Logout plug-in for Cairo-dock
- cairo-dock-mail-plug-in (3.4.1-4+b1)
- Mail plug-in for Cairo-dock
- (3.4.1-4+b1)
- Messaging menu plug-in Cairo-dock
- cairo-dock-motion-blur-plug-in (3.4.1-4+b1)
- Motion blur plug-in for Cairo-dock
- cairo-dock-musicplayer-plug-in (3.4.1-4+b1)
- Music player plug-in for Cairo-dock
- cairo-dock-netspeed-plug-in (3.4.1-4+b1)
- Netspeed plug-in for Cairo-dock
- cairo-dock-plug-in-data (3.4.1-4)
- Cairo-dock - Plug-in data files
- cairo-dock-plug-ins (3.4.1-4+b1)
- Cairo-dock - All plug-ins
- cairo-dock-powermanager-plug-in (3.4.1-4+b1)
- Powermanager plug-in for Cairo-dock
- cairo-dock-quick-browser-plug-in (3.4.1-4+b1)
- Quick browser plug-in for Cairo-dock
- cairo-dock-recent-events-plug-in (3.4.1-4+b1)
- Recent events plug-in for Cairo-dock
- cairo-dock-remote-control-plug-in (3.4.1-4+b1)
- Remote control plug-in for Cairo-dock
- cairo-dock-rendering-plug-in (3.4.1-4+b1)
- Rendering plug-in for Cairo-dock
- (3.4.1-4+b1)
- RSS Reader plug-in for Cairo-dock
- cairo-dock-shortcuts-plug-in (3.4.1-4+b1)
- Shortcuts plug-in for Cairo-dock
- cairo-dock-showdesktop-plug-in (3.4.1-4+b1)
- Show desktop plug-in for Cairo-dock
- cairo-dock-showmouse-plug-in (3.4.1-4+b1)
- Showmouse plug-in Cairo-dock
- cairo-dock-slider-plug-in (3.4.1-4+b1)
- Slider plug-in Cairo-dock
- cairo-dock-stack-plug-in (3.4.1-4+b1)
- Stack plug-in for Cairo-dock
- cairo-dock-switcher-plug-in (3.4.1-4+b1)
- Switcher plug-in for Cairo-dock
- cairo-dock-system-monitor-plug-in (3.4.1-4+b1)
- System Monitor plug-in for Cairo-dock
- cairo-dock-systray-plug-in (3.4.1-4+b1)
- Systray plug-in for Cairo-dock
- cairo-dock-terminal-plug-in (3.4.1-4+b1)
- Terminal plug-in for Cairo-dock
- cairo-dock-tomboy-plug-in (3.4.1-4+b1)
- Tomboy plug-in for Cairo-dock
- cairo-dock-toons-plug-in (3.4.1-4+b1)
- Toons plug-in for Cairo-dock
- cairo-dock-weather-plug-in (3.4.1-4+b1)
- Weather plug-in for Cairo-dock
- cairo-dock-wifi-plug-in (3.4.1-4+b1)
- Wifi plug-in for Cairo-dock
- cairo-dock-xfce-integration-plug-in (3.4.1-4+b1)
- Xfce integration plug-in for Cairo-dock
- cairo-dock-xgamma-plug-in (3.4.1-4+b1)
- Xgamma plug-in for Cairo-dock
- cairo-perf-utils (1.16.0-4+deb10u1)
- Cairo 2D vector graphics library performance utilities
- caja (1.20.3-1+b1)
- file manager for the MATE desktop
- caja-actions (1.8.3-4)
- Caja extension to create custom actions
- caja-actions-common (1.8.3-4)
- Caja extension to create custom actions (common files)
- caja-actions-dev (1.8.3-4)
- Caja extension to create custom actions (development files)
- caja-admin (0.0.1-2)
- Add administrative actions to Caja's right-click menu
- caja-common (1.20.3-1)
- file manager for the MATE desktop (common files)
- caja-dropbox (1.20.0-4) [non-free]
- Dropbox integration for Caja
- caja-eiciel (1.20.1-1)
- Graphical editor for ACLs and xattr for MATE Desktop
- caja-extensions-common (1.20.2-1)
- Caja extensions (common files)
- caja-gtkhash (1.2-1)
- caja extension for computing checksums and more using gtkhash
- caja-image-converter (1.20.2-1)
- Caja extension to mass resize or rotate images
- caja-nextcloud (2.5.1-3+deb10u2)
- Nextcloud integration for Caja
- caja-open-terminal (1.20.2-1)
- Caja plugin for opening terminals in arbitrary local paths
- caja-owncloud (2.5.1.10973+dfsg-1)
- ownCloud integration for Caja
- caja-rename (18.7.28~bzr25-1)
- Batch renaming extension for Caja
- caja-seahorse (1.18.4-2)
- Caja extension to encrypt/decrypt OpenPGP files using GnuPG
- caja-sendto (1.20.2-1)
- integrates email clients and Pidgin into the Caja file manager
- caja-share (1.20.2-1)
- Allows one to quickly share a folder from the Caja file manager
- caja-wallpaper (1.20.2-1)
- Allows one to quickly set a wallpaper from folders
- caja-xattr-tags (1.20.2-1)
- Allows one to view extended attributes
- cakephp (2.10.11-2)
- rapid application development framework for PHP
- cakephp-scripts (2.10.11-2)
- rapid application development framework for PHP (scripts)
- calamares (3.2.4-3)
- distribution-independent installer framework
- calamares-settings
- virtueel pakket geboden door calamares-settings-debian
- calamares-settings-debian (10.0.20-1+deb10u4)
- Debian theme and settings for the Calamares Installer
- calamaris (2.99.4.5-3)
- log analyzer for Squid or Oops proxy log files
- calcoo (1.3.18-7)
- Scientific calculator (GTK+)
- calculix-ccx (2.11-1+b3)
- Three-Dimensional Structural Finite Element Program
- calculix-ccx-doc (2.11-1)
- Three-Dimensional Structural Finite Element Program (documentation files)
- calculix-ccx-test (2.11-1)
- Three-Dimensional Structural Finite Element Program (documentation files)
- calculix-cgx (2.11+dfsg-1+b1)
- Calculix cgx is a 3-dimensional pre- and post-processor for fem
- calculix-cgx-examples (2.11+dfsg-1)
- Example files for Calculix GraphiX
- calcurse (4.3.0-2.1)
- text-based calendar and todo manager
- caldav-tester (7.0-3)
- CalDAV and CardDAV server test & performance suite
- calendar-timezones
- virtueel pakket geboden door lightning
- calendarserver (9.2+dfsg-1)
- Apple's Calendar and Contacts Server
- calf-ladspa (1.1.3-8.1)
- Linux Multimedia Studio - Calf LADSPA plugins
- calf-plugins (0.90.1-2)
- Calf Studio Gear - audio effects and sound generators
- calibre (3.39.1+dfsg-3)
- powerful and easy to use e-book manager
- calibre-bin (3.39.1+dfsg-3)
- powerful and easy to use e-book manager
- calife (1:3.0.1-5)
- Provides super user privileges to specific users
- calligra (1:3.1.0+dfsg-5)
- extensive productivity and creative suite
- calligra-data (1:3.1.0+dfsg-5)
- common shared data for the Calligra Suite
- calligra-gemini (1:3.1.0+dfsg-5)
- unified interface for stage and words
- calligra-gemini-data (1:3.1.0+dfsg-5)
- Calligra Gemini - data files
- calligra-l10n-bs (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-ca (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-cavalencia (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-cs (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-da (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-de (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-el (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-engb (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-es (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-et (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-fi (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-fr (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-gl (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-hu (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-it (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-ja (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-kk (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-nb (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-nl (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-pl (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-pt (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-ptbr (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-ru (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-sk (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-sv (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-tr (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-uk (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-zhcn (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-l10n-zhtw (1:3.1.0+dfsg-5)
- dummy empty package
- calligra-libs (1:3.1.0+dfsg-5)
- common libraries and binaries for the Calligra Suite
- calligra-reports-web-element (1:3.1.0+dfsg-5)
- transitional dummy package for libkreport3-plugin-web
- calligraplan (1:3.1.0-3+b1)
- integrated project management and planning tool
- calligrasheets (1:3.1.0+dfsg-5)
- spreadsheet for the Calligra Suite
- calligrasheets-data (1:3.1.0+dfsg-5)
- data files for Sheets spreadsheet
- calligrastage (1:3.1.0+dfsg-5)
- presentation program for the Calligra Suite
- calligrastage-data (1:3.1.0+dfsg-5)
- data files for Calligra Stage
- calligrawords (1:3.1.0+dfsg-5)
- word processor for the Calligra Suite
- calligrawords-data (1:3.1.0+dfsg-5)
- data files for Words word processor
- camera-calibration-parsers-tools (1.11.13-3+b1)
- Robot OS camera_calibration_parsers_tools package
- camera.app (0.8.0-11+b1)
- GNUstep application for digital still cameras
- camitk-actionstatemachine (4.1.2-3)
- pipeline replay application for the CamiTK library
- camitk-config (4.1.2-3)
- Computer Assisted Medical Intervention Tool Kit - config
- camitk-imp (4.1.2-3)
- workbench application for the CamiTK library
- caml-crush-clients (1.0.8-1+b1)
- Caml Crush: an OCaml PKCS#11 filtering proxy - clients
- caml-crush-server (1.0.8-1+b1)
- Caml Crush: an OCaml PKCS#11 filtering proxy - server
- caml2html (1.4.3-2+b2)
- HTML and LaTeX colored syntax from OCaml source files - executable
- camlidl (1.05-15.1)
- Stub code generator for Objective Caml
- camlidl-137o7
- virtueel pakket geboden door camlidl
- camlidl-acjp5
- virtueel pakket geboden door camlidl
- camlidl-doc (1.04-4)
- Documentation for CamlIDL in PS, PDF and HTML formats
- camlmix (1.3.1-3+b4 [armhf], 1.3.1-3+b3 [amd64, arm64, i386])
- preprocessor which converts text with embedded OCaml
- camlp4 (4.05+1-2+b1 [armhf], 4.05+1-2 [amd64, arm64, i386])
- Pre Processor Pretty Printer for OCaml
- virtueel pakket geboden door camlp4
- camlp5 (7.01-1+b2 [armhf], 7.01-1+b1 [amd64, arm64, i386])
- Pre Processor Pretty Printer for OCaml - classical version
- camlp5-ab0y9
- virtueel pakket geboden door camlp5
- camlp5-cunr4
- virtueel pakket geboden door camlp5
- camo (2.3.0+dfsg-1.1)
- SSL/TLS image proxy to prevent mixed-content warnings
- camping (2.1.580-1.1)
- small Ruby web framework for Model-View-Controller type applications
- can-utils (2018.02.0-1)
- SocketCAN userspace utilities and tools
- caneda (0.3.1-1)
- Electronic Design Automation software focused on easy of use and portability
- canid (0.0~git20180613.007c9af-2+b10)
- Caching Additional Network Information Daemon
- canlock (3.1.0-1)
- utilities for creating and verifying Usenet cancel locks
- canmatrix-utils (0.6-3)
- Handle CAN (Controller Area Network) descriptions - cmdline utilities
- canna (3.7p3-14)
- input system for Japanese - server and dictionary
- canna-shion (0.0.20010204-12)
- supporting dictionaries for Canna
- canna-utils (3.7p3-14)
- input system for Japanese - utilities
- cantata (2.3.3.ds1-1)
- Qt client for the music player daemon (MPD)
- cantor (4:18.12.0-2)
- interface for mathematical applications
- cantor-backend-kalgebra (4:18.12.0-2)
- KAlgebra backend for Cantor
- cantor-backend-lua (4:18.12.0-2)
- Lua backend for Cantor
- cantor-backend-maxima (4:18.12.0-2)
- Maxima backend for Cantor
- cantor-backend-octave (4:18.12.0-2)
- Octave backend for Cantor
- cantor-backend-python2 (4:18.12.0-2)
- Python2 backend for Cantor
- cantor-backend-python3 (4:18.12.0-2)
- Python3 backend for Cantor
- cantor-backend-qalculate (4:18.12.0-2)
- Qalculate! backend for Cantor
- cantor-backend-r (4:18.12.0-2)
- R backend for Cantor
- cantor-backend-sage (4:18.12.0-2)
- Sage backend for Cantor
- cantor-backend-scilab (4:18.12.0-2)
- Scilab backend for Cantor
- canu (1.8+dfsg-2)
- single molecule sequence assembler for genomes
- capi4hylafax (1:01.03.00.99.svn.300-20+b1)
- Faxing over CAPI 2.0 device
- capistrano (3.11.0-3+deb10u1)
- tool to execute commands in parallel on multiple servers
- capiutils (1:3.25+dfsg1-10)
- ISDN utilities - tools for CAPI-capable cards
- capnproto (0.7.0-3)
- tool for working with the Cap'n Proto data interchange format
- cappuccino (0.5.1-9)
- utility to let your boss think that you're working hard
- caps (0.9.26-1)
- C* Audio Plugin Suite
- capstats (0.22-2)
- command-line tool for collecting network interface statistics
- capstone-tool (4.0.1+really+3.0.5-1)
- lightweight multi-architecture disassembly framework - command line tool
- carbon-c-relay (3.4-1)
- Carbon-compatible graphite line mode relay
- cardpeek (0.8.4-1+b4)
- Tool to read the contents of ISO7816 smartcards
- cardpeek-data (0.8.4-1)
- Tool to read the contents of ISO7816 smartcards - data files
- care (2.2.1-1+b1)
- make linux programs reproducible on all linux systems
- carettah (0.5.1-2)
- presentation tool that renders Markdown
- cargo (0.43.1-3~deb10u1)
- Rust package manager
- cargo (= 0.57.0-7~deb10u1)
- virtueel pakket geboden door cargo-mozilla
- cargo (= 0.66.0+ds1-1~deb10u1)
- virtueel pakket geboden door cargo-mozilla
- cargo-doc (0.43.1-3~deb10u1)
- Rust package manager, documentation
- cargo-lichking (0.7.0-1)
- Display or check licensing of Rust dependencies
- cargo-mozilla (0.66.0+ds1-1~deb10u1) [security]
- Rust package manager
- cargo-vendor (0.1.22-1)
- Cargo subcommand to vendor all crates.io dependencies onto the local filesystem
- caribou (0.4.21-7)
- Configurable on screen keyboard with scanning mode
- caribou-antler (0.4.21-7)
- Configurable on screen keyboard with scanning mode
- carmetal (3.5.2+dfsg-1.1)
- dynamic geometry software with highly ergonomic UI
- carton (1.0.34-2)
- Perl module dependency manager (aka Bundler for Perl)
- casacore-data (1.2)
- Data for Common Astronomy Software Applications core library
- casacore-data-igrf (12-1)
- International Geomagnetic Reference Field data for casacore
- casacore-data-jpl-de200 (2007.07.05+ds.1-0+deb10u1)
- Jet Propulsion Laboratory Development Ephemeris DE200 for casacore
- casacore-data-jpl-de405 (2007.07.05+ds.1-0+deb10u1)
- Jet Propulsion Laboratory Development Ephemeris DE405 for casacore
- casacore-data-lines (0+git2016.11.26-2)
- Table of spectral line frequencies for casacore
- casacore-data-observatories (0+git2018.12.08-1)
- Table of radio observatory coordinates for casacore
- casacore-data-sources (2-2)
- Table of ICRF reference source coordinates for casacore
- casacore-data-tai-utc (1.2)
- Difference table between TAI and UTC for casacore
- casacore-dev (3.0.0-4)
- CASA core library development files
- casacore-doc (3.0.0-4)
- CASA core library (documentation)
- casacore-tools (3.0.0-4)
- Tools built with CASA
- caspar (20180315-2)
- Makefile snippets for centralized configuration management and typesetting
- caspar-doc (20180315-2)
- documentation for caspar
- casparcg-server (2.2.0+dfsg-2) [contrib]
- layered real-time video compositor to multiple outputs
- cassbeam (1.1-1+b1)
- Cassegrain antenna modelling
- cassiopee (1.0.9-2)
- index and search tool in genomic sequences
- castle-game-engine-doc (6.4+dfsg1-2)
- Castle Game Engine - Developer's Documentation
- castle-game-engine-src (6.4+dfsg1-2)
- Castle Game Engine - Source code for Lazarus integration
- castxml (0.1+git20180702-3)
- C-family abstract syntax tree XML output tool
- casync (2+20180321-2.1)
- content addressable data synchronizer
- cataclysm-dda
- virtueel pakket geboden door cataclysm-dda-sdl, cataclysm-dda-curses
- cataclysm-dda-curses (0.C+git20190228.faafa3a-2)
- post-apocalyptic roguelike game - curses interface
- cataclysm-dda-data (0.C+git20190228.faafa3a-2)
- post-apocalyptic roguelike game - data files
- cataclysm-dda-sdl (0.C+git20190228.faafa3a-2)
- post-apocalyptic roguelike game - SDL interface
- catch (1.12.1-1)
- C++ Automated Test Cases in Headers
- catcodec (1.0.5-3)
- tool to decode/encode the sample catalogue for OpenTTD
- catdoc (1:0.95-4.1)
- text extractor for MS-Office files
- catdvi (0.14-12.1+b1)
- DVI to plain text translator
- catfish (1.4.7-1)
- File searching tool which is configurable via the command line
- catimg (2.5.0-1)
- fast image printing in to your terminal
- catkin (0.7.14-10)
- Low-level build system macros and infrastructure for Robot OS
- caveconverter (0~20170114-5)
- Cave survey data format converter
- caveexpress (2.4+git20160609-4+b1)
- 2D platformer with physics-based gameplay
- caveexpress-data (2.4+git20160609-4)
- 2D platformer with physics-based gameplay --data
- cavepacker (2.4+git20160609-4+b1)
- sokoban game with network based multiplayer gaming
- cavepacker-data (2.4+git20160609-4)
- sokoban game with network based multiplayer gaming --data
- cavezofphear (0.5.1-1+b3)
- ASCII Boulder Dash clone
- cb2bib (1.9.9-1)
- extract bibliographic references from various sources
- cba (0.3.6-4.1+b2)
- Continuous Beam Analysis
- cbatticon (1.6.8-1)
- lightweight and fast battery icon status and more
- cbedic (4.0-4+b1) [contrib]
- Text-mode Bulgarian/English Dictionary
- cbflib-bin (0.9.5.18+dfsg1-1+b1)
- utilities to manipulate CBF files
- cbflib-doc (0.9.5.18+dfsg1-1)
- documentation for CBFlib
- cbindgen (0.24.3-2~deb10u2) [security]
- Generates C bindings from Rust code
- cbios (0.28-1)
- open source MSX BIOS roms
- cbm (0.2-1)
- display in real time the network traffic speed
- cbmc (5.10-5)
- bounded model checker for C and C++ programs
- cbootimage (1.8-1)
- Tools to dump and generate boot config table on Tegra devices
- cbp2make (147+dfsg-2)
- Makefile generation tool for the Code::Blocks IDE
- cc65 (2.17-1)
- complete cross development package for 65(C)02 systems
- cc65-doc (2.17-1)
- cc65 documentation
- ccache (3.6-1)
- Compiler cache for fast recompilation of C/C++ code
- ccal (4.0-4)
- Colorised calendar utility
- ccbuild (2.0.7+git20160227.c1179286-1)
- source scanning build utility for C++
- cccc (1:3.1.4-11)
- C and C++ Code Counter, a software metrics tool
- cccd (0.3beta4-7.1+b1)
- Small GTK+ CD player program
- ccd2iso (0.3-7)
- Converter from CloneCD disc image format to standard ISO
- ccdiff (0.26-1)
- Colored Character Diff
- (0.87+ds1-1)
- fast closed captions extractor for MPEG and H264 files
- cciss-vol-status (1.12-1)
- HP SmartArray RAID Volume Status Checker
- cclib (1.6-1)
- Parsers and algorithms for computational chemistry
- cclib-data (1.1-1) [non-free]
- Parsers and algorithms for computational chemistry (data files)
- ccontrol (1.0-2)
- Compilation controller
- cconv (0.6.2-1.1+b2)
- simplified-traditional chinese conversion tool
- ccrypt (1.11-1)
- secure encryption and decryption of files and streams
- cct (20170919+dfsg-1)
- visually comparing bacterial, plasmid, chloroplast, or mitochondrial sequences
- cct-examples (20170919+dfsg-1)
- example data for testing the package cct
- ccze (0.2.1-4+b1)
- robust, modular log coloriser
- cd-circleprint (0.7.0-5)
- prints round cd-labels
- cd-discid (1.4-1+b1)
- CDDB DiscID utility
- cd-hit (4.6.8-2)
- suite of programs designed to quickly group sequences
- cd5 (0.1-4)
- Compute checksum of individual track on CD-ROMS
- cdargs (1.35-12)
- bookmarks and browsing for the cd command
- cdbackup (0.7.1-1)
- CD-R(W) backup utility
- cdbfasta (0.99-20100722-5)
- Constant DataBase indexing and retrieval tools for multi-FASTA files
- cdbs (0.4.159)
- common build system for Debian packages
- cdcat (1.8-1+b2)
- media catalog program
- cdcd (0.6.6-13.1+b3)
- command line or console based CD player
- cdcd-dbg (0.6.6-13.1+b3)
- command line or console based CD player (debug)
- cdck (0.7.0+dfsg-2)
- tool for verifying the quality of written CDs/DVDs
- cdcover (0.9.1-13)
- Creating Data-CD Covers
- cdde (0.3.1-1+b2)
- CD Detect & Execute utility
- cde (0.1+git9-g551e54d-1.1)
- package everything required to execute a Linux command on another computer
- cdebconf (0.249)
- Debian Configuration Management System (C-implementation)
- cdebconf-entropy
- virtueel pakket geboden door cdebconf-newt-entropy, cdebconf-text-entropy, cdebconf-gtk-entropy
- cdebconf-gtk (0.249)
- Gtk+ frontend for Debian Configuration Management System
- cdebconf-gtk-entropy (0.44)
- cdebconf gtk plugin for reading from /dev/random
- cdebconf-gtk-terminal (0.36)
- cdebconf gtk plugin displaying a terminal
- cdebconf-gtk-udeb (0.249)
- Gtk+ frontend for Debian Configuration Management System
- cdebconf-newt-entropy (0.44)
- cdebconf newt plugin for reading from /dev/random
- cdebconf-newt-terminal (0.36)
- cdebconf newt plugin to provide a clean terminal
- cdebconf-newt-udeb (0.249)
- Newt frontend for Debian Configuration Management System
- cdebconf-priority (0.249)
- Change debconf priority
- cdebconf-terminal
- virtueel pakket geboden door cdebconf-gtk-terminal, cdebconf-newt-terminal
- cdebconf-text-entropy (0.44)
- cdebconf text plugin for reading from /dev/random
- cdebconf-text-udeb (0.249)
- Plain text frontend for Debian Configuration Management System
- cdebconf-udeb (0.249)
- Debian Configuration Management System (C-implementation)
- cdebootstrap (0.7.7+b12)
- Bootstrap a Debian system
- cdebootstrap-static (0.7.7+b12)
- Bootstrap a Debian system - static binary
- cdecl (2.5-13+b2)
- Turn English phrases to C or C++ declarations
- cdftools (3.0.2-3+b1)
- Diagnostic tools for NEMO netCDF output
- cdist (4.10.6-1)
- Usable Configuration Management System
- cdist-doc (4.10.6-1)
- Usable Configuration Management System (html documentation)
- cdlabelgen (4.3.0-1)
- generates front cards and tray cards for CDs and DVDs
- cdo (1.9.6-1)
- Climate Data Operators
- cdparanoia (3.10.2+debian-13)
- audio extraction tool for sampling CDs
- cdpr (2.4-2)
- Cisco Discovery Protocol Reporter
- cdr2odg (0.9.6-2)
- Corel Draw graphics to OpenDocument converter
- cdrdao (1:1.2.4-1)
- records CDs in Disk-At-Once (DAO) mode
- cdrkit-doc (9:1.1.11-3)
- Documentatie voor de cdrit-pakketsuite
- cdrom-checker (1.42)
- Verify the cd contents
- cdrom-core-modules
- virtueel pakket geboden door cdrom-core-modules-4.19.0-21-amd64-di, cdrom-core-modules-4.19.0-20-armmp-di, cdrom-core-modules-4.19.0-20-amd64-di, cdrom-core-modules-4.19.0-21-armmp-di, cdrom-core-modules-4.19.0-21-arm64-di, cdrom-core-modules-4.19.0-20-686-pae-di, cdrom-core-modules-4.19.0-21-686-di, cdrom-core-modules-4.19.0-21-686-pae-di, cdrom-core-modules-4.19.0-20-arm64-di, cdrom-core-modules-4.19.0-20-686-di
- cdrom-core-modules-4.19.0-20-686-di (4.19.235-1)
- CDROM support
- cdrom-core-modules-4.19.0-20-686-pae-di (4.19.235-1)
- CDROM support
- cdrom-core-modules-4.19.0-20-amd64-di (4.19.235-1)
- CDROM support
- cdrom-core-modules-4.19.0-20-arm64-di (4.19.235-1)
- CDROM support
- cdrom-core-modules-4.19.0-20-armmp-di (4.19.235-1)
- CDROM support
- cdrom-core-modules-4.19.0-21-686-di (4.19.249-2)
- CDROM support
- cdrom-core-modules-4.19.0-21-686-pae-di (4.19.249-2)
- CDROM support
- cdrom-core-modules-4.19.0-21-amd64-di (4.19.249-2)
- CDROM support
- cdrom-core-modules-4.19.0-21-arm64-di (4.19.249-2)
- CDROM support
- cdrom-core-modules-4.19.0-21-armmp-di (4.19.249-2)
- CDROM support
- cdrom-detect (1.83)
- Detect CDROM devices and mount the CD
- cdrom-detect
- virtueel pakket geboden door iso-scan
- cdrom-retriever (1.45)
- Fetch modules from a CDROM
- cdrskin (1.5.0-1+deb10u1)
- command line CD/DVD/BD writing tool
- cdtool (2.1.8-release-7)
- text-based audio CD player and CD-ROM control commands
- cdw (0.8.1-1+b4)
- Tool for burning CD's - console version
- cec-utils (4.0.4+dfsg1-2)
- USB CEC Adaptor communication Library (utility programs)
- cecilia (5.3.5-1)
- Sound synthesis and audio signal processing environment
- cedar-backup2 (2.27.0-5)
- local and remote backups to CD/DVD media or Amazon S3 storage
- cedar-backup2-doc (2.27.0-5)
- local and remote backups to CD/DVD media or Amazon S3 storage (documentation)
- cedar-backup3 (3.1.12-5)
- local and remote backups to CD/DVD media or Amazon S3 storage
- cedar-backup3-doc (3.1.12-5)
- local and remote backups to CD/DVD media or Amazon S3 storage (documentation)
- ceferino (0.97.8+svn37-2+b1)
- action game similar to Super Pang
- ceferino-data (0.97.8+svn37-2)
- action game similar to Super Pang
- ceilometer-agent-central (1:11.0.1-5)
- OpenStack efficient metering counters system - agent central
- ceilometer-agent-compute (1:11.0.1-5)
- OpenStack efficient metering counters system - compute agent
- ceilometer-agent-ipmi (1:11.0.1-5)
- OpenStack efficient metering counters system - IPMI agent
- ceilometer-agent-notification (1:11.0.1-5)
- OpenStack efficient metering counters system - notification agent
- ceilometer-common (1:11.0.1-5)
- OpenStack efficient metering counters system - common files
- ceilometer-doc (1:11.0.1-5)
- OpenStack efficient metering counters system - doc
- ceilometer-polling (1:11.0.1-5)
- OpenStack efficient metering counters system - Polling agent
- cellwriter (1.3.6-1)
- grid-entry handwriting input panel
- cen64 (0.3+git20180227-2)
- Cycle-Accurate Nintendo 64 Simulator
- cen64-qt (20180730-alpha-1)
- Cross-platform graphical frontend for the CEN64 emulator
- ceni (2.33-2)
- Curses interface to /etc/network/interfaces
- cenon.app (4.0.6+ds1-2+b1)
- Vector graphics tool for GNUstep
- cenon.app-common (4.0.6+ds1-2)
- Vector graphics tool for GNUstep (common files)
- centreon-broker (18.10.0-4)
- Network, system, applicative supervision and monitoring - broker
- centreon-connector-perl (18.10.0-1)
- Network, system, applicative supervision and monitoring - perl connector
- centreon-connector-ssh (18.10.0-1)
- Network, system, applicative supervision and monitoring - ssh connector
- centreon-engine (18.10.0-4)
- Network, system, applicative supervision and monitoring - engine
- centrifuge (1.0.3-2)
- rapid and memory-efficient system for classification of DNA sequences
- ceph (12.2.11+dfsg1-2.1+deb10u1) [security]
- distributed storage and file system
- ceph-base (12.2.11+dfsg1-2.1+deb10u1) [security]
- common ceph daemon libraries and management tools
- ceph-common (12.2.11+dfsg1-2.1+deb10u1) [security]
- common utilities to mount and interact with a ceph storage cluster
- ceph-fuse (12.2.11+dfsg1-2.1+deb10u1) [security]
- FUSE-based client for the Ceph distributed file system
- ceph-mds (12.2.11+dfsg1-2.1+deb10u1) [security]
- metadata server for the ceph distributed file system
- ceph-mgr (12.2.11+dfsg1-2.1+deb10u1) [security]
- Manager for the ceph distributed file system
- ceph-mon (12.2.11+dfsg1-2.1+deb10u1) [security]
- monitor server for the ceph storage system
- ceph-osd (12.2.11+dfsg1-2.1+deb10u1) [security]
- OSD server for the ceph storage system
- ceph-resource-agents (12.2.11+dfsg1-2.1+deb10u1) [security]
- OCF-compliant resource agents for Ceph
- ceph-test (12.2.11+dfsg1-2.1+deb10u1) [security]
- Ceph test and benchmarking tools
- ceph-tools
- virtueel pakket geboden door open-infrastructure-ceph-tools
- cereal (0.24-1)
- automated, logged serial terminal management system
- ceres-solver-doc (1.14.0-4)
- documentation for ceres-solver
- cernlib (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - general use metapackage
- cernlib-base (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - common files
- cernlib-base-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - dependencies checking script
- cernlib-core (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - main libraries and programs
- cernlib-core-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core development files
- (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - extra programs
- cernlib-montecarlo (20061220+dfsg3-3.1)
- CERNLIB Monte Carlo libraries
- certbot (0.31.0-1+deb10u1)
- automatically configure HTTPS using Let's Encrypt
- certmonger (0.79.6-1)
- D-Bus -based service to simplify interaction with certificate authorities
- certspotter (0.9-2+b11)
- Certificate Transparency Log Monitor
- cervisia (4:17.08.3-1)
- graphical CVS client
- ceve
- virtueel pakket geboden door dose-extra
- cewl (5.4.4.1-1)
- custom word list generator
- cfengine3 (3.12.1-2)
- tool for configuring and maintaining network machines
- cfget (0.19-1.1)
- featureful tool to read values from config files
- cfi-en (3.0-10)
- Copyright does not exist, book about hacker culture
- cfi-sv (3.0-10)
- Copyright finns inte, book about hacker culture
- cfingerd (1.4.3-3.2+b1)
- configurable finger daemon
- cflow (1:1.6-1)
- Analyze control flow in C source files
- cfortran (20110621-1)
- Header file permitting Fortran routines to be called in C/C++
- cfourcc (0.1.2-9)
- command line tool for changing FourCC in Microsoft RIFF AVI files
- cg3 (1.1.7-1+b1)
- Tools for using the 3rd edition of Constraint Grammar (CG-3)
- cgdb (0.6.7-2+b4)
- curses-based interface to the GNU Debugger (GDB)
- cgi-mapserver (7.2.2-1)
- CGI executable for MapServer
- cgilib (0.6-1.1)
- Simple CGI Library
- cgit (1.2.1+git2.18.0-1)
- hyperfast web frontend for git repositories written in C
- cgmanager (0.41-2)
- Central cgroup manager daemon
- cgmanager-tests (0.41-2)
- Central cgroup manager daemon (tests)
- cgmanager-utils
- virtueel pakket geboden door cgmanager
- cgns-convert (3.3.0-7~deb10u1)
- CFD General Notation System - Conversion tools
- cgoban (1.9.14-18+b1)
- complete Go board
- cgpt (0~R63-10032.B-3)
- GPT manipulation tool with support for Chromium OS extensions
- cgroup-bin (0.41-8.1)
- control and monitor control groups (transitional package)
- cgroup-tools (0.41-8.1)
- control and monitor control groups (tools)
- cgroupfs-mount (1.4)
- Light-weight package to set up cgroupfs mounts
- cgsi-gsoap-dev
- virtueel pakket geboden door libcgsi-gsoap-dev
- cgsi-gsoap1
- virtueel pakket geboden door libcgsi-gsoap1
- cgvg (1.6.2-2.2)
- command-line source browsing tool
- cgview (0.0.20100111-4)
- Circular Genome Viewer
- ch5m3d (1.2.5+dfsg-2)
- create and visualize 3-dimensional drawings of simple molecules
- chado-utils (1.31-5)
- tools to add/extract data from Chado
- chafa (1.0.1-2+b1)
- Image-to-text converter supporting a wide range of symbols, etc.
- chai (4.2.0+ds-3)
- assertion library for Node.js
- chake (0.17.1-1)
- serverless configuration management tool for chef
- chaksem (1.7b-5.1)
- LaTeX class for presentations
- chalow (1.0-5)
- weblog tool that converts ChangeLog to HTML
- chameleon-cursor-theme (0.5-8)
- modern but not gaudy X11 mouse theme
- changeme (1.1.1-2)
- Default credential scanner
- changeo (0.4.5-1)
- Repertoire clonal assignment toolkit (Python 3)
- changetrack (4.7-6)
- monitor changes to (configuration) files
- chaosread (1.1-1)
- Directly read ChaosKey noise source
- chaosreader (0.96-5)
- trace network sessions and export it to html format
- charactermanaj (0.998+git20181111.be77202c-1)
- avatar editor application
- charliecloud (0.9.6-1)
- user-defined software stacks (UDSS) for HPC centers
- charliecloud-doc (0.9.6-1)
- user-defined software stacks (UDSS) for HPC centers (documentation)
- charmap.app (0.3~rc1-3+b3)
- Character map for GNUstep
- charmap.app-common (0.3~rc1-3)
- Character map for GNUstep (arch-independent files)
- charmtimetracker (1.12.0-1)
- task based Time Tracker
- charon-cmd (5.7.2-1+deb10u4) [security]
- standalone IPsec client
- charon-systemd (5.7.2-1+deb10u4) [security]
- strongSwan IPsec client, systemd support
- charybdis (4.1.1-1+b1)
- fast, scalable irc server
- chase (0.5.2-4+b2)
- Follow a symlink and print out its target file
- chasen (2.4.5-43)
- Japanese Morphological Analysis System
- chasen-dic
- virtueel pakket geboden door naist-jdic-utf8, naist-jdic
- chasen-dictutils (2.4.5-43)
- Japanese Morphological Analysis System - utilities for dictionary
- chasquid (0.07-1+b1)
- simple SMTP (email) server written in go
- check (0.10.0-3+b3)
- unit test framework for C
- check-manifest (0.37-1)
- Tool to check the completeness of MANIFEST.in for Python packages (Python 3)
- check-pgactivity (2.4-1)
- PostgreSQL plugin for Nagios
- check-postgres (2.24.0-3)
- script for monitoring PostgreSQL databases
- checkbot (1.80-3)
- WWW link-controleur
- checkit-tiff (0.2.3-2)
- conformance checker for baseline TIFFs
- checkpolicy (2.8-1)
- SELinux policy compiler
- checkpw (1.02-1.1+b1)
- checks password which is stored in ~/Maildir/.password
- checksec (1.9.0-1)
- Bash script to test executable properties
- checksecurity (2.0.16+nmu1)
- basic system security checks
- checkstyle (8.15-1+deb10u1)
- checks Java source against a coding standard
- checkstyle-doc (8.15-1+deb10u1)
- Documentation for Checkstyle
- cheese (3.31.90-1)
- tool to take pictures and videos from your webcam
- cheese-common (3.31.90-1)
- Common files for the Cheese tool to take pictures and videos
- cheesecutter (2.9+git20181112-2)
- SID music editor
- chef (13.8.7-4)
- systems integration framework - clients
- chef-zero (13.1.0-2)
- in-memory Chef server (for testing and solo purposes)
- chemeq (2.18-1)
- Parser for chemical formula and equilibria
- chemical-mime-data (0.1.94-7)
- chemical MIME and file type support for desktops
- chemical-structures (2.2.dfsg.0-13)
- set of molecular structures in open formats
- chemicalmime
- virtueel pakket geboden door chemical-mime-data
- chemps2 (1.8.9-1+b2)
- Executable to call libchemps2-3 from the command line
- chemps2-doc (1.8.9-1)
- Documentation of the libchemps2-3 package
- chemtool (1.6.14-3)
- chemical structures drawing program
- chess.app (2.8-2+b1)
- Chess for GNUstep ported from OPENSTEP
- chessx (1.4.6-2)
- chess database
- chewing-editor (0.1.1-3)
- user dictionary editor for the chewing input method
- chewmail (1.3-1)
- mail archiver for various mailbox formats
- chezdav (2.2-3)
- simple tool to share a directory with WebDAV
- chezscheme (9.5+dfsg-6)
- Reliable, high performance Scheme compiler
- chezscheme9.5 (9.5+dfsg-6)
- Reliable, high performance Scheme compiler (version 9.5)
- chezscheme9.5-dev (9.5+dfsg-6)
- Reliable, high performance Scheme compiler (C development files)
- chezscheme9.5-doc (9.5+dfsg-6)
- Reliable, high performance Scheme compiler (documentation)
- chiark-backup (6.0.4)
- backup system for small systems and networks
- chiark-really (6.0.4)
- really - a tool for gaining privilege (simple, realistic sudo)
- chiark-rwbuffer (6.0.4)
- readbuffer/writebuffer: prevents tape drive seesawing, etc.
- chiark-scripts (6.0.4)
- chiark system administration scripts
- chiark-utils-bin (6.0.4)
- chiark system administration utilities
- chicken-bin (4.13.0-1)
- Practical and portable Scheme system - compiler
- childsplay (3.3-2)
- Suite of educational games for young children
- chimeraslayer (20101212+dfsg1-2)
- detects likely chimeras in PCR amplified DNA
- chipmunk-dev (6.1.5-1+b1)
- Fast and lightweight 2D rigid body physics library - devel
- chipw (2.0.6-1.2+b2)
- custom level editor for TileWorld / Chip's Challenge™
- chirashi (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (clients plugins)
- chirp (1:20190104-1)
- Configuration tool for amateur radios
- chise-db (0.3.0-2.1)
- CHISE db, the character information database
- chkboot (1.2-2)
- detection of malicious changes for boot files
- chkrootkit (0.52-3+b10)
- rootkit detector
- chkservice (0.1-3)
- Tool for managing systemd units
- chktex (1.7.6-2+b1)
- Finds typographic errors in LaTeX
- chm2pdf (0.9.1-1.2)
- A Python script that converts CHM files into PDF files
- chntpw (1.0-1.1)
- NT SAM password recovery utility
- chocolate-common (3.0.0-4+deb10u1)
- dummy transitional package for chocolate-doom related packages
- chocolate-doom (3.0.0-4+deb10u1)
- Doom engines closely-compatible with Vanilla Doom
- chocolate-heretic
- virtueel pakket geboden door chocolate-doom
- chocolate-hexen
- virtueel pakket geboden door chocolate-doom
- chocolate-strife
- virtueel pakket geboden door chocolate-doom
- choose-mirror (2.99+deb10u3)
- Choose mirror to install from (menu item)
- choose-mirror-bin (2.99+deb10u3)
- Choose mirror to install from (program)
- choosewm (0.1.6-3+b2)
- fake x-session-manager allowing the user to choose a wm
- choqok (1.6-2.1)
- KDE client voor microbloggen
- chordii (4.5.3+repack-0.1)
- Text file (chordpro format) to music sheet converter
- chroma (0.6.3+really0.6.2-1)
- general purpose syntax highlighter in pure Go
- chrome-gnome-shell (10.1-5)
- GNOME Shell extensions integration for web browsers
- chromhmm (1.18+dfsg-1)
- Chromatin state discovery and characterization
- chromhmm-example (1.18+dfsg-1)
- Chromatin state discovery and characterization (example)
- chromimpute (1.0.3+dfsg-1)
- Large-scale systematic epigenome imputation
- chromium (90.0.4430.212-1~deb10u1 [amd64, armhf, i386], 89.0.4389.114-1~deb10u1 [arm64])
- web browser
- chromium-bsu (0.9.16.1-2)
- fast paced, arcade-style, scrolling space shooter
- chromium-bsu-data (0.9.16.1-2)
- data pack for the Chromium B.S.U. game
- chromium-common (90.0.4430.212-1~deb10u1 [amd64, armhf, i386], 89.0.4389.114-1~deb10u1 [arm64])
- web browser - common resources used by the chromium packages
- chromium-driver (90.0.4430.212-1~deb10u1 [amd64, armhf, i386], 89.0.4389.114-1~deb10u1 [arm64])
- web browser - WebDriver support
- chromium-l10n (90.0.4430.212-1~deb10u1)
- web browser - language packs
- chromium-lwn4chrome (1.0-3)
- Chromium extension for making LWN.net slightly easier to read
- chromium-sandbox (90.0.4430.212-1~deb10u1 [amd64, armhf, i386], 89.0.4389.114-1~deb10u1 [arm64])
- web browser - setuid security sandbox for chromium
- chromium-shell (90.0.4430.212-1~deb10u1 [amd64, armhf, i386], 89.0.4389.114-1~deb10u1 [arm64])
- web browser - minimal shell
- (0.5.2-2)
- Chromium extension providing toolbar button for TT-RSS installations
- chronicle (4.6-2)
- HTML & RSS blog compiler
- chrony (3.4-4+deb10u2)
- Versatile implementation of the Network Time Protocol
- chrootuid (1.3-6+b2)
- Run commands in restricted environments
- chrpath (0.16-2+b1)
- Tool to edit the rpath in ELF binaries
- chuck (1.2.0.8.dfsg-1.5)
- Concurrent, On-the-fly Audio Programming Language
- cicero (0.7.2-4) [contrib]
- French and English Text-To-Speech for MBROLA
- cider-doc (0.19.0+dfsg-2)
- Clojure IDE for Emacs - documentation
- ciderwebmail (1.05+20150729-6)
- IMAP webmail service
- cifs-utils (2:6.8-2+deb10u1)
- Common Internet File System utilities
- cil (0.07.00-12)
- command line issue tracker
- cil-disassembler
- virtueel pakket geboden door mono-utils
- cimg-dev (2.4.5+dfsg-1+deb10u1)
- powerful image processing library
- cimg-doc (2.4.5+dfsg-1+deb10u1)
- documentation of cimg-dev imaging library
- cimg-examples (2.4.5+dfsg-1+deb10u1)
- examples for cimg-dev imaging library
- cinder-api (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - API server
- cinder-backup (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - Backup server
- cinder-common (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - common files
- cinder-doc (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - doc
- cinder-scheduler (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - Scheduler server
- cinder-volume (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - Volume server
- cinnamon (3.8.8-1)
- Innovative and comfortable desktop
- cinnamon-capplets-data
- virtueel pakket geboden door cinnamon-control-center-data
- cinnamon-common (3.8.8-1)
- Innovative and comfortable desktop (Common data files)
- cinnamon-control-center (3.8.1-1)
- configuration applets for the Cinnamon desktop
- cinnamon-control-center-data (3.8.1-1)
- configuration applets for Cinnamon - data files
- cinnamon-core (3.8)
- Cinnamon desktop environment - essential components
- cinnamon-desktop-data (3.8.1-2)
- Common files for Cinnamon desktop apps
- cinnamon-desktop-environment (3.8)
- Cinnamon desktop environment - full desktop with extra components
- cinnamon-doc (3.8.8-1)
- Innovative and comfortable desktop (Documentation)
- cinnamon-l10n (3.8.2-1)
- Translation files for the Cinnamon desktop
- cinnamon-screensaver (3.8.2-1)
- Cinnamon screen saver and locker
- cinnamon-screensaver-webkit-plugin (3.8.2-1)
- Webkit plugin for cinnamon-screensaver
- cinnamon-screensaver-x-plugin (3.8.2-1)
- XScreensaver plugin for cinnamon-screensaver
- cinnamon-session (3.8.2-1)
- Cinnamon Session Manager - Minimal runtime
- cinnamon-session-common (3.8.2-1)
- Cinnamon Session Manager - common files
- cinnamon-settings-daemon (3.8.4-2)
- daemon handling the Cinnamon session settings
- cinnamon-settings-daemon-dev (3.8.4-2)
- headers for building applications communicating with cinnamon-settings-daemon
- circlator (1.5.5-3)
- circularize genome assemblies
- circle-backend (0.173320-1)
- server backend for the Circle IRC client
- circos (0.69.6+dfsg-2)
- plotter for visualizing data
- circos-tools (0.23-1)
- plotter for visualizing data - helper utilities
- circus (0.12.1+dfsg-1)
- process & socket manager. It can be used to monitor and control
- circuslinux (1.0.3-34)
- Clowns are trying to pop balloons to score points!
- circuslinux-data (1.0.3-34)
- data files for circuslinux
- citadel-client (916-1)
- complete and feature-rich groupware server (command line client)
- citadel-doc (917-2)
- complete and feature-rich groupware server (documentation)
- citadel-mta
- virtueel pakket geboden door citadel-server
- citadel-server (917-2+b1)
- complete and feature-rich groupware server
- citadel-suite (917-dfsg-2)
- complete and feature-rich groupware server; metapackage for full installation
- citadel-webcit (917-dfsg-2)
- web-based frontend to Citadel groupware server
- citation-style-language-locales (0~20180122.15396c0-1)
- Citation Style Language (CSL) citation locales
- citation-style-language-styles (0~20180122.283b8d871-1)
- Citation Style Language (CSL) citation styles
- cjk-latex (4.8.4+git20170127-2)
- installs all LaTeX CJK packages
- cjk-latex
- virtueel pakket geboden door latex-cjk-common
- cjs (3.8.0-5)
- Mozilla-based javascript bindings for the GNOME platform
- ckbuilder (2.3.2+dfsg-2)
- command line builder for CKEditor
- ckeditor (4.11.1+dfsg-1)
- text editor which can be embedded into web pages
- ckeditor3 (3.6.6.1+dfsg-3)
- text editor for internet
- ckon (0.7.1-3+b5)
- automatic build tool for ROOT data analysis software
- ckport (0.1~rc1-7)
- portability analysis and security checking tool
- ckport-database (0.1~rc1-7)
- portability analysis and security checking tool (Database files)
- cksfv (1.3.14-2+b2)
- sfv controleur en generator
- cl-abnf (20150608-1)
- Common Lisp ABNF parser generator
- cl-acl-compat (20150826.git39b1324+dfsg-1)
- Compatibility layer for Allegro Common Lisp
- cl-actionlib (1.11.15-1+deb10u1)
- Robot OS actionlib library - LISP interface
- cl-actionlib-msgs (1.12.7-1)
- Messages relating to Robot OS actionlib, LISP interface
- cl-alexandria (20181203.gitd44f543-1)
- collection of portable Common Lisp utilities
- cl-anaphora (20180228-1)
- Common Lisp Anaphoric Macro Collection
- cl-asdf (2:3.3.2-1)
- Another System Definition Facility
- cl-asdf-finalizers (20170403-1)
- Enforced finalization of ASDF Common Lisp components
- cl-asdf-flv (2.1-1)
- file-local variables through Common Lisp's ASDF
- cl-asdf-system-connections (20170124-1)
- Allows for ASDF system to be connected so that auto-loading may occur
- cl-aserve (20150826.git39b1324+dfsg-1)
- Portable Aserve
- cl-babel (20171213.git546fa82-1)
- charset encoding/decoding library for Common Lisp
- cl-base64 (3.3.4-1)
- Common Lisp package to encode and decode base64 with URI support
- cl-bond (1.8.3-1)
- Messages related to Robot OS bond_core - LISP
- cl-bordeaux-threads (0.8.6-1)
- Portable threads library for Common Lisp
- cl-brlapi (5.6-10+deb10u1)
- Common Lisp bindings for BrlAPI
- cl-cffi (1:0.20.0-1)
- Common Foreign Function Interface for Common Lisp
- cl-chipz (20180328-1)
- library for decompressing DEFLATE and BZIP2 data
- cl-chunga (20180131-1)
- Portable chunked streams for Common Lisp
- cl-closer-mop (2:20190127.git22858cb-1)
- Cross Implementation AMOP library
- cl-closure-common (20101107-1)
- Shared code for Closure XML and Closure HTML
- cl-clx-sbcl (0.7.4.20160323-1.1)
- X11 Common Lisp client library for SBCL
- cl-command-line-arguments (20151218-1)
- get command line arguments in Common Lisp
- cl-containers (20170403-1)
- Common Lisp containers data structures
- cl-contextl (1:20160313.git5894fba-1)
- context orientation for Common Lisp
- cl-csv (20180712.git3eba29c-1)
- Common Lisp library providing easy CSV reading and writing
- cl-curry-compose-reader-macros (20171227-1)
- Reader macros for function partial application and composition.
- cl-cxml (20110619-2)
- XML parser written in Common Lisp
- cl-daemon (20170403-1)
- make lisp process daemonize on unix like platform
- cl-db3 (20150302-1)
- Common Lisp lib to read dbf files version 3
- cl-diagnostic-msgs (1.12.7-1)
- Messages relating to Robot OS diagnostic, LISP interface
- cl-drakma (2.0.4-1)
- Common Lisp HTTP client
- cl-dynamic-classes (20130128-2)
- Common Lisp Dynamic Classes
- cl-dynamic-reconfigure (1.6.0-1)
- Robot OS dynamic-reconfigure library - LISP bindings
- cl-esrap (20180430-1)
- Packrat Parsing library for Common Lisp
- cl-fad (20180430-3)
- portable pathname library for Common Lisp
- cl-fiveam (1.4.1-2)
- simple regression testing framework for Common Lisp
- cl-flexi-streams (20181214.git0fd872a-1)
- Flexi-streams: Flexible bivalent streams for Common Lisp
- cl-ftp (1.6.0-1)
- Common Lisp FTP library
- cl-garbage-pools (20130720-1)
- implementation the APR Pools for resource management
- cl-geometry-msgs (1.12.7-1)
- Messages relating to Robot OS geometry, LISP interface
- cl-github-v3 (20130312-1)
- Common Lisp interface to the github V3 API
- cl-graph (20180131-1)
- simple graph data structure and algorithms
- cl-htmlgen (20150826.git39b1324+dfsg-1)
- HTML generation library for Common Lisp programs
- cl-hunchentoot (1.2.38-1)
- Common Lisp web server formerly known as TBNL
- cl-hyperobject (2.12.0-1)
- Common Lisp library for hyperobjects
- cl-ieee-floats (20170830-1)
- Common Lisp IEEE-754 float en- and decoding
- cl-interpol (20180509.git1fd288d-1)
- String interpolation for Common Lisp
- cl-irc (1:0.9.2+dfsg1-2)
- Common Lisp Internet Relay Chat Library
- cl-ironclad (0.45-1)
- cryptographic toolkit written in Common Lisp
- cl-iterate (20180228-1)
- Jonathan Amsterdam's Common Lisp iterator/gatherer/accumulator facility
- cl-ixf (20180228-1)
- Common Lisp library to parse IBM IXF file format.
- cl-kmrcl (1.109-1)
- General Utilities for Common Lisp Programs
- cl-launch (4.1.4-1)
- uniform frontend to running Common Lisp code from the shell
- cl-local-time (20180228-1)
- Common Lisp library for date and time manipulations
- cl-log (1.0.1-1)
- general purpose Common Lisp logging utility
- cl-lparallel (20160825-1)
- parallel programming in Common Lisp
- cl-lw-compat (20160228.gitaabfe28-1)
- LispWorks Compatibility Library
- cl-map-msgs (1.13.0-8)
- LISP code for map-related ROS Messages
- cl-markdown (20101006-2)
- Common Lisp rewrite of Markdown
- cl-md5 (1:20180224.gitb141260-1)
- Common Lisp package for MD5 Message Digests
- cl-metabang-bind (20171130-1)
- Common Lisp flexible pattern matching
- cl-metatilities-base (20170403-1)
- Common Lisp set of utilities from Metabang
- cl-move-base-msgs (1.13.0-8)
- LISP code for move-base-related ROS Messages
- cl-mssql (20180228-1)
- Common Lisp interface to MS SQL Server
- cl-mustache (0.12.1-9-g684f227-1)
- Common Lisp Mustache Template Renderer
- cl-named-readtables (20180121.git985b162-1)
- Common Lisp library that creates namespaces for named readtables
- cl-nav-msgs (1.12.7-1)
- Messages relating to Robot OS nav, LISP interface
- cl-nibbles (20180822.gitfb9d55b-1)
- Common Lisp library for accessing octet-addressed blocks of data
- cl-nodelet (1.9.16-1)
- Robot OS nodelet library - service files - LISP
- cl-opencv-apps (1.12.0-2)
- opencv_apps Robot OS package - LISP bindings
- cl-parse-number (1.7-1)
- parse a string into one of the standard Common Lisp number types
- cl-pcl-msgs (0.2.0-8)
- LISP code for PCL-related Robot OS Messages
- cl-pg (1:20061216-6)
- Common Lisp library that provides a socket level postgresql interface
- cl-pgloader (3.6.1-1)
- extract, transform and load data into PostgreSQL
- cl-plus-ssl (20190204.gitab6fc5d-1)
- Common Lisp interface to OpenSSL
- cl-polled-camera (1.11.13-3)
- Robot OS polled_camera package - LISP
- cl-postgres (20180430-1)
- Low-level client library for PosgreSQL
- cl-postmodern (20180430-1)
- Common Lisp library for interacting with PostgreSQL databases
- cl-ppcre (20180805.git2115632-1)
- Portable Perl-compatible regular expressions for Common Lisp
- cl-ptester (20160829.gitfe69fde-1)
- Test suite for Common Lisp programs
- cl-puri (1:1.5.6-1)
- Common Lisp Portable URI Library
- cl-py-configparser (20170830-1)
- implements the ConfigParser Python module functionality in Common Lisp
- cl-qmynd (20180131-1)
- MySQL Native Driver for Common Lisp
- cl-quicklisp (20150128-1)
- library manager for Common Lisp
- cl-quri (20150804-1)
- Yet another URI library for Common Lisp
- cl-regex (1-4.1)
- Common Lisp regular expression compiler/matcher
- cl-reversi (1.0.15-1)
- Reversi game for Common Lisp
- cl-rfc2388 (20130720+dfsg-1)
- implementation of RFC 2388 in Common Lisp
- cl-roscpp-msg (1.14.3+ds1-5+deb10u3)
- Header for roscpp messages, LISP
- cl-rosgraph-msgs (1.11.2-9)
- Messages relating to the Robot OS Computation Graph, LISP bindings
- (0.9.1-1)
- Common Lisp RSS processor
- cl-rt (20090812.gita6a7503-1)
- Common Lisp regression tester from MIT
- cl-s-sql (20180430-1)
- lispy syntax for SQL queries
- cl-salza2 (2.0.9-1)
- Create compressed data from Common Lisp
- cl-sensor-msgs (1.12.7-1)
- Messages relating to Robot OS sensor, LISP interface
- cl-shape-msgs (1.12.7-1)
- Messages relating to Robot OS shape, LISP interface
- cl-simple-date (20180430-1)
- Common Lisp types for dates, timestamps, and intervals
- cl-speech-dispatcher (0.9.0-5+deb10u1)
- Common Lisp interface to Speech Dispatcher
- cl-split-sequence (1:1.5.0-1)
- Common Lisp package to split a sequence of objects
- cl-sql (6.7.0-1.1)
- SQL Interface for Common Lisp
- cl-sql-aodbc (6.7.0-1.1)
- CLSQL database backend, AODBC
- cl-sql-backend
- virtueel pakket geboden door cl-sql-mysql, cl-sql-oracle, cl-sql-postgresql, cl-sql-sqlite, cl-sql-sqlite3, cl-sql-odbc, cl-sql-aodbc, cl-sql-postgresql-socket
- cl-sql-mysql (6.7.0-1.1+b1)
- CLSQL database backend, MySQL
- cl-sql-odbc (6.7.0-1.1)
- CLSQL database backend, ODBC
- cl-sql-oracle (6.7.0-1.1) [contrib]
- CLSQL database backend, Oracle
- cl-sql-postgresql (6.7.0-1.1)
- CLSQL database backend, PostgreSQL via library
- cl-sql-postgresql-socket (6.7.0-1.1)
- CLSQL database backend, PostgreSQL via sockets
- cl-sql-sqlite (6.7.0-1.1)
- CLSQL database backend, SQLite
- cl-sql-sqlite3 (6.7.0-1.1)
- CLSQL database backend, SQLite3
- cl-sql-tests (6.7.0-1.1)
- Testing suite for CLSQL
- cl-sql-uffi (6.7.0-1.1+b1)
- Common UFFI functions for CLSQL database backends
- cl-sqlite (20130615-2)
- Common Lisp interface to SQLite
- cl-std-msgs (0.5.11-5)
- LISP interface for Standard Robot OS Messages
- cl-std-srvs (1.11.2-9)
- Common service definitions, LISP bindings
- cl-stereo-msgs (1.12.7-1)
- Messages relating to Robot OS stereo, LISP interface
- cl-swank (2:2.23+dfsg-2)
- Superior Lisp Interaction Mode for Emacs (Lisp-side server)
- cl-tf (1.12.0-5)
- Robot OS tf transform library -- LISP interface
- cl-tf2-msgs (0.6.5-3)
- Robot OS tf2 transform library messages - LISP
- cl-tf2-srvs (0.6.5-3)
- Robot OS tf2 transform library services - LISP
- cl-topic-tools (1.14.3+ds1-5+deb10u3)
- LISP library for working with Robot OS topics
- cl-trajectory-msgs (1.12.7-1)
- Messages relating to Robot OS trajectory, LISP interface
- cl-trivial-backtrace (20160531-1)
- generate a Common Lisp backtrace portably
- cl-trivial-features (20161107.git29ab1da-2)
- abstraction layer for the *FEATURES* across Common Lisp implementations
- cl-trivial-garbage (20180913.gitb1f7571-1)
- portable garbage collector-related APIs for Common Lisp
- cl-trivial-gray-streams (20180909.gitebd59b1-1)
- thin compatibility layer for Common Lisp gray streams
- cl-trivial-utf-8 (20111001-1)
- small Common Lisp library for doing UTF-8-based in- and output
- cl-uffi (2.1.2-1)
- Universal Foreign Function Library for Common Lisp
- cl-uffi-tests (2.1.2-1)
- Regression tests for UFFI Common Lisp Library
- cl-umlisp (1:2007ac.2-6) [contrib]
- Common Lisp interface for the Unified Medical Language System
- cl-umlisp-orf (3.3.2-3) [contrib]
- Common Lisp Unified Medical Language System Interface, ORF
- cl-unicode (20180328-2)
- portable Unicode library for Common Lisp
- cl-usocket (0.8-1)
- Common Lisp socket library
- cl-utilities (1.2.4-3.1)
- collection of Common Lisp utilities
- cl-uuid (20130813-1)
- Common Lisp librabry for generation of UUIDs as described by RFC 4122
- cl-visualization-msgs (1.12.7-1)
- Messages relating to Robot OS visualization, LISP interface
- cl-webactions (20150826.git39b1324+dfsg-1)
- HTTP dispatch library for cl-aserve
- cl-who (20171130-1)
- Yet another Lisp markup language
- cl-xmls (3.0.2-1)
- XML Simple Parser for Common Lisp
- cl-yason (0.7.6-1)
- JSON encoder/decoder for Common Lisp
- cl-zip (20150608-1)
- Common Lisp HTTP client
- cl-zs3 (1.3.1-1)
- Amazon S3 and CloudFront from Common Lisp
- clamassassin (1.2.4-1)
- email virus filter wrapper for ClamAV
- clamav (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - command-line interface
- clamav-base (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - base package
- clamav-daemon (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - scanner daemon
- clamav-data
- virtueel pakket geboden door clamav-freshclam
- clamav-docs (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - documentation
- clamav-freshclam (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - virus database update utility
- clamav-milter (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - sendmail integration
- clamav-testfiles (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - test files
- clamav-unofficial-sigs (3.7.2-2)
- update script for 3rd-party clamav signatures
- clamdscan (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - scanner client
- clamfs (1.0.1-3+b4)
- user-space anti-virus protected file system
- clamsmtp (1.10-17)
- virus-scanning SMTP proxy
- clamtk (5.27-1)
- graphical front-end for ClamAV
- clamtk-gnome (5.27-1)
- GNOME (Nautilus) MenuProvider extension for ClamTk
- clamz (0.5-2.1)
- command-line program to download MP3's from Amazon
- clang (1:7.0-47)
- C, C++ and Objective-C compiler (LLVM based)
- clang-11 (1:11.0.1-2~deb10u1)
- C, C++ and Objective-C compiler
- clang-11-doc (1:11.0.1-2~deb10u1)
- C, C++ and Objective-C compiler - Documentation
- clang-11-examples (1:11.0.1-2~deb10u1)
- Clang examples
- clang-13 (1:13.0.1-6~deb10u4)
- C, C++ and Objective-C compiler
- clang-13-doc (1:13.0.1-6~deb10u4)
- C, C++ and Objective-C compiler - Documentation
- clang-13-examples (1:13.0.1-6~deb10u4)
- Clang examples
- clang-6.0 (1:6.0.1-10)
- C, C++ and Objective-C compiler
- clang-6.0-doc (1:6.0.1-10)
- C, C++ and Objective-C compiler - Documentation
- clang-6.0-examples (1:6.0.1-10)
- Clang examples
- clang-7 (1:7.0.1-8+deb10u2)
- C, C++ and Objective-C compiler
- clang-7-doc (1:7.0.1-8+deb10u2)
- C, C++ and Objective-C compiler - Documentation
- clang-7-examples (1:7.0.1-8+deb10u2)
- Clang examples
- clang-format (1:7.0-47)
- Tool to format C/C++/Obj-C code
- clang-format-11 (1:11.0.1-2~deb10u1)
- Tool to format C/C++/Obj-C code
- clang-format-13 (1:13.0.1-6~deb10u4)
- Tool to format C/C++/Obj-C code
- clang-format-6.0 (1:6.0.1-10)
- Tool to format C/C++/Obj-C code
- clang-format-7 (1:7.0.1-8+deb10u2)
- Tool to format C/C++/Obj-C code
- clang-tidy (1:7.0-47)
- clang-based C++ linter tool
- clang-tidy-11 (1:11.0.1-2~deb10u1)
- clang-based C++ linter tool
- clang-tidy-13 (1:13.0.1-6~deb10u4)
- clang-based C++ linter tool
- clang-tidy-6.0 (1:6.0.1-10)
- clang-based C++ linter tool
- clang-tidy-7 (1:7.0.1-8+deb10u2)
- clang-based C++ linter tool
- clang-tools (1:7.0-47)
- clang-based tools
- clang-tools-11 (1:11.0.1-2~deb10u1)
- clang-based tools for C/C++ developments
- clang-tools-13 (1:13.0.1-6~deb10u4)
- clang-based tools for C/C++ developments
- clang-tools-6.0 (1:6.0.1-10)
- clang-based tools for C/C++ developments
- clang-tools-7 (1:7.0.1-8+deb10u2)
- clang-based tools for C/C++ developments
- clangd-11 (1:11.0.1-2~deb10u1)
- Language server that provides IDE-like features to editors
- clangd-13 (1:13.0.1-6~deb10u4)
- Language server that provides IDE-like features to editors
- clanlib-dev
- virtueel pakket geboden door libclanlib-dev
- clanlib-doc (1.0~svn3827-7)
- Reference documentation and tutorials for ClanLib
- clasp (3.3.4-2)
- conflict-driven nogood learning answer set solver
- classified-ads (0.13-1)
- Program for displaying classified advertisement items
- claws-mail (3.17.3-2)
- Fast, lightweight and user-friendly GTK+2 based email client
- claws-mail-acpi-notifier (3.17.3-2)
- Laptop's Mail LED control for Claws Mail
- claws-mail-address-keeper (3.17.3-2)
- Address keeper plugin for Claws Mail
- claws-mail-archiver-plugin (3.17.3-2)
- Archiver plugin for Claws Mail
- claws-mail-attach-remover (3.17.3-2)
- Mail attachment remover for Claws Mail
- claws-mail-attach-warner (3.17.3-2)
- Missing attachment warnings for Claws Mail
- claws-mail-bogofilter (3.17.3-2)
- Bogofilter plugin for Claws Mail
- claws-mail-bsfilter-plugin (3.17.3-2)
- Spam filtering using bsfilter for Claws Mail
- claws-mail-clamd-plugin (3.17.3-2)
- ClamAV socket-based plugin for Claws Mail
- claws-mail-dillo-viewer (3.17.3-2)
- HTML viewer plugin for Claws Mail using Dillo
- claws-mail-doc (3.17.3-2)
- User documentation for Claws Mail mailer
- (3.17.3-2)
- Extra plugins collection for Claws Mail
- claws-mail-feeds-reader (3.17.3-2)
- Feeds (RSS/Atom/RDF) reader plugin for Claws Mail
- claws-mail-fetchinfo-plugin (3.17.3-2)
- Add X-FETCH headers plugin for Claws Mail
- claws-mail-gdata-plugin (3.17.3-2)
- Access to GData (Google services) for Claws Mail
- claws-mail-i18n (3.17.3-2)
- Locale data for Claws Mail (i18n support)
- claws-mail-libravatar (3.17.3-2)
- Display sender avatar from a libravatar server
- claws-mail-mailmbox-plugin (3.17.3-2)
- mbox format mailboxes handler for Claws Mail
- claws-mail-managesieve (3.17.3-2)
- manage Sieve filters with Claws Mail
- claws-mail-multi-notifier (3.17.3-2)
- Various new mail notifiers for Claws Mail
- claws-mail-newmail-plugin (3.17.3-2)
- New mail logger plugin for Claws Mail
- claws-mail-pdf-viewer (3.17.3-2)
- PDF and PostScript attachment viewer for Claws Mail
- claws-mail-perl-filter (3.17.3-2)
- Message filtering plugin using perl for Claws Mail
- claws-mail-pgpcore
- virtueel pakket geboden door claws-mail-pgpmime
- claws-mail-pgpinline (3.17.3-2)
- PGP/inline plugin for Claws Mail
- claws-mail-pgpmime (3.17.3-2)
- PGP/MIME plugin for Claws Mail
- claws-mail-plugins (3.17.3-2)
- Installs plugins for the Claws Mail mailer
- claws-mail-python-plugin (3.17.3-2)
- Python plugin and console for Claws Mail
- claws-mail-smime-plugin (3.17.3-2)
- S/MIME signature/encryption handling for Claws Mail
- claws-mail-spam-report (3.17.3-2)
- Spam reporting plugin for Claws Mail
- claws-mail-spamassassin (3.17.3-2)
- SpamAssassin plugin for Claws Mail
- claws-mail-themes (20140629+dfsg1-2)
- Pixmap icon themes for the Claws Mail mailer
- claws-mail-tnef-parser (3.17.3-2)
- TNEF attachment handler for Claws Mail
- claws-mail-tools (3.17.3-2)
- Helper and utility scripts for Claws Mail mailer
- claws-mail-vcalendar-plugin (3.17.3-2)
- vCalendar message handling plugin for Claws Mail
- clawsker (1.3.1-1)
- Configuration tweaker for Claws Mail
- clazy (1.5-1)
- Clang plugin for additional warnings
- clblas-client (2.12-1+b1)
- client program for clBLAS
- clc-intercal (1:1.0~4pre1.-94.-2-5)
- Compiler for the INTERCAL language
- cldump (0.11~dfsg-1+b2)
- Clarion database files extractor
- cleancss (4.2.1+~4.2.1-1)
- Tool for minifying CSS files
- clearcut (1.0.9-3)
- extremely efficient phylogenetic tree reconstruction
- clearlooks-phenix-theme (7.0.1-3)
- GTK3 port of Clearlooks theme
- clearsilver-dev (0.10.5-3+b1)
- headers and static library for clearsilver
- clementine (1.3.1+git609-g623a53681+dfsg-1)
- modern music player and library organizer
- cleo (0.004-2)
- Play back shell commands for live demonstrations
- clevis (11-2+deb10u2)
- automated encryption framework
- clevis-dracut (11-2+deb10u2)
- Dracut integration for clevis
- clevis-luks (11-2+deb10u2)
- LUKSv1 integration for clevis
- clevis-systemd (11-2+deb10u2)
- systemd integration for clevis
- clevis-tpm2 (11-2+deb10u2)
- automated encryption framework, TPM2 support
- clevis-udisks2 (11-2+deb10u2)
- UDisks2/Storaged integration for clevis
- clex (4.6.patch8-1)
- command line file manager which uses the ncurses library
- clfft-client (2.12.2-1+b2)
- client program for clFFT
- clfswm (20111015.git51b0a02-3)
- A(nother) Common Lisp FullScreen Window Manager
- clhep-doc (2.1.4.1+dfsg-1)
- Documentation of CLHEP
- cli-common (0.10)
- common files between all CLI packages
- cli-common-dev (0.10)
- common files for building CLI packages
- cli-runtime
- virtueel pakket geboden door mono-runtime-common
- cli-spinner (0.0~git20150423.610063b-4+b10)
- Simple command line that show a slash spinner
- cli-virtual-machine
- virtueel pakket geboden door mono-runtime-common
- clickhouse-client (18.16.1+ds-4+deb10u1) [security]
- column-oriented database system (cli client)
- clickhouse-common (18.16.1+ds-4+deb10u1) [security]
- column-oriented database system (common files)
- clickhouse-server (18.16.1+ds-4+deb10u1) [security]
- column-oriented database system (server runner)
- clickhouse-tools (18.16.1+ds-4+deb10u1) [security]
- column-oriented database system (tools)
- clif (0.93-9.1)
- C language interpreter
- cligh (0.3-3)
- Command-line interface to GitHub
- clinfo (2.2.18.04.06-1)
- Query OpenCL system information
- clinfo
- virtueel pakket geboden door clinfo
- clipf (0.5-1)
- command line minimalistic personal finance manager
- clipit (1.4.4+git20190202-1)
- lightweight GTK+ clipboard manager
- clips (6.24-3.2)
- "C" Language Integrated Production System
- clips-common (6.24-3.2)
- CLIPS common files and examples
- cliquer (1.21-2)
- clique searching program
- clirr (0.6-7)
- Checks Java libraries for compatibility with older releases
- clisp (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP, a Common Lisp implementation
- clisp-doc (1:2.49.20180218+really2.49.92-3)
- GNU CLISP, a Common Lisp implementation (documentation)
- clisp-fasl-loader-20100806
- virtueel pakket geboden door clisp
- clisp-memfile-hash-57067df684b5777cdcdac921bd70c2bfe4c58ddc
- virtueel pakket geboden door clisp
- clisp-memfile-hash-e1c94366f66166cb86f5080bcfc9575e39602aa9
- virtueel pakket geboden door clisp
- clisp-module-berkeley-db (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds an interface to Berkeley DB
- clisp-module-clx (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds X11 bindings
- clisp-module-dbus (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds an interface to D-Bus
- clisp-module-gdbm (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds an interface to GNU DBM
- clisp-module-pcre (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds libpcre support
- clisp-module-postgresql (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds a PostgreSQL interface
- clisp-module-zlib (1:2.49.20180218+really2.49.92-3+b2)
- GNU CLISP module that adds zlib support for vectors
- clitest (0.3.0-2)
- performs automatic testing in command lines
- cln-dev
- virtueel pakket geboden door libcln-dev
- cloc (1.80-1)
- statistics utility to count lines of code
- clock-setup (0.141)
- set up clock
- clog (1.3.0-1)
- colorizing log tail utility
- clojure (1.10.0-1)
- Lisp dialect for the JVM
- clonalframe (1.2-9)
- inference of bacterial microevolution using multilocus sequence data
- clonalframeml (1.11-3)
- Efficient Inference of Recombination in Whole Bacterial Genomes
- clonalorigin (1.0-3)
- inference of homologous recombination in bacteria using whole genome sequences
- clonezilla (3.27.16-3)
- bare metal backup and recovery of disk drives
- closure-compiler (20130227+dfsg1-10)
- JavaScript optimizing compiler
- closure-linter (2.3.19-1)
- Closure Linter for JavaScript
- cloud-guest-utils (0.29-1)
- cloud guest utilities
- cloud-image-utils (0.29-1)
- cloud image management utilities
- cloud-init (20.2-2~deb10u2)
- initialization system for infrastructure cloud instances
- cloud-initramfs-dyn-netconf (0.18.debian7)
- write a network interface file in /run for BOOTIF
- cloud-initramfs-growroot (0.18.debian7)
- automatically resize the root partition on first boot
- cloud-initramfs-rescuevol (0.18.debian7)
- boot off a rescue volume rather than root filesystem
- cloud-sptheme-common (1.9.4-1)
- Cloud Sphinx theme and related extensions (theme files and docs)
- cloud-utils (0.29-1)
- metapackage for installation of upstream cloud-utils source
- cloud-utils-euca (0.29-1)
- cloud image utilities wrapping euca2ools
- cloudcompare (2.10.1-2)
- 3D point cloud and mesh processing software
- cloudkitty-api (8.0.0-4+deb10u1)
- OpenStack Rating as a Service - API server
- cloudkitty-common (8.0.0-4+deb10u1)
- OpenStack Rating as a Service - common files
- cloudkitty-doc (8.0.0-4+deb10u1)
- OpenStack Rating as a Service - Documentation
- cloudkitty-processor (8.0.0-4+deb10u1)
- OpenStack Rating as a Service - processor
- cloudprint (0.14-12)
- Google Cloud Print proxy
- cloudprint-service (0.14-12)
- provide a service for sharing printers on Google Cloud Print
- cloudprintd
- virtueel pakket geboden door cloudprint-service
- cloudsql-proxy (1.13-1+b11)
- connect securely to a 2nd generation Cloud SQL DB
- cltl (1.0.30) [contrib]
- Common Lisp the Language, second edition, book (Pre-ANSI)
- clustalo (1.2.4-2)
- General purpose multiple sequence alignment program for proteins
- clustalw (2.1+lgpl-6)
- global multiple nucleotide or peptide sequence alignment
- clustalx (2.1+lgpl-8)
- Multiple-alignment van nucleotide- en eiwit-sequenties (grafische interface)
- cluster-glue (1.0.12-12)
- Reusable cluster components for Linux HA
- cluster-glue-dev (1.0.12-12)
- Development files for the cluster glue components
- cluster-messaging-framework
- virtueel pakket geboden door heartbeat
- cluster3 (1.57-1) [non-free]
- Reimplementation of the Eisen-clustering software
- clustershell (1.8.1-1)
- Distributed shell that provides an efficient Python interface
- (4.13.2-2)
- administer multiple ssh or rsh shells simultaneously
- clutter-1.0-tests (1.26.2+dfsg-10)
- Open GL based interactive canvas library (installed test programs)
- clzip (1.11-3)
- C, lossless data compressor based on the LZMA algorithm
- cm-super (0.3.4-14)
- TeX font package (full version) with CM (EC) in Type1 in T1, T2*, TS1, X2 enc
- cm-super-minimal (0.3.4-14)
- TeX font package (minimal version) with CM/EC in Type1 in T1, T2*, TS1, X2 enc
- cm-super-x11 (0.3.4-14)
- Make the cm-super fonts available to X11
- cmake (3.13.4-1)
- cross-platform, open-source make system
- cmake-curses-gui (3.13.4-1)
- curses based user interface for CMake (ccmake)
- cmake-data (3.13.4-1)
- CMake data files (modules, templates and documentation)
- cmake-doc (3.13.4-1)
- extended documentation in various formats for CMake
- (1.3+17.04.20170310-5)
- Extra CMake utility modules
- cmake-fedora (2.7.2-1)
- Set of scripts and cmake modules that simplify the release process
- cmake-gui
- virtueel pakket geboden door cmake-qt-gui
- cmake-qt-gui (3.13.4-1)
- Qt based user interface for CMake (cmake-gui)
- cmake-vala (1-1)
- CMake utility modules needed for building Vala Panel (and related projects)
- cmap-adobe-cns1
- virtueel pakket geboden door poppler-data
- cmap-adobe-gb1
- virtueel pakket geboden door poppler-data
- cmap-adobe-japan1
- virtueel pakket geboden door poppler-data
- cmap-adobe-japan2
- virtueel pakket geboden door poppler-data
- cmap-adobe-korea1
- virtueel pakket geboden door poppler-data
- cmark (0.28.3-1)
- CommonMark parsing and rendering program
- cmark-gfm (0.28.3.gfm.19-3)
- CommonMark parsing and rendering program, GitHub flavor
- cmatrix (1.2a+git20181122-1)
- simulates the display from "The Matrix"
- cmatrix-xfont (1.2a+git20181122-1)
- X11 font for cmatrix
- cmdreader (1.5-2)
- Library that parses command line arguments
- cmdtest (0.32-3)
- blackbox testing of Unix command line programs
- cme (1.029-1)
- Check or edit configuration data with Config::Model
- cmigemo (1:1.2+gh0.20150404-7)
- Japanese incremental search tool written in C - binary
- cmigemo-common (1:1.2+gh0.20150404-7)
- Japanese incremental search tool written in C - common files
- cminpack-doc (1.3.6-4)
- Nonlinear equations and nonlinear least squares problems (doc)
- cmis-client (0.5.2-1)
- client for the CMIS protocol
- cmocka-doc (1.1.3-1)
- documentation for the CMocka unit testing framework
- cmor-tables (3.3-1)
- MIP tables for the Climate Model Output Rewriter library
- cmospwd (5.0+dfsg-2+b1)
- decrypt BIOS passwords from CMOS
- cmst (2019.01.13-1)
- QT GUI for Connman with system tray icon
- cmt (1.16-2)
- LADSPA plugin collection
- cmtk (3.3.1p1+dfsg-1)
- Computational Morphometry Toolkit
- cmucl (21d-1)
- CMU Common Lisp compiler and development system
- cmucl-clm (21d-1)
- Motif interface for CMUCL
- cmucl-docs (21d-1)
- CMUCL documentation
- cmucl-source (21d-1)
- CMUCL lisp sources
- cmus (2.7.1+git20160225-2+b2)
- lightweight ncurses audio player
- cmus-plugin-ffmpeg (2.7.1+git20160225-2+b2)
- lightweight ncurses audio player (FFmpeg plugin)
- cmuscheme48-el (1.9.2-1)
- Emacs mode specialized for Scheme48
- cnee (3.19-3)
- X event recorder/replayer - command-line flavor
- cntlm (0.92.3-1+b1)
- Fast NTLM authentication proxy with tunneling
- cnvkit (0.9.5-3)
- Copy number variant detection from targeted DNA sequencing
- cobertura (2.1.1-2)
- java tool that calculates the percentage of code accessed by tests
- cobra (0.0.3-1+b10)
- Tool to generate Cobra-based modern Go CLI application
- coccinella (0.96.20-9)
- Communication tool (XMPP/Jabber) with a built-in whiteboard
- coccinelle (1.0.4.deb-4)
- semantic patching tool for C
- coccinelle-doc (1.0.4.deb-4)
- documentation for coccinelle
- cockpit (188-1)
- Web Console for Linux servers
- cockpit-389-ds (1.4.0.21-1+deb10u1) [security]
- Cockpit user interface for 389 Directory Server
- cockpit-bridge (188-1)
- Cockpit bridge server-side component
- cockpit-dashboard (188-1)
- Cockpit remote servers and dashboard
- cockpit-doc (188-1)
- Cockpit deployment and developer guide
- cockpit-docker (188-1)
- Cockpit user interface for Docker containers
- cockpit-machines (188-1)
- Cockpit user interface for virtual machines
- cockpit-networkmanager (188-1)
- Cockpit user interface for networking
- cockpit-packagekit (188-1)
- Cockpit user interface for packages
- cockpit-pcp (188-1)
- Cockpit PCP integration
- cockpit-realmd
- virtueel pakket geboden door cockpit-system
- cockpit-shell
- virtueel pakket geboden door cockpit-system
- cockpit-ssh
- virtueel pakket geboden door cockpit-bridge
- cockpit-storaged (188-1)
- Cockpit user interface for storage
- cockpit-system (188-1)
- Cockpit admin interface for a system
- cockpit-systemd
- virtueel pakket geboden door cockpit-system
- cockpit-test-assets
- virtueel pakket geboden door cockpit-tests
- cockpit-tests (188-1)
- Tests for Cockpit
- cockpit-tuned
- virtueel pakket geboden door cockpit-system
- cockpit-users
- virtueel pakket geboden door cockpit-system
- cockpit-ws (188-1)
- Cockpit Web Service
- coco-cpp (20120102-1+b2)
- Coco/R Compiler Generator (C++ Version)
- coco-cs (20110419-5.1)
- Coco/R Compiler Generator (C-Sharp Version)
- coco-doc (20060919-2)
- Documentation for the Coco/R Compiler Generator
- coco-java (20110419-3.2)
- Coco/R Compiler Generator (Java Version)
- cod-tools (2.3+dfsg-3)
- tools for manipulating CIF format files
- coda (2.20-3)
- Common Data Access framework for Earth science
- code-aster-gui (1.13.1-2.1)
- Graphical user interface for Code_Aster - client
- code-aster-run (1.13.1-2.1)
- Graphical user interface for Code_Aster - server
- code-saturne (5.3.2+repack-1)
- General purpose Computational Fluid Dynamics (CFD) software
- code-saturne-bin (5.3.2+repack-1)
- General purpose Computational Fluid Dynamics (CFD) software - binaries
- code-saturne-data (5.3.2+repack-1)
- General purpose Computational Fluid Dynamics (CFD) software - data
- code-saturne-doc (5.3.2+repack-1)
- General purpose Computational Fluid Dynamics (CFD) software - Documentation
- code-saturne-include (5.3.2+repack-1)
- General purpose Computational Fluid Dynamics (CFD) software - includes
- code2html (0.9.1-4.1)
- Syntax highlighter
- codeblocks (16.01+dfsg-2.1)
- Code::Blocks integrated development environment (IDE)
- codeblocks-common (16.01+dfsg-2.1)
- common files for Code::Blocks IDE
- codeblocks-contrib (16.01+dfsg-2.1)
- contrib plugins for Code::Blocks IDE
- codeblocks-dbg (16.01+dfsg-2.1)
- Code::Blocks debugging libraries
- codeblocks-dev (16.01+dfsg-2.1)
- Code::Blocks development files (SDK)
- codec2 (0.8.1-2)
- command line tools for handling Codec2 data
- codec2-examples (0.8.1-2)
- Codec2 sample data and examples
- codecgraph (20120114-3)
- Generates graphviz graphs from HDA-Intel codec information
- codecrypt (1.8-1)
- post-quantum encryption and signing tool
- codegroup (19981025-8)
- Convert any file, including binary, into 5 letter code
- codelite (12.0+dfsg-1)
- Powerful and lightweight IDE
- codelite-plugins (12.0+dfsg-1)
- Powerful and lightweight IDE - plugins
- codequery (0.21.1+dfsg1-1)
- code-understanding, code-browsing or code-search tool
- coderay (1.1.2-2)
- Helper programs for CodeRay Ruby lib for syntax highlighting
- codesearch (0.0~hg20120502-3+b11)
- regular expression search over large bodies of source code
- codespell (1.14.0-1)
- Find and fix common misspellings in text files
- codeville (0.8.0-2.1)
- a distributed version control system
- codfis (0.4.7-2+b2)
- tool to generate Italian fiscal codes (codice fiscale)
- codonw (1.4.4-4)
- Correspondence Analysis of Codon Usage
- coffeescript (1.12.8~dfsg-4)
- interpreter and compiler for the CoffeeScript language
- coffeescript-doc (1.12.8~dfsg-4)
- documentation for the CoffeeScript language
- cohomcalg (0.32+ds-2)
- sheaf cohomology of line bundles on toric varieties
- cohomcalg-common (0.32+ds-2)
- sheaf cohomology of line bundles on toric varieties (common files)
- coinor-cbc (2.9.9+repack1-1)
- Coin-or branch-and-cut mixed integer programming solver
- coinor-clp (1.16.11+repack1-1)
- Coin-or linear programming solver
- coinor-csdp (6.1.1-1+b2)
- A software package for semidefinite programming
- coinor-csdp-dbg (6.1.1-1+b2)
- A software package for semidefinite programming
- coinor-csdp-doc (6.1.1-1)
- A software package for semidefinite programming
- coinor-libcbc-dev (2.9.9+repack1-1)
- Coin-or branch-and-cut mixed integer programming solver (developer files)
- coinor-libcbc-doc (2.9.9+repack1-1)
- Coin-or branch-and-cut mixed integer programming solver (documentation)
- coinor-libcbc3 (2.9.9+repack1-1)
- Coin-or branch-and-cut mixed integer programming solver (shared libraries)
- coinor-libcgl-dev (0.59.10+repack1-1)
- COIN-OR Cut Generation Library (developer files)
- coinor-libcgl-doc (0.59.10+repack1-1)
- COIN-OR Cut Generation Library (documentation)
- coinor-libcgl1 (0.59.10+repack1-1)
- COIN-OR Cut Generation Library
- coinor-libclp-dev (1.16.11+repack1-1)
- Coin-or linear programming solver (developer files)
- coinor-libclp-doc (1.16.11+repack1-1)
- Coin-or linear programming solver (documentation)
- coinor-libclp1 (1.16.11+repack1-1)
- Coin-or linear programming solver (shared libraries)
- coinor-libcoinmp-dev (1.8.3-2+b11)
- Simple C API for COIN-OR Solvers Clp and Cbc -- development
- coinor-libcoinmp1v5 (1.8.3-2+b11)
- Simple C API for COIN-OR Solvers Clp and Cbc -- library
- coinor-libcoinutils-dev (2.10.14+repack1-1)
- Coin-or collection of utility classes (developer files)
- coinor-libcoinutils-doc (2.10.14+repack1-1)
- Coin-or collection of utility classes (documentation)
- coinor-libcoinutils3v5 (2.10.14+repack1-1)
- Coin-or collection of utility classes (binaries and libraries)
- coinor-libdylp-dev (1.6.0-1.1)
- Linear programming solver using of the dynamic simplex algorithm
- coinor-libdylp-doc (1.6.0-1.1)
- Linear programming solver using of the dynamic simplex algorithm
- coinor-libdylp0 (1.6.0-1.1)
- Linear programming solver using the dynamic simplex algorithm
- coinor-libdylp0-dbg (1.6.0-1.1)
- Linear programming solver using of the dynamic simplex algorithm
- coinor-libipopt-dev (3.11.9-2.2)
- Interior-Point Optimizer - header files
- coinor-libipopt-doc (3.11.9-2.2)
- Interior-Point Optimizer - documentation
- coinor-libipopt1v5 (3.11.9-2.2)
- Interior-Point Optimizer, for large-scale nonlinear optimization
- coinor-libipopt1v5-dbg (3.11.9-2.2)
- Interior-Point Optimizer - debugging symbols
- coinor-libosi-dev (0.107.9+repack1-1)
- COIN-OR Open Solver Interface (developer files)
- coinor-libosi-doc (0.107.9+repack1-1)
- COIN-OR Open Solver Interface (documentation)
- coinor-libosi1v5 (0.107.9+repack1-1)
- COIN-OR Open Solver Interface
- coinor-libsymphony-dev (5.6.16+repack1-1.1)
- COIN-OR solver for mixed-integer linear programs (developer files)
- coinor-libsymphony-doc (5.6.16+repack1-1.1)
- COIN-OR solver for mixed-integer linear programs (documentation)
- coinor-libsymphony3 (5.6.16+repack1-1.1)
- COIN-OR solver for mixed-integer linear programs (shared libraries)
- coinor-libvol-dev (1.1.7-1)
- Coin-or linear programming solver
- coinor-libvol-doc (1.1.7-1)
- Coin-or linear programming solver
- coinor-libvol0 (1.1.7-1)
- Coin-or linear programming solver
- coinor-libvol0-dbg (1.1.7-1)
- Coin-or linear programming solver
- coinor-symphony (5.6.16+repack1-1.1)
- COIN-OR solver for mixed-integer linear programs
- collada-urdf-tools (1.12.6-5)
- ROS collada_urdf tools
- collatinus (11-1)
- lemmatisation of latin text
- collectd (5.8.1-1.3)
- statistics collection and monitoring daemon
- collectd-core (5.8.1-1.3)
- statistics collection and monitoring daemon (core system)
- collectd-dbg (5.8.1-1.3)
- statistics collection and monitoring daemon (debugging symbols)
- collectd-dev (5.8.1-1.3)
- statistics collection and monitoring daemon (development files)
- collectd-utils (5.8.1-1.3)
- statistics collection and monitoring daemon (utilities)
- collectl (4.3.0-1)
- Utility to collect Linux performance data
- colmap (3.5-1+b1)
- Structure-from-Motion and Multi-View Stereo
- colobot (0.1.11.1-6)
- educational programming strategy game
- colobot-common (0.1.11.1-6)
- educational programming strategy game - data
- colobot-common-sounds (0.1.11.1-6)
- educational programming strategy game - sounds and music
- colobot-common-textures (0.1.11.1-6)
- educational programming strategy game - textures
- colobot-dev-doc (0.1.11.1-6)
- educational programming strategy game - source doc
- colorcode (0.8.5-2)
- advanced clone of the MasterMind code-breaking game
- colord (1.4.3-4)
- system service to manage device colour profiles -- system daemon
- colord-data (1.4.3-4)
- system service to manage device colour profiles -- data files
- colord-gtk-utils (0.1.26-2)
- miscellaneous GUI utilities interacting with colord
- colord-sensor-argyll (1.4.3-4)
- system service to manage device colour profiles -- argyll sensor plugin
- colordiff (1.0.18-1)
- tool to colorize 'diff' output
- colorhug-client (0.2.8-3)
- Tools for the Hughski Colorimeter
- colorize (0.64-1)
- Colorizes text on terminal with ANSI escape sequences
- colorized-logs (2.4-1)
- tools for consuming logs with ANSI color
- colormake (0.9.20140504-4)
- simple wrapper around make to colorize output
- colortail (0.3.3-1+b2)
- log colorizer that makes log checking easier
- colortest (20110624-6)
- utilities to test color capabilities of terminal
- colortest-python (2.2-1)
- utility to test color capabilities of terminal
- colossal-cave-adventure (1.4-1)
- Colossal Cave Adventure game
- colplot (5.2.0-1)
- Utility to plot performance data from collectl
- comerr-dev (2.1-1.44.5-1+deb10u3)
- common error description library - headers and static libraries
- comet-ms (2018012-1)
- Tandem mass spectrometry (MS/MS) search engine
- comgt (0.32-3)
- Option GlobeTrotter and Vodafone datacard control tool
- comitup (1.3.1-1)
- bootstrap Wifi using Wifi
- comixcursors
- virtueel pakket geboden door comixcursors-righthanded
- comixcursors-lefthanded (0.9.1-1)
- X11 mouse pointer themes with a comic art feeling (LH, translucent)
- comixcursors-lefthanded-opaque (0.9.1-1)
- X11 mouse pointer themes with a comic art feeling (LH, opaque)
- comixcursors-righthanded (0.9.1-1)
- X11 mouse pointer themes with a comic art feeling (RH, translucent)
- comixcursors-righthanded-opaque (0.9.1-1)
- X11 mouse pointer themes with a comic art feeling (RH, opaque)
- command-not-found (18.04.5-1)
- Suggest installation of packages in interactive bash sessions
- commit-patch (2.6-2)
- utility to commit fine grained patches to source code control repositories
- comparepdf (1.0.1-1.1)
- command line tool for comparing two PDF files
- compartment (1.1.0-5)
- Confine services in a limited environment
- compass-blend-modes-plugin (0.0.3+20150331~dfsg-2)
- use standard color blending functions in Sass
- compass-blueprint-plugin (1.0.0-3)
- Compass extension for blueprint CSS framework
- compass-bootstrap-sass-plugin (3.3.5.1-5.1)
- Compass plugin implementing Twitter's Bootstrap
- compass-breakpoint-plugin (2.7.1-1)
- really simple media queries with Sass
- compass-color-schemer-plugin (0.2.8+20140309-3)
- create color schemes with ease
- compass-fancy-buttons-plugin (1.1.1~20120313-6)
- Compass plugin implementing fancy CSS3 buttons
- compass-h5bp-plugin (1.0.0-4)
- Compass extension for HTML5 Boilerplate
- compass-layoutgala-plugin (0.2.1-1)
- Compass plugin implementing the Layout-gala CSS styles
- compass-normalize-plugin (7.0.0-1)
- Sass version of Normalize.css
- compass-sassy-maps-plugin (0.4.0-5)
- map helper functions for Sass maps
- compass-singularitygs-plugin (1.8.0-1)
- advanced responsive grid system for Sass and Compass
- compass-slickmap-plugin (0.5.1.1-5)
- Compass plugin implementing SlickMapCSS sitemap
- compass-susy-plugin (2.2.12-1)
- Sass power-tools for web layout
- compass-toolkit-plugin (2.10.2-1)
- toolkit of awesome Sass stuff
- compass-yui-plugin (0~20100724-4)
- Compass plugin implementing the YUI CSS Foundation
- compface (1:1.5.2-5+b2)
- Comprimeer/decomprimeer afbeeldingen voor mailkoptekst
- compiz (2:0.8.16.1-10)
- OpenGL window and compositing manager
- compiz-bcop (2:0.8.16-2)
- Compiz Reloaded option code generator
- compiz-core (2:0.8.16.1-10)
- OpenGL window and compositing manager
- compiz-core-abiversion-20180616
- virtueel pakket geboden door compiz-core
- compiz-dev (2:0.8.16.1-10)
- OpenGL window and compositing manager - development files
- compiz-gnome (2:0.8.16.1-10)
- OpenGL window and compositing manager - Gtk window decorator
- compiz-mate (2:0.8.16.1-10)
- OpenGL window and compositing manager - MATE window decorator
- compiz-plugins (2:0.8.16.1-10)
- OpenGL window and compositing manager - plugins
- compiz-plugins-default (2:0.8.16.1-10)
- transitional dummy package
- compiz-plugins-experimental (2:0.8.16-2)
- Compiz Reloaded plugins - "unsupported" collection
- (2:0.8.16-2)
- Compiz Reloaded plugins - extra collection
- compiz-plugins-main (2:0.8.16-4)
- Compiz Reloaded plugins - main collection
- compizconfig-settings-manager (2:0.8.16-2)
- Compizconfig Settings Manager
- complexity (1.10+dfsg-3)
- tool for analyzing the complexity of C program functions
- complexity-doc (1.10+dfsg-3)
- tool for analyzing the complexity of C program (documentation)
- composer (1.8.4-1+deb10u3) [security]
- dependency manager for PHP
- compress-modules
- virtueel pakket geboden door compress-modules-4.19.0-20-amd64-di, compress-modules-4.19.0-20-686-di, compress-modules-4.19.0-21-686-di, compress-modules-4.19.0-20-686-pae-di, compress-modules-4.19.0-20-arm64-di, compress-modules-4.19.0-21-armmp-di, compress-modules-4.19.0-21-686-pae-di, compress-modules-4.19.0-21-amd64-di, compress-modules-4.19.0-20-armmp-di, compress-modules-4.19.0-21-arm64-di
- compress-modules-4.19.0-20-686-di (4.19.235-1)
- lzo modules
- compress-modules-4.19.0-20-686-pae-di (4.19.235-1)
- lzo modules
- compress-modules-4.19.0-20-amd64-di (4.19.235-1)
- lzo modules
- compress-modules-4.19.0-20-arm64-di (4.19.235-1)
- lzo modules
- compress-modules-4.19.0-20-armmp-di (4.19.235-1)
- lzo modules
- compress-modules-4.19.0-21-686-di (4.19.249-2)
- lzo modules
- compress-modules-4.19.0-21-686-pae-di (4.19.249-2)
- lzo modules
- compress-modules-4.19.0-21-amd64-di (4.19.249-2)
- lzo modules
- compress-modules-4.19.0-21-arm64-di (4.19.249-2)
- lzo modules
- compress-modules-4.19.0-21-armmp-di (4.19.249-2)
- lzo modules
- comprez (2.7.1-2)
- frontend to many compression programs
- comptext (1.0.1-3)
- Gui based tool to compare two text streams
- compton (0.1~beta2+20150922-1)
- compositor for X11, based on xcompmgr
- compton-conf (0.14.1-1)
- Compton Configurator for Qt
- compton-conf-l10n (0.14.1-1)
- Language package for compton-conf
- comptty (1.0.1-3)
- GUI based tool to compare two RTTY streams
- concalc (0.9.2-2+b2)
- console calculator
- concavity (0.1+dfsg.1-4)
- predictor of protein ligand binding sites from structure and conservation
- conch
- virtueel pakket geboden door python-twisted-conch
- concordance (1.3-1)
- configuration tool for Harmony remotes
- concordance-common (1.3-1)
- Harmony remote configuration tool - common files
- confclerk (0.6.4-1)
- offline conference schedule application
- confget (2.2.0-4+deb10u1)
- read variables from INI-style configuration files
- config-package-dev (5.5)
- Debhelper (and CDBS) modules for building configuration packages
- configure-debian (1.0.3)
- central configuration program for packages using debconf
- configured-network
- virtueel pakket geboden door netcfg-static, netcfg, ppp-udeb
- congress-common (8.0.0+dfsg1-1)
- OpenStack Policy as a Service - common files
- congress-server (8.0.0+dfsg1-1)
- OpenStack Policy as a Service - API server
- congruity (20-1)
- graphical utility to configure Logitech Harmony remotes
- conjugar (0.8.3-5)
- text only program to conjugate Brazilian verbs
- conky (1.10.8-1)
- highly configurable system monitor (transitional package)
- conky-all (1.10.8-1+b1)
- highly configurable system monitor (all features enabled)
- conky-all-dbg (1.10.8-1+b1)
- highly configurable system monitor (all features enabled - debug)
- conky-cli (1.10.8-1+b1)
- highly configurable system monitor (basic version)
- conky-cli-dbg (1.10.8-1+b1)
- highly configurable system monitor (basic version - debug)
- conky-std (1.10.8-1+b1)
- highly configurable system monitor (default version)
- conky-std-dbg (1.10.8-1+b1)
- highly configurable system monitor (default version - debug)
- conman (0.2.7-1+b1)
- serial console management program
- conmux (0.12.0-1)
- console multiplexor
- connect-proxy (1.105-1)
- Establish TCP connection using SOCKS4/5 or HTTP tunnel
- connectagram (1.2.9-2)
- word unscrambling game
- connectagram-data (1.2.9-2)
- word unscrambling game - data files
- connectome-workbench (1.3.2-1)
- brain visualization, analysis and discovery tool
- connectome-workbench-dbg (1.3.2-1)
- brain visualization, analysis and discovery tool -- debug symbols
- connectomeviewer (2.1.0+dfsg-1)
- Interactive Analysis and Visualization for MR Connectomics
- connman (1.36-2.1~deb10u5) [security]
- Intel Connection Manager daemon
- connman-dev (1.36-2.1~deb10u5) [security]
- Development files for connman
- connman-doc (1.36-2.1~deb10u5) [security]
- ConnMan documentation
- connman-gtk (1.1.1+git20180626.b72c6ab-1)
- fully-featured GUI for ConnMan with systray support
- connman-ui (0~20150623-1)
- full-featured GTK-based tray icon UI for ConnMan
- connman-vpn (1.36-2.1~deb10u5) [security]
- Intel Connection Manager daemon - VPN daemon
- conntrack (1:1.4.5-2)
- Program to modify the conntrack tables
- conntrackd (1:1.4.5-2)
- Connection tracking daemon
- conservation-code (20110309.0-7)
- protein sequence conservation scoring tool
- consolation (0.0.6-2)
- linux console pointer support for copy-paste
- console-braille (1.7)
- Fonts and keymaps for reading/typing unicode braille
- console-common (0.7.90+deb10u1)
- basic infrastructure for text console configuration
- console-cyrillic (0.9-17)
- Cyrillic fonts and keyboard layouts for Linux console
- console-data (2:1.12-6)
- keymaps, fonts, charset maps, fallback tables for 'kbd'.
- console-keymaps
- virtueel pakket geboden door console-keymaps-at, console-keymaps-acorn, console-keymaps-dec, console-keymaps-sun, console-keymaps-atari, console-keymaps-mac, console-keymaps-usb, console-keymaps-amiga, console-data
- console-keymaps-acorn (2:1.12-6)
- keymaps for Acorn RISC-PC keyboards
- console-keymaps-amiga (2:1.12-6)
- keymaps for Amiga keyboards
- console-keymaps-at (2:1.12-6)
- keymaps for PC-style (PS/2 and AT) keyboards
- console-keymaps-atari (2:1.12-6)
- keymaps for Atari keyboards
- console-keymaps-dec (2:1.12-6)
- keymaps for Dec keyboards
- console-keymaps-mac (2:1.12-6)
- keymaps for Apple keyboards
- console-keymaps-sun (2:1.12-6)
- keymaps for Sun keyboards
- console-keymaps-usb (2:1.12-6)
- keymaps for USB keyboards
- console-log (1.2-2)
- Puts logfile pagers on virtual consoles
- console-setup (1.193~deb10u1)
- console font and keymap setup program
- console-setup-amiga-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for Amiga keyboards
- console-setup-ataritt-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for Atari TT keyboards
- console-setup-ekmap
- virtueel pakket geboden door console-setup-sun5-ekmap, console-setup-macintoshold-ekmap, console-setup-amiga-ekmap, console-setup-sun4-ekmap, console-setup-pc-ekbd, console-setup-ataritt-ekmap, console-setup-pc-ekmap
- console-setup-freebsd (1.193~deb10u1)
- FreeBSD specific part of console-setup
- console-setup-freebsd-charmaps-udeb (1.193~deb10u1)
- FreeBSD 8-bit charmaps for console-setup-udeb
- console-setup-freebsd-fonts-udeb (1.193~deb10u1)
- FreeBSD console fonts for Debian Installer
- console-setup-linux (1.193~deb10u1)
- Linux specific part of console-setup
- console-setup-linux-charmaps-udeb (1.193~deb10u1)
- Linux 8-bit charmaps for console-setup-udeb
- console-setup-linux-fonts-udeb (1.193~deb10u1)
- Linux console fonts for Debian Installer
- console-setup-macintoshold-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for old-style Macintosh keyboards
- console-setup-mini (1.193~deb10u1)
- console font and keymap setup program - reduced version for Linux
- console-setup-pc-ekbd (1.193~deb10u1)
- encoded FreeBSD keyboard layouts for PC keyboards
- console-setup-pc-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for PC keyboards
- console-setup-sun4-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for Sun4 keyboards
- console-setup-sun5-ekmap (1.193~deb10u1)
- encoded Linux keyboard layouts for Sun5 keyboards
- console-setup-udeb (1.193~deb10u1)
- Configure the keyboard
- console-terminus
- virtueel pakket geboden door console-setup-linux
- console-tools-data
- virtueel pakket geboden door console-data
- console-utilities
- virtueel pakket geboden door kbd
- conspy (1.14-1+b2)
- Remote control of Linux virtual consoles
- consul (1.0.7~dfsg1-5+b21)
- tool for service discovery, monitoring and configuration
- consulfs (0.2.1-1+b11)
- distributed FUSE filesystem backed by a Consul Key-Value store
- container-tools
- virtueel pakket geboden door open-infrastructure-container-tools
- content
- virtueel pakket geboden door php-horde-content
- context (2018.04.04.20181118-1)
- powerful TeX format
- context-modules (20181123-1)
- additional ConTeXt modules
- context-nonfree (2007.03.22-2) [non-free]
- Non-free items from the ConTeXt distribution
- contextfree (3.1+dfsg1-2)
- image generator based on context-free grammars
- continuity (0.0~git20180216.d8fb858-1+b21)
- Transport-agnostic, filesystem metadata manifest system
- conv-tools (20160905-2)
- convert 8 bit character encoding in file names and text content to UTF-8
- converseen (0.9.7.2-1)
- batch image converter and resizer
- convertall (0.7.3-1.1)
- very flexible unit converter
- convlit (1.8-1+b3)
- convert Microsoft Reader .LIT files to HTML
- convmv (2.05-1)
- filename encoding conversion tool
- cookiecutter (1.6.0-3)
- create projects from project templates
- cookietool (2.5-6+b1)
- suite of programs to help maintain a fortune database
- coolkey (1.1.0-13+b1)
- Smart Card PKCS #11 cryptographic module
- coolmail (1.3-12+b1)
- Mail notifier with 3d graphics
- coop-computing-tools (7.0.9-2)
- cooperative computing tools
- coop-computing-tools-dev (7.0.9-2)
- libraries and header files for coop-computing-tools
- coop-computing-tools-doc (7.0.9-2)
- documentation for coop-computing-tools
- copyfs (1.0.1-5+b1)
- Versioning filesystem for FUSE
- copyq (3.7.3-1)
- Advanced clipboard manager with editing and scripting features
- copyq-doc (3.7.3-1)
- Documentation and examples for CopyQ - HTML format
- copyq-plugins (3.7.3-1)
- Plugins for CopyQ
- copyright-update (2016.1018-2)
- update copyright information in files
- coq (8.9.0-1)
- proof assistant for higher-order logic (toplevel and compiler)
- coq-8.9.0+4.05.0
- virtueel pakket geboden door coq
- coq-theories (8.9.0-1)
- proof assistant for higher-order logic (theories)
- core-dump-handler
- virtueel pakket geboden door minicoredumper, corekeeper, systemd-coredump
- corekeeper (1.7)
- enable core files and report crashes to the sysadmin
- coreutils (8.30-3)
- GNU core utilities
- corkscrew (2.0-11)
- tunnel TCP connections through HTTP proxies
- corosync (3.0.1-2+deb10u1)
- cluster engine daemon and utilities
- corosync-doc (3.0.1-2+deb10u1)
- cluster engine HTML documentation
- corosync-notifyd (3.0.1-2+deb10u1)
- cluster engine notification daemon
- corosync-qdevice (3.0.0-4+deb10u1)
- cluster engine quorum device daemon
- corosync-qnetd (3.0.0-4+deb10u1)
- cluster engine quorum device network daemon
- corsix-th (0.62-2) [contrib]
- Open source clone of Theme Hospital
- corsix-th-data (0.62-2) [contrib]
- Open source clone of Theme Hospital (data package)
- cortado (0.6.0-4)
- streaming applet for Ogg formats
- coturn (4.5.1.1-1.1+deb10u2)
- TURN and STUN server for VoIP
- courier-authdaemon (0.69.0-2)
- Courier authentication daemon
- courier-authlib (0.69.0-2)
- Courier authentication library
- courier-authlib-dev (0.69.0-2)
- Development libraries for the Courier authentication library
- courier-authlib-ldap (0.69.0-2)
- LDAP support for the Courier authentication library
- courier-authlib-mysql (0.69.0-2)
- MySQL support for the Courier authentication library
- courier-authlib-pipe (0.69.0-2)
- External authentication support for the Courier authentication library
- courier-authlib-postgresql (0.69.0-2)
- PostgreSQL support for the Courier authentication library
- courier-authlib-sqlite (0.69.0-2)
- SQLite 3 support for the Courier authentication library
- courier-authlib-userdb (0.69.0-2)
- userdb support for the Courier authentication library
- courier-base (1.0.6-1)
- Courier mail server - base system
- courier-doc (1.0.6-1)
- Courier mail server - additional documentation
- courier-faxmail (1.0.6-1)
- Courier mail server - Fax<->mail gateway
- courier-filter-perl (0.200+ds-4)
- purely Perl-based mail filter framework for the Courier MTA
- courier-imap (5.0.6+1.0.6-1)
- Courier mail server - IMAP server
- courier-ldap (1.0.6-1)
- Courier mail server - LDAP support
- courier-mlm (1.0.6-1)
- Courier mail server - mailing list manager
- courier-mta (1.0.6-1)
- Courier mail server - ESMTP daemon
- courier-pcp (1.0.6-1)
- Courier mail server - PCP server
- courier-pop (1.0.6-1)
- Courier mail server - POP3 server
- courier-webadmin (1.0.6-1)
- Courier mail server - web-based administration frontend
- couriergraph (0.25-4.4)
- Mail statistics RRDtool frontend for Courier-{POP,IMAP}
- couriergrey (0.3.2-5+b4)
- Mail filter interface of Courier-MTA to support greylisting
- covered (0.7.10-3+b1)
- Verilog code coverage analysis tool
- covered-doc (0.7.10-3)
- Verilog code coverage analysis tool - documentation
- cowbell (0.2.7.1-7+b1 [amd64, armhf, i386], 0.2.7.1-7 [arm64])
- An easy-to-use tag editor for your music files
- cowbuilder (0.88)
- pbuilder running on cowdancer
- cowdancer (0.88)
- Copy-on-write directory tree utility
- cowpatty (4.8-2)
- Brute-force WPA dictionary attack
- cowsay (3.03+dfsg2-6)
- configurable talking cow
- cowsay-off (3.03+dfsg2-6)
- configurable talking cow (offensive cows)
- coz-profiler (0.1.0-2)
- Finding Code that Counts with Causal Profiling
- cp2k (6.1-2)
- Ab Initio Molecular Dynamics
- cp2k-data (6.1-2)
- Ab Initio Molecular Dynamics (data files)
- cpan-listchanges (0.08-1)
- package change history notification tool
- cpaninject
- virtueel pakket geboden door libcpan-inject-perl
- cpanminus (1.7044-1)
- script to get, unpack, build and install modules from CPAN
- cpanoutdated (0.32-1)
- script to detect outdated CPAN modules in your environment
- cpanplus
- virtueel pakket geboden door libcpanplus-perl
- cpants-lint (0.05-5)
- commandline frontend to Module::CPANTS::Analyse - tool
- cpio (2.12+dfsg-9+deb10u1) [security]
- GNU cpio -- een programma om archieven van bestanden te beheren
- cpio-doc (2.12-0.1) [non-free]
- Documentation for the cpio package
- cpio-win32 (2.12+dfsg-9+deb10u1) [security]
- GNU cpio -- a program to manage archives of files (win32 build)
- cpipe (3.0.1-1+b2)
- counting pipe
- cpl-plugin-amber (4.3.8+dfsg-1+b1)
- ESO data reduction pipeline for the AMBER instrument
- cpl-plugin-amber-calib (4.3.8+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for AMBER
- cpl-plugin-amber-doc (4.3.8+dfsg-1)
- ESO data reduction pipeline documentation for AMBER
- cpl-plugin-fors (5.3.32+dfsg-1)
- ESO data reduction pipeline for the FORS1/2 instruments
- cpl-plugin-fors-calib (5.3.32+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for FORS2
- cpl-plugin-fors-doc (5.3.32+dfsg-1)
- ESO data reduction pipeline documentation for FORS
- cpl-plugin-giraf (2.16.3+dfsg-1+b1)
- ESO data reduction pipeline for the GIRAFFE instrument
- cpl-plugin-giraf-calib (2.16.3+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for GIRAFFE
- cpl-plugin-giraf-doc (2.16.3+dfsg-1)
- ESO data reduction pipeline documentation for GIRAFFE
- cpl-plugin-hawki (2.4.3+dfsg-1)
- ESO data reduction pipeline for the HAWK-I instrument
- cpl-plugin-hawki-calib (2.4.3+dfsg-1)
- ESO data reduction pipeline calibration data downloader for HAWK-I
- cpl-plugin-hawki-doc (2.4.3+dfsg-1)
- ESO data reduction pipeline documentation for HAWK-I
- cpl-plugin-kmos (2.1.0+dfsg-1)
- ESO data reduction pipeline for the KMOS instrument
- cpl-plugin-kmos-calib (2.1.0+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for KMOS
- cpl-plugin-kmos-doc (2.1.0+dfsg-1)
- ESO data reduction pipeline documentation for KMOS
- cpl-plugin-muse (2.6+dfsg-1)
- ESO data reduction pipeline for the MUSE instrument
- cpl-plugin-muse-calib (2.6+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for MUSE
- cpl-plugin-muse-doc (2.6+dfsg-1)
- ESO data reduction pipeline documentation for MUSE
- cpl-plugin-naco (4.4.6+dfsg-1)
- ESO data reduction pipeline for the NaCo instrument
- cpl-plugin-naco-calib (4.4.6+dfsg-1) [contrib]
- ESO data reduction pipeline NaCo calibration data downloader
- cpl-plugin-naco-doc (4.4.6+dfsg-1)
- ESO data reduction pipeline documentation for NaCo
- cpl-plugin-uves (5.9.1+dfsg-1+b1)
- ESO data reduction pipeline for the UVES instrument
- cpl-plugin-uves-calib (5.9.1+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for UVES
- cpl-plugin-uves-doc (5.9.1+dfsg-1)
- ESO data reduction pipeline documentation for UVES
- cpl-plugin-vimos (3.2.3+dfsg-2+b1)
- ESO data reduction pipeline for the VIMOS instrument
- cpl-plugin-vimos-calib (3.2.3+dfsg-2) [contrib]
- ESO data reduction pipeline calibration data downloader for VIMOS
- cpl-plugin-vimos-doc (3.2.3+dfsg-2)
- ESO data reduction pipeline documentation for VIMOS
- cpl-plugin-visir (4.3.7+dfsg-1+b1)
- ESO data reduction pipeline for the VISIR instrument
- cpl-plugin-visir-calib (4.3.7+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for VISIR
- cpl-plugin-visir-doc (4.3.7+dfsg-1)
- ESO data reduction pipeline documentation for the VISIR instrument
- cpl-plugin-xshoo (3.2.0+dfsg-1+b1)
- ESO data reduction pipeline for the XSHOOTER instrument
- cpl-plugin-xshoo-calib (3.2.0+dfsg-1) [contrib]
- ESO data reduction pipeline calibration data downloader for XSHOOTER
- cpl-plugin-xshoo-doc (3.2.0+dfsg-1)
- ESO data reduction pipeline documentation for XSHOOTER
- cplay (1.50-2)
- Front-end for various audio players
- cpluff-loader (0.1.4+dfsg1-1+b2)
- C-Pluff, a plug-in framework for C - plugin loader
- cpm (0.32-1.2+b1)
- Curses based password manager using PGP-encryption
- cpmtools (2.20-2+b1)
- Tools to access CP/M file systems
- cpp (4:8.3.0-1)
- GNU C preprocessor (cpp)
- cpp-7 (7.4.0-6)
- De GNU C-preprocessor.
- cpp-8 (8.3.0-6)
- De GNU C-preprocessor.
- cpp-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-i686-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-mips-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU C preprocessor
- cpp-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU C preprocessor
- cpp-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU C preprocessor
- cpp-aarch64-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the arm64 architecture
- cpp-alpha-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the alpha architecture
- cpp-arm-linux-gnueabi (4:8.3.0-1)
- GNU C preprocessor (cpp) for the armel architecture
- cpp-arm-linux-gnueabihf (4:8.3.0-1)
- GNU C preprocessor (cpp) for the armhf architecture
- cpp-hppa-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the hppa architecture
- cpp-i686-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the i386 architecture
- cpp-m68k-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the m68k architecture
- cpp-mips-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the mips architecture
- cpp-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C preprocessor (cpp) for the mips64 architecture
- cpp-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU C preprocessor (cpp) for the mips64el architecture
- cpp-mipsel-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the mipsel architecture
- cpp-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU C preprocessor (cpp) for the mipsr6 architecture
- cpp-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU C preprocessor (cpp) for the mipsr6el architecture
- cpp-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C preprocessor (cpp) for the mips64r6 architecture
- cpp-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C preprocessor (cpp) for the mips64r6el architecture
- cpp-powerpc-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the powerpc architecture
- cpp-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU C preprocessor (cpp) for the powerpcspe architecture
- cpp-powerpc64-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the ppc64 architecture
- cpp-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the ppc64el architecture
- cpp-riscv64-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the riscv64 architecture
- cpp-s390x-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the s390x architecture
- cpp-sh4-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the sh4 architecture
- cpp-sparc64-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the sparc64 architecture
- cpp-x86-64-linux-gnu (4:8.3.0-1)
- GNU C preprocessor (cpp) for the amd64 architecture
- cpp-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU C preprocessor (cpp) for the x32 architecture
- cppad (2019.02.00.1-1)
- Automatic Differentiation (AD) of C++ algorithms
- cppcheck (1.86-1)
- tool for static C/C++ code analysis (CLI)
- cppcheck-gui (1.86-1)
- tool for static C/C++ code analysis (GUI)
- cpphs (1.20.8-3+b2)
- Simplified cpp-a-like preprocessor for Haskell
- cppman (0.4.9+dfsg1-1)
- C++ 98/11 manual pages for Linux, with source from cplusplus.com
- cppo (1.6.4-3)
- cpp for OCaml
- cppreference-doc-en-html (20170409-1)
- C and C++ standard library reference (English, Devhelp variant)
- cppreference-doc-en-qch (20170409-1)
- C and C++ standard library reference (English, Qt Help variant)
- cpputest (3.8-7)
- C/C++ based unit test framework — main package
- cpqarrayd (2.3.6)
- monitoring tool for HP (Compaq) SmartArray controllers
- cproto (4.7o-5)
- utilities to generate C function prototypes from C source code
- cpu (1.4.3-12)
- console based LDAP user management tool
- cpu-checker (0.7-1.1)
- tools to help evaluate certain CPU (or BIOS) features
- cpufreqd (2.4.2-2+b2)
- fully configurable daemon for dynamic frequency and voltage scaling
- cpufrequtils (008-1.1)
- utilities to deal with the cpufreq Linux kernel feature
- cpuid (20180519-1)
- tool to dump x86 CPUID information about the CPU(s)
- cpuinfo (0.0~git20190201.d5e37ad-1)
- CPU INFOrmation library (binary utilities)
- cpulimit (2.5-1)
- tool for limiting the CPU usage of a process
- cpuset (1.5.6-5.1)
- Allows manipluation of cpusets and provides higher level fun
- cpustat (0.02.07-1)
- periodic cpu utilization statistics
- cputool (0.0.8-2+b1)
- Utility which manages CPU usage and system load
- cqrlog (2.3.0-2)
- Advanced logging program for hamradio operators
- crac (2.5.0+dfsg-3)
- integrated RNA-Seq read analysis
- crack (5.0a-12)
- Password guessing program (crypt() variant)
- crack
- virtueel pakket geboden door crack-md5
- crack-attack (1.1.14-9.1+b2)
- multiplayer OpenGL puzzle game like "Tetris Attack"
- crack-common (5.0a-12)
- Password guessing program (common files of all variants)
- crack-md5 (5.0a-12)
- Password guessing program (MD5 variant)
- cracklib-runtime (2.9.6-2)
- runtime support for password checker library cracklib2
- crafty (23.4-7) [non-free]
- state-of-the-art chess engine, compatible with xboard
- crafty-bitmaps (1.0-1) [contrib]
- bitmap images for crafty chess game annotation mode
- crafty-books-medium (1.0.debian1-2) [contrib]
- Medium size opening books for the crafty chess engine
- crafty-books-medtosmall (1.0.debian1-2) [contrib]
- Medium-to-small size opening books for crafty chess engine
- crafty-books-small (1.0.debian1-2) [contrib]
- Small-size opening books for crafty chess engine
- cramfsswap (1.4.1-1.1)
- swap endianess of a cram filesystem (cramfs)
- crash (7.2.5-1)
- kernel debugging utility, allowing gdb like syntax
- crashmail (1.7-1)
- JAM and *.MSG capable Fidonet tosser
- crashme (2.8.5-1+b2)
- tool to test kernel stability
- crasm (1.8-1+b1)
- Cross assembler for 6800/6801/6803/6502/65C02/Z80
- crawl (2:0.23.0-1)
- Dungeon Crawl, a text-based roguelike game
- crawl-common (2:0.23.0-1)
- Dungeon Crawl, a text-based roguelike game (data files)
- crawl-tiles (2:0.23.0-1)
- Dungeon Crawl, a roguelike game, tiles version
- crawl-tiles-data (2:0.23.0-1)
- Dungeon Crawl, a text-based roguelike game (tile data files)
- crc-modules
- virtueel pakket geboden door crc-modules-4.19.0-20-amd64-di, crc-modules-4.19.0-20-686-pae-di, crc-modules-4.19.0-21-686-pae-di, crc-modules-4.19.0-21-686-di, crc-modules-4.19.0-20-armmp-di, crc-modules-4.19.0-20-686-di, crc-modules-4.19.0-20-arm64-di, crc-modules-4.19.0-21-armmp-di, crc-modules-4.19.0-21-arm64-di, crc-modules-4.19.0-21-amd64-di
- crc-modules-4.19.0-20-686-di (4.19.235-1)
- CRC modules
- crc-modules-4.19.0-20-686-pae-di (4.19.235-1)
- CRC modules
- crc-modules-4.19.0-20-amd64-di (4.19.235-1)
- CRC modules
- crc-modules-4.19.0-20-arm64-di (4.19.235-1)
- CRC modules
- crc-modules-4.19.0-20-armmp-di (4.19.235-1)
- CRC modules
- crc-modules-4.19.0-21-686-di (4.19.249-2)
- CRC modules
- crc-modules-4.19.0-21-686-pae-di (4.19.249-2)
- CRC modules
- crc-modules-4.19.0-21-amd64-di (4.19.249-2)
- CRC modules
- crc-modules-4.19.0-21-arm64-di (4.19.249-2)
- CRC modules
- crc-modules-4.19.0-21-armmp-di (4.19.249-2)
- CRC modules
- crda (3.18-1)
- wireless Central Regulatory Domain Agent
- cream (0.43-3)
- Vim macros die VIM
- create-resources (0.1.3-5)
- shared resources for use by creative applications
- created-fstab
- virtueel pakket geboden door partman-base
- createfp (3.4.5-1)
- Language detection library - fingerprint generation utility
- createrepo (0.10.3-1)
- tool to generate the metadata for a yum repository
- credential-sheets (0.0.3-3)
- User account credential sheets tool
- creduce (2.9~20181016-1)
- Test-Case Reduction for C Compiler Bugs
- cricket (1.0.5-22)
- Program for collection and display of time-series data
- crimson (0.5.2-1.1+b1)
- hex-based tactical game
- crip (3.9-2)
- terminal-based ripper/encoder/tagger tool
- crispy-doom (5.4-3)
- Limit-raising medium-resolution Doom engine based on Chocolate Doom
- critcl (3.1.17+dfsg-1)
- compiled runtime in Tcl
- criticalmass (1:1.0.0-6)
- Shoot-em-up a la galaxian
- criticalmass-data (1:1.0.0-6)
- Shoot-em-up a la galaxian (data files)
- critterding (1.0-beta12.1-1.3+b1)
- Evolving Artificial Life
- crm114 (20100106-9)
- versatile classifier for e-mail and other data
- crmsh (4.0.0~git20190108.3d56538-3+deb10u1)
- CRM shell for the pacemaker cluster manager
- crmsh-doc (4.0.0~git20190108.3d56538-3+deb10u1)
- crmsh HTML Documentation
- cron (3.0pl1-134+deb10u1)
- process scheduling daemon
- cron
- virtueel pakket geboden door bcron
- cron-apt (0.13.0)
- automatic update of packages using apt-get
- cron-daemon
- virtueel pakket geboden door cron, systemd-cron, bcron
- cron-deja-vu (0.4-5.1)
- filter for recurring cron mails
- cronic (3-2)
- Bash script for wrapping cron jobs to prevent excess email sending
- cronolog (1.6.2+rpk-2)
- Logfile rotator for web servers
- cronometer (0.9.9+dfsg-3)
- CRON-o-Meter - Exercise and nutrient intake tracker
- cronutils (1.9-1)
- Utilities to assist running batch processing jobs
- cross-config (2.6.15-3)
- autotools support for cross-compilation
- cross-gcc-dev (230)
- Tools for building cross-compilers and cross-compiler packages
- crossbuild-essential-amd64 (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-arm64 (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-armel (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-armhf (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-i386 (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-mips (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-mips64el (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-mipsel (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-powerpc (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-ppc64el (12.6)
- Informational list of cross-build-essential packages
- crossbuild-essential-s390x (12.6)
- Informational list of cross-build-essential packages
- crossfire-client (1.73.0-1)
- Client for the multiplayer roguelike Crossfire
- crossfire-client-images (1.70.0-1)
- Base crossfire-client images
- crossfire-common (1.71.0+dfsg1-2)
- Architecture independent common files for Crossfire server
- crossfire-doc (1.71.0+dfsg1-2)
- Documentation for Crossfire
- crossfire-maps (1.71.0-1)
- Standard set of maps for crossfire
- crossfire-maps
- virtueel pakket geboden door crossfire-maps-small
- crossfire-maps-small (1.5.0-3)
- Small set of maps for crossfire
- crossfire-server (1.71.0+dfsg1-2)
- Server for Crossfire Games
- crosshurd (1.7.52)
- Install a Debian system
- crossystem
- virtueel pakket geboden door cgpt
- crrcsim (0.9.13-3.1)
- Model-Airplane Flight Simulator
- crrcsim-data (0.9.13-3.1)
- Data files for crrcsim package
- crrcsim-doc (0.9.13-3.1)
- Documentation for crrcsim package
- crtmpserver (1.0~dfsg-5.5)
- High performance RTMP/RTSP streaming server
- crtmpserver-apps (1.0~dfsg-5.5)
- base applications for the crtmpserver platform
- crtmpserver-dev (1.0~dfsg-5.5)
- Development files for the crtmpserver platform
- crtmpserver-libs (1.0~dfsg-5.5)
- shared libraries for the crtmpserver platform
- crudini (0.7-1)
- utility for manipulating ini files
- cruft (0.9.38)
- program that finds any cruft built up on your system
- cruft-common (0.9.38)
- information database shared by cruft & cruft-ng
- cruft-ng (0.4.7)
- program that finds any cruft built up on your system
- crunch (3.6-2)
- tool for creating wordlist
- cryfs (0.9.10-2)
- encrypt your files and store them in the cloud
- crypt++el (2.94-3)
- Emacs-Lisp Code for handling compressed and encrypted files
- cryptcat (20031202-4+b2)
- A lightweight version netcat extended with twofish encryption
- cryptmount (5.3.1-1)
- Management of encrypted file systems
- crypto-dm-modules
- virtueel pakket geboden door crypto-dm-modules-4.19.0-20-arm64-di, crypto-dm-modules-4.19.0-21-686-di, crypto-dm-modules-4.19.0-20-amd64-di, crypto-dm-modules-4.19.0-21-686-pae-di, crypto-dm-modules-4.19.0-20-686-di, crypto-dm-modules-4.19.0-21-armmp-di, crypto-dm-modules-4.19.0-21-arm64-di, crypto-dm-modules-4.19.0-20-686-pae-di, crypto-dm-modules-4.19.0-21-amd64-di, crypto-dm-modules-4.19.0-20-armmp-di
- crypto-dm-modules-4.19.0-20-686-di (4.19.235-1)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-20-686-pae-di (4.19.235-1)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-20-amd64-di (4.19.235-1)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-20-arm64-di (4.19.235-1)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-20-armmp-di (4.19.235-1)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-21-686-di (4.19.249-2)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-21-686-pae-di (4.19.249-2)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-21-amd64-di (4.19.249-2)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-21-arm64-di (4.19.249-2)
- devicemapper crypto module
- crypto-dm-modules-4.19.0-21-armmp-di (4.19.249-2)
- devicemapper crypto module
- crypto-modules
- virtueel pakket geboden door crypto-modules-4.19.0-20-amd64-di, crypto-modules-4.19.0-20-686-di, crypto-modules-4.19.0-20-armmp-di, crypto-modules-4.19.0-21-686-di, crypto-modules-4.19.0-21-arm64-di, crypto-modules-4.19.0-21-armmp-di, crypto-modules-4.19.0-21-686-pae-di, crypto-modules-4.19.0-21-amd64-di, crypto-modules-4.19.0-20-686-pae-di, crypto-modules-4.19.0-20-arm64-di
- crypto-modules-4.19.0-20-686-di (4.19.235-1)
- crypto modules
- crypto-modules-4.19.0-20-686-pae-di (4.19.235-1)
- crypto modules
- crypto-modules-4.19.0-20-amd64-di (4.19.235-1)
- crypto modules
- crypto-modules-4.19.0-20-arm64-di (4.19.235-1)
- crypto modules
- crypto-modules-4.19.0-20-armmp-di (4.19.235-1)
- crypto modules
- crypto-modules-4.19.0-21-686-di (4.19.249-2)
- crypto modules
- crypto-modules-4.19.0-21-686-pae-di (4.19.249-2)
- crypto modules
- crypto-modules-4.19.0-21-amd64-di (4.19.249-2)
- crypto modules
- crypto-modules-4.19.0-21-arm64-di (4.19.249-2)
- crypto modules
- crypto-modules-4.19.0-21-armmp-di (4.19.249-2)
- crypto modules
- cryptol (2.6.0-3+b1)
- domain-specific language of cryptography
- cryptsetup (2:2.1.0-5+deb10u2)
- transitional dummy package for cryptsetup-{run,initramfs}
- cryptsetup-bin (2:2.1.0-5+deb10u2)
- disk encryption support - command line tools
- cryptsetup-initramfs (2:2.1.0-5+deb10u2)
- disk encryption support - initramfs integration
- cryptsetup-run (2:2.1.0-5+deb10u2)
- disk encryption support - startup scripts
- cryptsetup-udeb (2:2.1.0-5+deb10u2)
- disk encryption support - commandline tools (udeb)
- crystalcursors (1.1.1-14)
- X11 mouse theme with the crystal look&feel
- cs (2.3.1-1)
- simple, yet powerful CloudStack API client
- csb (1.2.5+dfsg-3)
- Computational Structural Biology Toolbox (CSB)
- cscope (15.9-1)
- interactively examine a C program source
- csh (20110502-4+deb10u1)
- Shell with C-like syntax
- csladspa (1:6.11.1-1)
- LADSPA plugin for Csound
- csmash (0.6.6-6.8+b1)
- CannonSmash, a table tennis simulation game
- csmash-data (0.6.6-6.8)
- data files for the CannonSmash game
- csmash-demosong (1.4+nmu1)
- Demo song for CannonSmash
- csmith (2.3.0-5)
- generator of random C programs
- csound (1:6.12.2~dfsg-3.1)
- powerful and versatile sound synthesis software
- csound-data (1:6.12.2~dfsg-3.1)
- data files used by the csound library
- csound-doc (1:6.12.0~dfsg-2)
- documentation for csound
- csound-utils (1:6.12.2~dfsg-3.1)
- miscellaneous utilities for the Csound system
- csoundqt (0.9.4-1)
- frontend for the csound sound processor
- csoundqt-examples (0.9.4-1)
- example scores for csoundqt
- css2xslfo (1.6.2-2)
- XML+CSS2 to XSL-FO converter
- cssc (1.4.0-6)
- Clone of the Unix SCCS revision-control system
- cssmin (0.2.0-7)
- YUI CSS compression algorithm (command line tools)
- csstidy (1.4-5)
- CSS parser and optimiser
- cstocs (1:3.42-3)
- Recoding utility and Czech sorter
- cstools-vn
- virtueel pakket geboden door cstocs
- cstream (3.1.1-1)
- general-purpose stream-handling tool similar to dd
- csv2latex (0.21-1)
- command-line CSV to LaTeX file converter
- csvimp (0.6.2-1)
- CSV data import tool for xTuple applications
- csvkit (1.0.2-1)
- command-line tools for working with CSV
- csvkit-doc (1.0.2-1)
- documentation for csvkit
- csvtool (1.5-1+b3 [armhf], 1.5-1+b2 [amd64, arm64, i386])
- handy command line tool for handling CSV files
- csync2 (2.0-22-gce67c55-1+deb10u1)
- cluster synchronization tool
- ctags
- virtueel pakket geboden door exuberant-ctags
- ctapi-dev (1.1)
- Card Terminal (CT) API definition
- ctdb (2:4.9.5+dfsg-5+deb10u5) [security]
- clustered database to store temporary data
- ctdconverter (2.0-4)
- Convert CTD files into Galaxy tool and CWL CommandLineTool files
- ctfutils (10.3~svn297264-2+b1)
- FreeBSD CTF utilities
- cthumb (4.2-3.1)
- Program to generate themable Web picture albums
- ctioga2 (0.14.1-2)
- polymorphic plotting program
- ctn (3.2.0~dfsg-6+b1)
- Central Test Node, a DICOM implementation for medical imaging
- ctn-dev (3.2.0~dfsg-6+b1)
- Development files for Central Test Node, a DICOM implementation
- ctop (1.0.0-2)
- Command line / text based Linux Containers monitoring tool
- ctorrent (1.3.4.dnh3.3.2-5+b1)
- BitTorrent Client written in C++
- ctpl (0.3.4+dfsg-1)
- command-line template parsing utility
- ctpp2-doc (2.8.3-26)
- HTML template engine for C++ - documentation
- ctpp2-utils (2.8.3-26)
- Tools for ctpp template conversion
- ctsim (6.0.2-2)
- Computed tomography simulator
- ctsim-doc (6.0.2-2)
- Documentation for ctsim package
- ctsim-help (6.0.2-2)
- Online help file for CTSim
- ctwm (3.7-4+b1)
- Claude's Tab window manager
- cu (1.07-24)
- call up another system
- cube2 (0.0.20130404+dfsg-1)
- 3D first-person shooter game engine
- cube2-data (1.2-1)
- demo game and content for the Cube2 engine
- cube2-server (0.0.20130404+dfsg-1)
- standalone server for Cube2 based games
- cube2font (1.5.1-1)
- utility program for creating font bitmaps for Cube Engine games
- cubemap (1.4.2-1)
- scalable video reflector, designed to be used with VLC
- cubicsdr (0.2.5+dfsg-1)
- Software Defined Radio receiver
- cucumber (2.4.0-3)
- acceptance testing framework
- cudf-solver
- virtueel pakket geboden door aspcud, packup, mccs
- cudf-tools (0.7-3+b2)
- command line tools for package upgrade problem descriptions
- cue2toc (0.4-5+b2)
- converts CUE files to cdrdao's TOC format
- cuetools (1.4.0-2+b1)
- tools for manipulating CUE/TOC files
- cufflinks (2.2.1+dfsg.1-3+b1) [non-free]
- Transcript assembly, differential expression and regulation for RNA-Seq
- culmus (0.132-1)
- TrueType and Type1 Hebrew Fonts for X11
- culmus-fancy (0.0.20051018-4)
- Type1 Fancy Hebrew Fonts for X11
- cultivation (9+dfsg1-2+b1)
- game about the interactions within a gardening community
- cuneiform (1.1.0+dfsg-7) [non-free]
- multi-language OCR system
- cuneiform-common (1.1.0+dfsg-7) [non-free]
- multi-language OCR system (common)
- cup (0.11b-20160615-2)
- LALR parser generator for Java(tm)
- cupp (0.0+20160624.git07f9b8-1)
- generate dictionaries for attacks from personal data
- cupp3 (0.0+20160624.git07f9b8-1)
- generate dictionaries for attacks from personal data version for python3
- cups (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - PPD/driver support, web interface
- cups-backend-bjnp (2.0.1-1)
- printer backend for Canon BJNP protocol
- cups-browsed (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - cups-browsed
- cups-bsd (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - BSD commands
- cups-client (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - client programs (SysV)
- cups-common (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - common files
- cups-core-drivers (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - driverless printing
- cups-daemon (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - daemon
- cups-filters (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Main Package
- cups-filters-core-drivers (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Driverless printing
- cups-filters-ippusbxd
- virtueel pakket geboden door ippusbxd
- cups-ipp-utils (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - IPP developer/admin utilities
- cups-pdf
- virtueel pakket geboden door printer-driver-cups-pdf
- cups-pk-helper (0.2.6-1+b1)
- PolicyKit helper to configure cups with fine-grained privileges
- cups-ppdc (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - PPD manipulation utilities
- cups-server-common (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - server common files
- cups-tea4cups (3.14~alpha0+svn3576-1)
- Swiss Army's knife of advanced CUPS administrators
- cups-x2go (3.0.1.4-1)
- Virtual X2Go printer for CUPS
- cupt (2.10.3)
- flexible package manager -- console interface
- cupt-dbg (2.10.3)
- flexible package manager -- debugging symbols
- cura (3.3.1-2)
- GUI G-code generator for 3D printers
- cura-engine (1:3.3.0-2.1+b1)
- command line slicer engine for 3d printing
- curl (7.64.0-4+deb10u9) [security]
- command line tool for transferring data with URL syntax
- curlftpfs (0.9.2-9+b1)
- filesystem to access FTP hosts based on FUSE and cURL
- curry-frontend (1.0.3-1)
- Lexer, parser, type checker, etc. for Curry; executable
- curry-libs-source (2.1.0-1)
- Standard libraries of the Curry distributions PAKCS and KiCS2 (source files)
- curry-tools-source (2.1.0-1)
- Tools that are used by different Curry systems, like PAKCS or KiCS2
- curseofwar (1.1.8-3+b3)
- Fast-paced action strategy game for Linux based on ncurses
- curtain (0.3-1.1)
- handy curtain for the desktop
- curvedns (0.87-5)
- DNS/DNSCurve forwarding name server - binaries
- customdeb (0.1)
- Modfies binary Debian package
- cutadapt (1.18-1)
- Clean biological sequences from high-throughput sequencing reads
- cutecom (0.30.3-1+b1)
- Graphical serial terminal, like minicom
- cutemaze (1.2.4-1)
- single player maze game
- cutesdr (1.20-3)
- simple demodulation and spectrum display program
- cutils (1.6-5)
- C source code utilities
- cutycapt (0.0~svn10-0.1)
- utility to capture WebKit's rendering of a web page
- cvc4 (1.6-2+b1)
- automated theorem prover for SMT problems
- cve-dictionary
- virtueel pakket geboden door go-cve-dictionary
- cvm (0.97-0.1+b1)
- Credential Validation Modules
- cvm-dev
- virtueel pakket geboden door libcvm1-dev
- cvm-mysql (0.97-0.1+b1)
- Credential Validation Modules (MySQL)
- cvm-pgsql (0.97-0.1+b1)
- Credential Validation Modules (PostgreSQL)
- cvs (2:1.12.13+real-27)
- Concurrent Versions System
- cvs-buildpackage (5.26)
- set of Debian package scripts for CVS source trees
- cvs-doc
- virtueel pakket geboden door cvs
- cvs-fast-export (1.44-1)
- Export an RCS or CVS history as a fast-import stream
- cvs-mailcommit (1.19-2.1)
- Send CVS commitments via mail
- cvs2svn (2.5.0-1)
- Convert a cvs repository to a subversion, bazaar or git repository
- cvsd (1.0.24)
- chroot wrapper to run 'cvs pserver' more securely
- cvsdelta (1.7.0-6)
- Summarize differences in a CVS repository
- cvsgraph (1.7.0-5)
- Create a tree of revisions/branches from a CVS/RCS file
- cvsps (2.1-8)
- Tool to generate CVS patch set information
- cvsservice (4:17.08.3-1)
- D-Bus service for accessing CVS repositories
- cvsutils (0.2.5-1)
- CVS utilities for use in working directories
- cvsweb (3:3.0.6-8)
- CGI interface to your CVS repository
- cw (3.5.1-3)
- Morse code tutor - command line user interface
- cwcp (3.5.1-3)
- Morse code tutor - text user interface
- cwdaemon (0.10.2-2)
- morse daemon for the parallel or serial port
- cwebx (3.52-2+b1)
- C/C++ literate programming system (Marc van Leeuwen's version)
- cwiid-dbg (0.6.00+svn201-4)
- library to interface with the wiimote -- debug files
- cwl-runner
- virtueel pakket geboden door toil, cwltool
- cwltool (1.0.20181217162649+dfsg-10)
- Common Workflow Language reference implementation
- cwm (6.3-1)
- lightweight and efficient window manager for X11
- cxref (1.6e-3)
- Generates LaTeX and HTML documentation for C programs
- cxref-doc (1.6e-3)
- Generates LaTeX and HTML documentation for C programs
- cxref-emacs (1.6e-3)
- Generates LaTeX and HTML documentation for C programs
- cxxtest (4.4+git171022-1)
- lightweight xUnit-like framework for C/C++ applications
- cycfx2prog (0.47-1+b2)
- Cypress EZ-USB FX2 (LP) programmer
- cyclades-serial-client (0.93)
- Network Serial port client software for Cyclades terminal servers
- cycle (0.3.1-14)
- calendar program for women
- cyclist (0.2~beta3-4)
- Utility for converting Max/MSP binary patches to text
- cyclograph (1.9.1-1)
- route altimetry plotting application
- cyclograph-gtk3 (1.9.1-1)
- route altimetry plotting application - GTK3 interface
- cyclograph-qt5 (1.9.1-1)
- route altimetry plotting application - Qt interface
- cylc (7.8.0-5)
- Workflow scheduler
- cynthiune.app (1.0.0-2+b2)
- Music player for GNUstep
- cypher-lint (0.6.0-1)
- Lint tool for the Cypher query language
- cyphesis-cpp (0.6.2-3+b1)
- WorldForge game server
- cyphesis-cpp-clients (0.6.2-3+b1)
- WorldForge game server - clients to control the server
- cyphesis-cpp-game
- virtueel pakket geboden door cyphesis-cpp-mason
- cyphesis-cpp-mason (0.6.2-3)
- WorldForge game server - game data for Mason
- cyrus-admin (3.0.8-6+deb10u6)
- Cyrus mail system - administration tools
- cyrus-caldav (3.0.8-6+deb10u6)
- Cyrus mail system - CalDAV and CardDAV support
- cyrus-clients (3.0.8-6+deb10u6)
- Cyrus mail system - test clients
- cyrus-common (3.0.8-6+deb10u6)
- Cyrus mail system - common files
- cyrus-dev (3.0.8-6+deb10u6)
- Cyrus mail system - developer files
- cyrus-doc (3.0.8-6+deb10u6)
- Cyrus mail system - documentation files
- cyrus-imapd (3.0.8-6+deb10u6)
- Cyrus mail system - IMAP support
- cyrus-imspd (1.8-5)
- Internet Message Support Protocol daemon
- cyrus-murder (3.0.8-6+deb10u6)
- Cyrus mail system - proxies and aggregator
- cyrus-nntpd (3.0.8-6+deb10u6)
- Cyrus mail system - NNTP support
- cyrus-pop3d (3.0.8-6+deb10u6)
- Cyrus mail system - POP3 support
- cyrus-replication (3.0.8-6+deb10u6)
- Cyrus mail system - replication
- cyrus-sasl2-doc (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - documentation
- cysignals-tools (1.8.1+ds-2)
- interrupt and signal handling for Cython -- tools
- cytadela (1.1.0-4) [contrib]
- old-school first person shooter game
- cytadela-data (1.1.0-4) [contrib]
- game data for cytadela
- cytadela-dbg (1.1.0-4) [contrib]
- debugging symbols for cytadela
- cython (0.29.2-2)
- C-Extensions for Python
- cython-dbg (0.29.2-2)
- C-Extensions for Python - debug build
- cython-doc (0.29.2-2)
- C-Extensions for Python - documentation
- cython3 (0.29.2-2)
- C-Extensions for Python 3
- cython3-dbg (0.29.2-2)
- C-Extensions for Python 3 - debug build
- d-compiler
- virtueel pakket geboden door gdc-7, ldc, gdc-8
- d-feet (0.3.14-1)
- D-Bus object browser, viewer and debugger
- d-itg (2.8.1-r1023-3+b2)
- Distributed Internet Traffic Generator
- d-push (2.4.5-2)
- open source implementation of the ActiveSync protocol
- d-push
- virtueel pakket geboden door z-push
- d-rats (0.3.3-4)
- Communications tool for D-STAR amateur radio
- d-shlibs (0.84)
- Debian shared library package building helper scripts
- d-v2-compiler
- virtueel pakket geboden door gdc-8, gdc-7, ldc
- d1x-rebirth (0.58.1-1+b1) [non-free]
- port of the 1995 classic game Descent 1: First Strike
- d2x-rebirth (0.58.1-1.1) [non-free]
- port of the 1996 classic game Descent 2: Counterstrike
- d52 (3.4.1-1.1+b2)
- Disassembler for 8052, 8048/8041, and Z80/8080/8085 code
- daa2iso (0.1.7e-1+b2)
- The DAA files (Direct Access Archive) to ISO converting tool
- dablin (1.10.0-1)
- CLI and GTK+ GUI DAB & DAB+ receiver client
- dacco-common (0.9+20071227-6)
- Catalan/English dictionary (xml files)
- dacs (1.4.40-2)
- Distributed Access Control System (DACS)
- dacs-dev
- virtueel pakket geboden door libdacs-dev
- dacs-examples (1.4.40-2)
- Distributed Access Control System (DACS) - example web root
- dact (0.8.42-4+b2)
- multi-algorithm compression
- dadadodo (1.04-7)
- exterminates all rational thought
- daemon (0.6.4-1+b2)
- turns other processes into daemons
- daemonfs (1.1-1+b2)
- real time monitoring software
- daemonize (1.7.7-1+b1)
- tool to run a command as a daemon
- daemonlogger (1.2.1-8+b1)
- simple network packet logger and soft tap daemon
- daemontools (1:0.76-7)
- collection of tools for managing UNIX services
- daemontools-run (1:0.76-7)
- daemontools service supervision
- dahdi (1:2.11.1-3)
- utilities for using the DAHDI kernel modules
- dahdi-dkms (1:2.11.1.0.20170917~dfsg-7)
- DAHDI telephony interface (dkms kernel driver)
- dahdi-firmware-nonfree (2.11.1.0.20170917-1) [non-free]
- DAHDI non-free firmware
- dahdi-linux (1:2.11.1.0.20170917~dfsg-7)
- DAHDI telephony interface - Linux userspace parts
- dahdi-source (1:2.11.1.0.20170917~dfsg-7)
- DAHDI telephony interface - source code for kernel driver
- dailystrips (1.0.28-11)
- view web comic strips more conveniently
- daisy-player (11.6.2.1-2)
- player for DAISY Digital Talking Books
- daligner (1.0+git20180524.fd21879-1)
- local alignment discovery between long nucleotide sequencing reads
- dalvik-exchange (8.1.0+r23-2)
- Manipulate Dalvik eXchange format and produce dex files
- dangen (0.5-5)
- shoot 'em up game where accurate shooting matters
- danmaq (0.2.3.1-4)
- Small client side Qt program to play danmaku on any screen
- dans-gdal-scripts (0.24-3+b1)
- GDAL contributed tools by Geographic Information Network of Alaska
- dante-client (1.4.2+dfsg-6)
- SOCKS wrapper for users behind a firewall
- dante-server (1.4.2+dfsg-6)
- SOCKS (v4 and v5) proxy daemon (danted)
- daphne (2.2.5-1)
- Django Channels HTTP/WebSocket server
- dapl2-utils (2.1.10.1.f1e05b7a-3)
- utilities for use with the DAPL libraries
- daptup (0.12.7)
- reporter of changes in list of available packages from repositories
- dar (2.6.2-1+b10)
- Disk ARchive: Backup directory tree and files
- dar-docs (2.6.2-1)
- Disk ARchive: Backup directory tree and files
- dar-static (2.6.2-1+b10)
- Disk ARchive: Backup directory tree and files
- darcs (2.14.1-3)
- distributed, interactive, smart revision control system
- darcs-monitor (0.4.2-14)
- Darcs add-on that sends mail about newly pushed changes
- darcsum (1.10+20120116-2)
- Transition package, darcsum to elpa-darcsum
- darcsum
- virtueel pakket geboden door elpa-darcsum
- darcsweb (1.1-3.2)
- web interface for browsing darcs repositories
- dares (0.6.5+repack-2)
- rescue files from damaged CDs and DVDs (ncurses-interface)
- darkcold-gtk-theme (5.0.0-2)
- dark GTK2/GTK3/Metacity theme
- darkice (1.3-0.2)
- Live audio streamer
- darkmint-gtk-theme (2.0.0-2)
- dark GTK2/GTK3/Metacity theme
- darknet (0.0.0+git20180914.61c9d02e-1)
- Open Source Neural Networks in C
- darkplaces (0~20180412~beta1-2+b1)
- Game engine for Quake and similar 3D first person shooter games
- darkplaces-server (0~20180412~beta1-2+b1)
- Standalone server for Quake-based games
- darkradiant (2.6.0-3+b1)
- Level design toolchain for DOOM3 and The Dark Mod
- darkradiant-plugins-darkmod (2.6.0-3+b1)
- DarkMod-specific plugins for DarkRadiant
- darkslide (4.0.1-2)
- HTML5 slideshow generator
- darksnow (0.7.1-2)
- simple graphical user interface to darkice
- darkstat (3.0.719-1+b1)
- network traffic analyzer
- darktable (2.6.0-1)
- virtual lighttable and darkroom for photographers
- darnwdl (0.5-2+b2)
- WDL document format viewer
- darts (0.32-19)
- C++ Template Library for implementation of Double-Array
- das-watchdog (0.9.0-3.2+b3)
- solves system lock-ups by making all processes non-realtime
- dascrubber (1.1-1)
- alignment-based scrubbing pipeline for DNA sequencing reads
- dash (0.5.10.2-5)
- POSIX-compliant shell
- dash-el (2.14.1+dfsg-1)
- transitional dummy package for elpa-dash
- dash-el
- virtueel pakket geboden door elpa-dash
- dasher (5.0.0~beta~repack-7)
- graphical predictive text input system
- dasher-data (5.0.0~beta~repack-7)
- Data files for dasher
- datalad (0.11.2-2)
- data files management and distribution platform
- datalad-container (0.2.2-2)
- DataLad extension for working with containerized environments
- datamash (1.4-1)
- statistics tool for command-line interface
- datapacker (1.0.2)
- Tool to pack files into minimum number of CDs/DVDs/etc
- datefudge (1.22)
- Fake the system date
- dateutils (0.4.3-1)
- nifty command line date and time utilities
- datovka (4.9.3-2)
- Czech Data Boxes client
- dav-text (0.8.9-1)
- minimalist ncurses-based text editor
- davfs2 (1.5.5-1)
- mount a WebDAV resource as a regular file system
- davical (1.1.8-1+deb10u1)
- PHP CalDAV and CardDAV Server
- davical-doc (1.1.8-1+deb10u1)
- PHP CalDAV and CardDAV Server - technical documentation
- davix (0.7.2-1)
- Toolkit for http based file management
- davix-dev (0.7.2-1)
- Development files for davix
- davix-doc (0.7.2-1)
- Documentation for davix
- davix-tests (0.7.2-1)
- Test suite for davix
- davmail (5.1.0.2891-2)
- POP/IMAP/SMTP/CalDav/LDAP to Microsoft Exchange gateway
- dawg (1.2-2)
- simulate the evolution of recombinant DNA sequences
- dawgdic-tools (0.4.5-2)
- command line tools for DAWG dictionaries
- daxctl (63-1.3)
- Utility for managing the device DAX subsystem
- dazzdb (1.0+git20180908.0bd5e07-1)
- manage nucleotide sequencing read data
- db-upgrade-util (5.3.1+nmu1)
- Berkeley Database Utilities (old versions)
- db-util (5.3.1+nmu1)
- Berkeley Database Utilities
- (0.6-1)
- Simple Twitter bot using a database to build and send tweets
- db4otool (8.0.184.15484+dfsg2-3)
- native OODBMS for CLI (tools)
- db5.3-doc (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Documentation [html]
- db5.3-sql-util (5.3.28+dfsg1-0.5)
- Berkeley v5.3 SQL Database Utilities
- db5.3-util (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Utilities
- dbab (1.3.2-2)
- dnsmasq-based ad-blocking using pixelserv
- dbar (0.0.20100524-3+b1 [armhf], 0.0.20100524-3 [amd64, arm64, i386])
- general purpose ASCII graphic percentage meter/progressbar
- dbconfig-common (2.0.11+deb10u1)
- framework that helps packages to manage databases
- dbconfig-mysql (2.0.11+deb10u1)
- dbconfig-common MySQL/MariaDB support
- dbconfig-no-thanks (2.0.11+deb10u1)
- dbconfig-common bypass
- dbconfig-pgsql (2.0.11+deb10u1)
- dbconfig-common PostgreSQL support
- dbconfig-sqlite (2.0.11+deb10u1)
- dbconfig-common SQLite support
- dbconfig-sqlite3 (2.0.11+deb10u1)
- dbconfig-common SQLite3 support
- dbeacon (0.4.0-2)
- Multicast beacon
- dbench (4.0-2+b2)
- The dbench (disk) and tbench (TCP) benchmarks
- dbf2mysql (1.14a-5.1+b1)
- xBase to MySQL or vice versa
- dblatex (0.3.10-2)
- Produces DVI, PostScript, PDF documents from DocBook sources
- dblatex-doc (0.3.10-2)
- Documentation for dblatex
- dbmix (0.9.8-6.3+b1)
- DJ mixer for digital audio streams
- dbskkd-cdb (1:3.00-2)
- SKK dictionary server using cdb for faster access
- dbtoepub (0+svn9904-1)
- DocBook XML to .epub converter
- dbus (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (daemon and utilities)
- dbus-1-doc (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (documentation)
- dbus-bin (= 1.12.20-0+deb10u1)
- virtueel pakket geboden door dbus
- dbus-bin (= 1.12.28-0+deb10u1)
- virtueel pakket geboden door dbus
- dbus-java-bin (2.8-9)
- simple interprocess messaging system (Java Binaries)
- dbus-session-bus
- virtueel pakket geboden door dbus-x11, dbus-user-session
- dbus-system-bus (= 1.12.20-0+deb10u1)
- virtueel pakket geboden door dbus
- dbus-system-bus (= 1.12.28-0+deb10u1)
- virtueel pakket geboden door dbus
- dbus-test-runner (16.10.0~bzr100+repack1-4)
- Runs tests under a new DBus session
- dbus-tests (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (test infrastructure)
- dbus-udeb (1.12.20-0+deb10u1)
- simple interprocess messaging system (minimal runtime)
- dbus-user-session (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (systemd --user integration)
- dbus-x11 (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (X11 deps)
- dbview (1.0.4-1+b2)
- Bekijk dBase III bestanden
- dc (1.07.1-2+b1)
- GNU dc arbitrary precision reverse-polish calculator
- dc3dd (7.2.646-3)
- patched version of GNU dd with forensic features
- dcap (2.47.12-2)
- Client Tools for dCache
- dcap-dev (2.47.12-2)
- Client Development Files for dCache
- dcap-tunnel-gsi (2.47.12-2)
- GSI tunnel for dCache
- dcap-tunnel-krb (2.47.12-2)
- Kerberos tunnel for dCache
- dcap-tunnel-ssl (2.47.12-2)
- SSL tunnel for dCache
- dcap-tunnel-telnet (2.47.12-2)
- Telnet tunnel for dCache
- dcfldd (1.3.4.1-13)
- enhanced version of dd for forensics and security
- dcl-f77 (7.3.3-1)
- GFD-DENNOU Club Library (DCL) - FORTRAN77 version
- dcl-f77-docs (7.3.3-1)
- GFD-DENNOU Club Library (DCL) - documents
- dclock (2.2.2-11)
- Digital clock for the X Window System with flexible display
- dcm2niix (1.0.20181125-1)
- next generation DICOM to NIfTI converter
- dcmtk (3.6.4-2.1)
- OFFIS DICOM toolkit command line utilities
- dcmtk-doc (3.6.4-2.1)
- OFFIS DICOM toolkit documentation
- dconf-cli (0.30.1-2)
- simple configuration storage system - utilities
- dconf-editor (3.30.2-1)
- simple configuration storage system - graphical editor
- dconf-gsettings-backend (0.30.1-2)
- simple configuration storage system - GSettings back-end
- dconf-service (0.30.1-2)
- simple configuration storage system - D-Bus service
- dcraw (9.28-2)
- decode raw digital camera images
- dctrl-tools (2.24-3)
- Command-line tools to process Debian package information
- dctrl2xml (0.20)
- Debian control data to XML converter
- ddate (0.2.2-1+b1)
- convert Gregorian dates to Discordian dates
- ddcci-dkms (0.3.2-1)
- DDC/CI driver
- ddccontrol (0.4.4-1)
- program to control monitor parameters
- ddccontrol-db (20180602-1)
- monitor database for ddccontrol
- ddclient (3.8.3-1.1)
- address updating utility for dynamic DNS services
- ddcutil (0.9.2-1)
- Control monitor settings
- ddd (1:3.3.12-5.1+b2)
- The Data Display Debugger, a graphical debugger frontend
- ddd-doc (1:3.3.12-5.1)
- Additional documentation for the Data Display Debugger
- dde-calendar (1.2.6-1)
- Deepin Calendar
- dde-qt5integration (0.3.7.2-1)
- Qt5 theme integration for Deepin application
- ddgr (1.6-1)
- DuckDuckGo from the terminal
- ddir (2016.1029+gitce9f8e4-1)
- display hierarchical directory tree
- ddnet (11.8-1)
- Teeworlds modification with a unique cooperative gameplay
- ddnet-data (11.8-1)
- Data for DDNet
- ddnet-server (11.8-1)
- Server for DDNet
- ddnet-tools (11.8-1)
- Tools for DDNet
- ddns3-client (1.8-13)
- Issues dynamic DNS v3 requests
- ddpt (0.95-1)
- Copies disks, partitions and files.
- ddrescueview (0.4~alpha3-3)
- graphical viewer for GNU ddrescue map files
- ddrutility (2.8-1)
- set of data recovery utilities for use with GNU ddrescue
- dds2tar (2.5.2-7+b1)
- Tools for using DDS features of DAT drives with GNU tar
- ddskk (16.2-7)
- efficient Japanese input system for emacsen
- ddtc (0.17.2)
- Deal with ddts mails
- ddupdate (0.6.1-2)
- Tool updating DNS data for dynamic IP addresses
- deal (3.1.9-11)
- bridge hand generator
- dealer (20161012-4)
- bridge hand generator
- deap-doc (1.0.2.post2-6)
- Distributed Evolutionary Algorithms in Python (docs)
- deb-gview (0.2.11+b1)
- GNOME viewer for .deb package files and contents
- debarchiver (0.11.3)
- tool to handle Debian package archives
- debaux (0.1.12-1)
- Debian Auxiliary Programs
- debaux-debconf (0.1.12-1)
- Perl Interface to Debconf
- debcargo (2.2.10-1)
- Create a Debian package from a Cargo crate
- debci (2.0)
- continuous integration system for Debian
- debci-collector (2.0)
- continuous integration system for Debian (collector daemon)
- debci-worker (2.0)
- continuous integration system for Debian (worker daemon)
- debconf (1.5.71+deb10u1)
- Debian configuratie-beheersysteem
- debconf-2.0
- virtueel pakket geboden door debconf, cdebconf, cdebconf-udeb
- debconf-doc (1.5.71+deb10u1)
- debconf documentatie
- debconf-i18n (1.5.71+deb10u1)
- complete internationalisatie ondersteuning voor debconf
- debconf-kde-data (1.0.3-1)
- Debconf KDE data files
- debconf-kde-helper (1.0.3-1)
- Debconf KDE GUI frontend tool
- debconf-utils (1.5.71+deb10u1)
- debconf hulpmiddelen
- debdate (0.20170714-1)
- Convert Gregorian dates to Debian Regnal dates
- debdelta (0.62)
- diff and patch utilities which work with Debian packages
- debdelta-doc (0.62)
- diff and patch utilities which work with Debian packages
- debdry (0.2.2-1)
- Semi-assisted automatic Debian packaging
- debfoster (2.7-2.1+b1)
- Installeer slechts gewenste Debian pakketten
- debhelper (12.1.1)
- helper programs for debian/rules
- debhelper-compat (= 10)
- virtueel pakket geboden door debhelper
- debhelper-compat (= 11)
- virtueel pakket geboden door debhelper
- debhelper-compat (= 12)
- virtueel pakket geboden door debhelper
- debhelper-compat (= 9)
- virtueel pakket geboden door debhelper
- debian-archive-keyring (2019.1+deb10u2) [security]
- GnuPG archiefsleutels van het Debian archief
- debian-archive-keyring-udeb (2019.1+deb10u1)
- GnuPG keys of the Debian archive
- debian-astro-logo (2.0)
- Debian Astronomy Pure Blends Logo
- debian-builder (1.8)
- Rebuild Debian packages from source code
- debian-cd (3.1.25)
- Tools for building (Official) Debian CD set
- debian-cloud-images (0.0.1)
- tool used by the Debian Cloud Team to create official cloud images
- debian-dad (1)
- automated source package updater assistant
- debian-edu-artwork (2.10.5-1)
- Debian Edu themes and artwork
- debian-edu-artwork-buster (2.10.5-1)
- Debian Edu Buster themes and artwork
- debian-edu-artwork-lines (2.10.5-1)
- Debian Edu Lines (jessie) themes and artwork
- debian-edu-artwork-softwaves (2.10.5-1)
- Debian Edu Softwaves (stretch) themes and artwork
- debian-edu-artwork-spacefun (20181204-2)
- Debian Edu Spacefun (squeeze) themes and artwork
- debian-edu-config (2.10.65+deb10u8)
- Configuratibestanden voor Skolelinux-systemen
- debian-edu-doc-da (2.10.19~deb10u1)
- Danish documentation from the Debian Edu project
- debian-edu-doc-de (2.10.19~deb10u1)
- German documentation from the Debian Edu project
- debian-edu-doc-en (2.10.19~deb10u1)
- English documentation from the Debian Edu project
- debian-edu-doc-es (2.10.19~deb10u1)
- Spanish documentation from the Debian Edu project
- debian-edu-doc-fr (2.10.19~deb10u1)
- French documentation from the Debian Edu project
- debian-edu-doc-it (2.10.19~deb10u1)
- Italian documentation from the Debian Edu project
- debian-edu-doc-ja (2.10.19~deb10u1)
- Japanese documentation from the Debian Edu project
- debian-edu-doc-nb (2.10.19~deb10u1)
- Bokmål documentation from the Debian Edu project
- debian-edu-doc-nl (2.10.19~deb10u1)
- Dutch documentation from the Debian Edu project
- debian-edu-doc-zh (2.10.19~deb10u1)
- Chinese documentation from the Debian Edu project
- debian-edu-install (2.10.21)
- d-i waarden instellen om Debian Edu vragenvrij te installeren
- debian-edu-install-udeb (2.10.21)
- Execute Debian Edu debian-installer profile
- debian-edu-profile-udeb (2.10.21)
- Choose Debian Edu profile
- debian-el (37.8)
- Transition package, debian-el to elpa-debian-el
- debian-faq (9.0)
- Debian Frequently Asked Questions
- debian-faq-de (9.0)
- Debian Frequently Asked Questions, in German
- debian-faq-fr (9.0)
- Debian Frequently Asked Questions, in French
- debian-faq-it (9.0)
- Debian Frequently Asked Questions, in Italian
- debian-faq-nl (9.0)
- Debian Frequently Asked Questions, in Dutch
- debian-faq-ru (9.0)
- Debian Frequently Asked Questions, in Russian
- debian-faq-zh-cn (9.0)
- Debian Frequently Asked Questions, in Chinese
- debian-goodies (0.84)
- Small toolbox-style utilities for Debian systems
- debian-handbook (8.20180830)
- reference book for Debian users and system administrators
- debian-history (2.23)
- Short History of the Debian Project
- debian-installer (20190702+deb10u13)
- Debian Installer documentation
- debian-installer-10-netboot-amd64 (20190702+deb10u13)
- Debian-installer network boot images for amd64
- debian-installer-10-netboot-arm64 (20190702+deb10u13)
- Debian-installer network boot images for arm64
- debian-installer-10-netboot-armel (20190702+deb10u13)
- Debian-installer network boot images for armel
- debian-installer-10-netboot-armhf (20190702+deb10u13)
- Debian-installer network boot images for armhf
- debian-installer-10-netboot-i386 (20190702+deb10u13)
- Debian-installer network boot images for i386
- debian-installer-10-netboot-mips (20190702+deb10u13)
- Debian-installer network boot images for mips
- debian-installer-10-netboot-mips64el (20190702+deb10u13)
- Debian-installer network boot images for mips64el
- debian-installer-10-netboot-mipsel (20190702+deb10u13)
- Debian-installer network boot images for mipsel
- debian-installer-10-netboot-ppc64el (20190702+deb10u13)
- Debian-installer network boot images for ppc64el
- debian-installer-launcher (34)
- Debian Installer desktop launcher
- debian-installer-netboot
- virtueel pakket geboden door debian-installer-10-netboot-armel, debian-installer-10-netboot-arm64, debian-installer-10-netboot-mips, debian-installer-10-netboot-mips64el, debian-installer-10-netboot-ppc64el, debian-installer-10-netboot-armhf, debian-installer-10-netboot-mipsel, debian-installer-10-netboot-amd64, debian-installer-10-netboot-i386
- debian-installer-netboot-amd64
- virtueel pakket geboden door debian-installer-10-netboot-amd64
- debian-installer-netboot-arm64
- virtueel pakket geboden door debian-installer-10-netboot-arm64
- debian-installer-netboot-armel
- virtueel pakket geboden door debian-installer-10-netboot-armel
- debian-installer-netboot-armhf
- virtueel pakket geboden door debian-installer-10-netboot-armhf
- debian-installer-netboot-i386
- virtueel pakket geboden door debian-installer-10-netboot-i386
- debian-installer-netboot-mips
- virtueel pakket geboden door debian-installer-10-netboot-mips
- debian-installer-netboot-mips64el
- virtueel pakket geboden door debian-installer-10-netboot-mips64el
- debian-installer-netboot-mipsel
- virtueel pakket geboden door debian-installer-10-netboot-mipsel
- debian-installer-netboot-ppc64el
- virtueel pakket geboden door debian-installer-10-netboot-ppc64el
- debian-kernel-handbook (1.0.19)
- reference to Debian Linux kernel packages and development
- debian-kernel-handbook-ja (1.0.19)
- reference to Debian Linux kernel packages and development (Japanese)
- debian-keyring (2019.02.25)
- GnuPG keys of Debian Developers and Maintainers
- debian-lan-config (0.25+deb10u1)
- FAI config space for the Debian-LAN system
- debian-maintainers
- virtueel pakket geboden door debian-keyring
- debian-mate-default-settings (1.20.2-1)
- Default settings for MATE on Debian
- debian-paketmanagement-buch (0~2019.03.01)
- book about Debian package management written in German
- debian-policy (4.3.0.3)
- Debian Policy Manual and related documents
- debian-policy-ja (4.3.0.3)
- Debian Policy Manual and related documents (Japanese)
- debian-ports-archive-keyring (2019.11.05~deb10u1)
- GnuPG archive keys of the debian-ports archive
- debian-ports-archive-keyring-udeb (2019.11.05~deb10u1)
- GnuPG keys of the debian-ports archive
- debian-refcard (10.4)
- printable reference card for the Debian system
- debian-reference (2.76)
- metapackage to install (all) translations of Debian Reference
- debian-reference-common (2.76)
- Debian system administration guide, common files
- debian-reference-de (2.76)
- Debian system administration guide, German translation
- debian-reference-en (2.76)
- Debian system administration guide, English original
- debian-reference-es (2.76)
- Debian system administration guide, Spanish translation
- debian-reference-fr (2.76)
- Debian system administration guide, French translation
- debian-reference-it (2.76)
- Debian system administration guide, Italian translation
- debian-reference-ja (2.76)
- Debian system administration guide, Japanese translation
- debian-reference-pt (2.76)
- Debian system administration guide, Portuguese translation
- debian-reference-zh-cn (2.76)
- Debian system administration guide, Chinese (Simplified) translation
- debian-reference-zh-tw (2.76)
- Debian system administration guide, Chinese (Traditional) translation
- debian-security-support (1:10+2024.01.31) [security]
- Debian security support coverage checker
- debian-timeline (42)
- Web-based timeline of the Debian Project
- debian-xcontrol (0.0.4-1.1+b6)
- Extended syntax for debian/control files
- debiandoc-sgml (1.2.32-2)
- DebianDoc SGML DTD and formatting tools
- debiandoc-sgml-doc (1.1.25)
- Documentation for DebianDoc-SGML
- debiandoc-sgml-doc-pt-br (1.1.13)
- Documentation for DebianDoc-SGML in Brazilian Portuguese
- debianutils (4.8.6.1)
- Miscellaneous utilities specific to Debian
- debichem-analytical-biochemistry (0.0.8)
- DebiChem Analytical BioChemistry
- debichem-cheminformatics (0.0.8)
- DebiChem Cheminformatics
- debichem-crystallography (0.0.8)
- DebiChem crystallography
- debichem-development (0.0.8)
- DebiChem C/C++/Fortran Development
- debichem-input-generation-output-processing (0.0.8)
- DebiChem input preparation and output processing
- debichem-molecular-abinitio (0.0.8)
- DebiChem Molecular Ab Initio Calculations
- debichem-molecular-dynamics (0.0.8)
- DebiChem Molecular Dynamics
- debichem-molecular-modelling (0.0.8)
- DebiChem 3D Molecular Modelling and Visualization
- debichem-periodic-abinitio (0.0.8)
- DebiChem Periodic Ab Initio Calculations
- debichem-semiempirical (0.0.8)
- DebiChem Semi Empirical
- debichem-tasks (0.0.8)
- DebiChem tasks for tasksel
- debichem-view-edit-2d (0.0.8)
- DebiChem chemical formular/structure editors
- debichem-visualisation (0.0.8)
- DebiChem 3D Viewers
- debiman (0.0~git20180905.9955035-1+b11)
- generate a static manpage HTML repository out of a Debian archive
- debirf (0.38)
- build a kernel and initrd to run Debian from RAM
- debmake (4.3.1-1)
- helper script to make the Debian source package
- debmake-doc (1.14-1)
- Guide for Debian Maintainers
- debmirror (1:2.32)
- Debian partial mirror script, with ftp and package pool support
- debocker (0.2.2)
- docker-powered package builder for Debian
- debomatic (0.24-1)
- automatic build machine for Debian source packages
- debootstick (2.4)
- Turn a chroot environment into a bootable image
- debootstrap (1.0.114+deb10u1)
- Bootstrap a basic Debian system
- debootstrap-udeb (1.0.114+deb10u1)
- Bootstrap the Debian system
- deborphan (1.7.31)
- program that can find unused packages, e.g. libraries
- debos (1.0.0+git20190123.d6e16be-1+b1)
- Debian OS builder
- debpartial-mirror (0.3.1+nmu1)
- tools to create partial Debian mirrors
- debpear (0.5)
- automatically builds and installs PEAR package as Debian packages
- debram (2.1.0)
- ramified catalog of available commands
- debram-data (2.1.0)
- debram's architecture-independent data
- debroster (1.18)
- A package for use at expos.
- debsecan (0.4.19)
- Debian Security Analyzer
- debsig-verify (0.19+b10)
- Debian package signature verification tool
- debsigs (0.1.24)
- toolset for cryptographically signing Debian packages
- debspawn (0.2.1-1)
- Build in nspawn containers
- debsums (2.2.3)
- tool for verification of installed package files against MD5 checksums
- debtags (2.1.5)
- Debian Package Tags support tools
- debtree (1.0.10+nmu1)
- package dependency graphs on steroids
- debuerreotype (0.9-1)
- reproducible, snapshot-based Debian rootfs builder
- debug-me (1.20181208-2)
- secure remote debugging
- debug-me-server (1.20181208-2)
- run a debug-me server for secure remote debugging
- debugedit (4.14.2.1+dfsg1-1)
- tool to mangle source locations in .debug files
- decopy (0.2.4.1-2)
- Automatic debian/copyright Generator
- dee-tools (1.2.7+17.10.20170616-4)
- Model to synchronize multiple instances over DBus - tooling
- deepin-calculator (1.0.10-1)
- Calculator for DDE (Deepin Desktop Environment)
- deepin-deb-installer (1.3.0-1)
- Deepin Package Manager
- deepin-gettext-tools (1.0.8-4)
- Deepin Internationalization utilities
- deepin-icon-theme (15.12.68-1)
- Icon Theme for Deepin software and Deepin Desktop Environment
- deepin-image-viewer (1.3.8-1)
- Image Viewer for Deepin Desktop Environment
- (3.4.1-1)
- Deepin menu service
- deepin-movie (3.2.20-1)
- Deepin movie player
- deepin-music (3.1.14+ds-1)
- music player with brilliant and tweakful UI
- deepin-notifications (3.3.4-1)
- System notifications for Deepin Desktop Environment
- deepin-picker (1.6.4-1)
- Color picker tool for deepin
- deepin-screen-recorder (2.7.7-1)
- Simple recorder tools for deepin
- deepin-screenshot (4.1.8-1)
- Advanced screen shoting tool
- deepin-shortcut-viewer (1.3.5-2)
- Pop-up shortcut viewer for Deepin applications
- deepin-terminal (3.2.1.1+ds1-1)
- Deepin terminal emulator application
- deepin-voice-recorder (1.3.9-1)
- Deepin's Voice recorder
- deepnano (0.0+git20170813.e8a621e-3)
- alternative basecaller for MinION reads of genomic sequences
- deepnano-data (0.0+git20170813.e8a621e-3)
- alternative basecaller for MinION reads of genomic sequences (data)
- deets (0.3.1-1+b10)
- decentralized model-based administration tool
- default-d-compiler (0.6.2)
- Default D compiler (metapackage)
- default-dbus-session-bus
- virtueel pakket geboden door dbus-user-session
- default-jdk (2:1.11-71)
- Standard Java or Java compatible Development Kit
- default-jdk-doc (2:1.11-71)
- Standard Java or Java compatible Development Kit (documentation)
- default-jdk-headless (2:1.11-71)
- Standard Java or Java compatible Development Kit (headless)
- default-jre (2:1.11-71)
- Standard Java or Java compatible Runtime
- default-jre-headless (2:1.11-71)
- Standard Java or Java compatible Runtime (headless)
- default-libmysqlclient-dev (1.0.5)
- MySQL database development files (metapackage)
- default-libmysqld-dev (1.0.5)
- MySQL embedded database development files (metapackage)
- default-logind (= 241-7~deb10u10)
- virtueel pakket geboden door libpam-systemd
- default-logind (= 241-7~deb10u8)
- virtueel pakket geboden door libpam-systemd
- default-mta
- virtueel pakket geboden door exim4-daemon-light
- default-mysql-client (1.0.5)
- MySQL database client binaries (metapackage)
- default-mysql-client-core (1.0.5)
- MySQL database core client binaries (metapackage)
- default-mysql-server (1.0.5)
- MySQL database server binaries and system database setup (metapackage)
- default-mysql-server-core (1.0.5)
- MySQL database server binaries (metapackage)
- defendguin (0.0.12-7)
- defender clone with penguins
- defendguin-data (0.0.12-7)
- Data files for defendguin
- (1.6-4)
- Find and remove unnecessary includes in C or C++ source files
- dehydrated (0.6.2-2+deb10u1)
- ACME client implemented in Bash
- dehydrated-apache2 (0.6.2-2+deb10u1)
- dehydrated challenge response support for Apache2
- dehydrated-hook-ddns-tsig (0.1.4-3)
- dehydrated dns-01 challenge response support
- deja-dup (38.3-1)
- Backup utility
- dejagnu (1.6.2-1)
- framework for running test suites on software tools
- deken (0.5.1-1)
- Externals wrangler for Pure Data - upload utility
- delaboratory (0.8-2+b2)
- unique image postprocessing application
- delay (1.0-2)
- Constant delay generator
- delly (0.8.1-2)
- Structural variant discovery by read analysis
- delta (2006.08.03-8)
- heuristic minimizer of interesting files
- deltarpm (3.6+dfsg-1+b7)
- Tools to create and apply deltarpms
- deluge (1.3.15-2)
- bittorrent client written in Python/PyGTK
- deluge-common (1.3.15-2)
- bittorrent client written in Python/PyGTK (common files)
- deluge-console (1.3.15-2)
- bittorrent client written in Python/PyGTK (console ui)
- deluge-gtk (1.3.15-2)
- bittorrent client written in Python/PyGTK (GTK+ ui)
- deluge-torrent (1.3.15-2)
- BitTorrent-client (overgangspakket voor GTK-interface)
- deluge-web (1.3.15-2)
- bittorrent client written in Python/PyGTK (web ui)
- deluge-webui (1.3.15-2)
- BitTorrent-client (overgangspakket voor web-interface)
- deluged (1.3.15-2)
- bittorrent client written in Python/PyGTK (daemon)
- denemo (2.2.0-1)
- GTK+ front end to GNU Lilypond
- denemo-data (2.2.0-1)
- data for denemo
- denemo-doc (2.2.0-1)
- documentation and examples for denemo
- depqbf (5.01-3)
- solver for quantified boolean formulae
- deps-tools-cli (0.13-4)
- DEPS command-line tools
- derby-doc (10.14.2.0-1)
- Apache Derby API documentation and examples
- derby-tools (10.14.2.0-1)
- Apache Derby Tools
- derivations (0.56.20180123.1-2)
- book: Derivations of Applied Mathematics
- design-data (3.0.12)
- recipes to install Debian Design blends
- design-desktop (3.0.12)
- Debian Design desktop for visual designers - common parts
- design-desktop-animation (3.0.12)
- Debian Design desktop for animation designers
- design-desktop-graphics (3.0.12)
- Debian Design desktop for graphics designers
- design-desktop-strict (3.0.12)
- Debian Design desktop for visual designers - strict
- design-desktop-web (3.0.12)
- Debian Design desktop for web designers
- designate (1:7.0.0-2)
- OpenStack DNS as a Service - metapackage
- designate-agent (1:7.0.0-2)
- OpenStack DNS as a Service - agent
- designate-api (1:7.0.0-2)
- OpenStack DNS as a Service - API server
- designate-central (1:7.0.0-2)
- OpenStack DNS as a Service - central daemon
- designate-common (1:7.0.0-2)
- OpenStack DNS as a Service - common files
- designate-doc (1:7.0.0-2)
- OpenStack DNS as a Service - doc
- designate-mdns (1:7.0.0-2)
- OpenStack DNS as a Service - mdns
- designate-pool-manager (1:7.0.0-2)
- OpenStack DNS as a Service - pool manager
- designate-producer (1:7.0.0-2)
- OpenStack DNS as a Service - producer
- designate-sink (1:7.0.0-2)
- OpenStack DNS as a Service - sink
- designate-worker (1:7.0.0-2)
- OpenStack DNS as a Service - worker
- designate-zone-manager (1:7.0.0-2)
- OpenStack DNS as a Service - zone manager
- desklaunch (1.1.8+b2)
- A small utility for creating desktop icons
- (1.4.5+b1 [armhf], 1.4.5 [amd64, arm64, i386])
- A root menu for X11 window managers
- desktop-autoloader (0.0.4-1)
- Accelerate Diskless Workstation systems by pre-loading a dummy Desktop Session
- desktop-base (10.0.2)
- common files for the Debian Desktop
- desktop-file-utils (0.23-4)
- Utilities for .desktop files
- desktop-profiles (1.4.30)
- framework for setting up desktop profiles
- desktopfolder (1.0.10-1)
- Organize your desktop with panels, notes and photos
- desmume (0.9.11-3)
- Nintendo DS emulator
- desproxy (0.1.0~pre3-10)
- tunnel TCP traffic through a HTTP proxy
- detachtty (11.0.0-2)
- Utility to connect to detached interactive programs
- detox (1.3.0-4+deb10u1)
- replace problematic characters in filenames
- deutex (5.1.2-1)
- composition tool for doom-style WAD files
- devede (4.8.0-1)
- eenvoudige applicatie om video-dvd's te creëren
- develock-el (0.47-3)
- additional font-lock keywords for the developers on Emacs
- developers-reference (3.4.25)
- guidelines and information for Debian developers
- developers-reference-de (3.4.25)
- guidelines and information for Debian developers, in German
- developers-reference-fr (3.4.25)
- guidelines and information for Debian developers, in French
- developers-reference-it (3.4.25)
- guidelines and information for Debian developers, in Italian
- developers-reference-ja (3.4.25)
- guidelines and information for Debian developers, in Japanese
- developers-reference-ru (3.4.25)
- guidelines and information for Debian developers, in Russian
- devhelp (3.30.1-1)
- hulpprogramma voor GNOME-ontwikkelaars
- devhelp-common (3.30.1-1)
- Common files for devhelp and its library
- device-tree-compiler (1.4.7-4)
- Device Tree Compiler for Flat Device Trees
- devilspie (0.23-2+b1)
- find windows and perform actions on them
- devilspie2 (0.43-3)
- Lua-based window matching utility
- devio (1.2-1.2+b1)
- correctly read (or write) a region of a block device
- devio-udeb (1.2-1.2+b1)
- correctly read (or write) a region of a block device
- devmon
- virtueel pakket geboden door udevil
- devrplay3 (3.3.2-17)
- rplay network audio system - basic library
- devscripts (2.19.5+deb10u1)
- scripts to make the life of a Debian Package maintainer easier
- devscripts-el (40.3)
- Transition package, devscripts-el to elpa-devscripts
- devtodo (0.1.20-6.1+b1)
- hierarchical, prioritised todo list manager
- dex (0.8.0-2)
- generate and execute Application type .desktop files
- dexdump (8.1.0+r23-3)
- Displays information about Android DEX files
- dexlist (8.1.0+r23-3)
- Lists all methods in all concrete classes in Android DEX files
- dfc (3.1.1-1)
- display file system usage using graph and colors
- dfcgen-gtk (0.4-3)
- Digital Filter Coefficients Generator (DFCGen) GTK+
- dfu-programmer (0.6.1-1+b1)
- device firmware update (DFU) based USB programmer for Atmel chips
- dfu-util (0.9-1)
- Device firmware update (DFU) USB programmer
- dgedit (0~git20160401-1)
- drum kit editor for DrumGizmo
- dgen (1.23-12) [non-free]
- Sega Genesis/MegaDrive emulator
- dgit (8.5)
- git interoperability with the Debian archive
- dgit-infrastructure (8.5)
- dgit server backend infrastructure
- dh-acc (2.3-0.2)
- debhelper addon to compare ABI compatibility of shared C/C++ library versions
- dh-ada-library (6.15)
- Debian helper for Ada libraries
- dh-apache2
- virtueel pakket geboden door apache2-dev
- dh-apparmor (2.13.2-10)
- AppArmor debhelper routines
- dh-autoreconf (19)
- debhelper add-on to call autoreconf and clean up after the build
- dh-buildinfo (0.11+nmu2)
- Debhelper addon to track package versions used to build a package
- dh-cargo (17)
- debhelper buildsystem for Rust crates using Cargo
- dh-consoledata (0.7.90+deb10u1)
- debhelper-based script to help packaging console data files
- dh-di (9)
- Debhelper addon for debian-installer
- dh-dist-zilla (1.3.7)
- debhelper add-on to call dzil build and clean up after the build
- dh-dlang (0.6.2)
- Packaging helpers for building D code
- dh-elpa (1.16)
- Debian helper tools for packaging emacs lisp extensions
- dh-exec (0.23.1)
- Scripts to help with executable debhelper files
- dh-fortran-mod (0.12)
- debhelper add-on to handle Fortran '.mod' files
- dh-golang (1.39)
- debhelper add-on for packaging software written in Go (golang)
- dh-linktree (0.6)
- Create symlink trees within a Debian package
- dh-lisp (0.7.2)
- Debhelper to support Common Lisp related packages
- dh-lua (25)
- helper tools for maintaining Lua-related packages
- dh-make (2.201802)
- programma dat broncode-archieven omzet in Debian-pakket-broncode
- dh-make-elpa (0.16)
- helper for creating Debian packages from ELPA packages
- dh-make-golang (0.0~git20180827.d94f0cb-1+b21)
- tool that converts Go packages into Debian package source
- dh-make-perl (0.105)
- helper for creating Debian packages from perl modules
- dh-metainit (0.0.5)
- Debhelper addon to install and register a metainit file
- dh-ocaml (1.1.0)
- helper tools for maintaining OCaml-related Debian packages
- dh-octave (0.6.2)
- Debhelper-based infrastructure for building Octave add-on packages
- dh-octave-autopkgtest (0.6.2)
- script for the automatic testing of Octave add-on packages
- dh-perl6 (0.2)
- debhelper add-on to simplify Perl 6 package building
- dh-php (0.34)
- debhelper add-on to handle PHP PECL extensions
- dh-python (3.20190308)
- Debian helper tools for packaging Python libraries and applications
- dh-r (20190121)
- Debian helper tools for packaging R libraries
- dh-rebar (0.0.4)
- helper tools for maintaining Erlang package which is using rebar
- dh-runit (2.8.6)
- debhelper add-on to handle runit runscripts
- dh-sequence-cli
- virtueel pakket geboden door cli-common-dev
- dh-sequence-dwz
- virtueel pakket geboden door debhelper
- dh-sequence-gir
- virtueel pakket geboden door gobject-introspection
- dh-sequence-gnome
- virtueel pakket geboden door gnome-pkg-tools
- dh-sequence-installinitramfs
- virtueel pakket geboden door debhelper
- dh-sequence-perl-dbi
- virtueel pakket geboden door libdbi-perl
- dh-sequence-perl-imager
- virtueel pakket geboden door libimager-perl
- dh-sequence-pypy
- virtueel pakket geboden door dh-python
- dh-sequence-python2
- virtueel pakket geboden door dh-python
- dh-sequence-python3
- virtueel pakket geboden door dh-python
- dh-sequence-scour
- virtueel pakket geboden door scour
- dh-sequence-systemd
- virtueel pakket geboden door debhelper
- dh-strip-nondeterminism (1.1.2-1)
- file non-deterministic information stripper — Debhelper add-on
- dh-systemd (12.1.1)
- debhelper add-on to handle systemd unit files - transitional package
- dh-sysuser (1.3.3)
- debhelper addon to handle creation of system users
- dh-vim-addon (0.2)
- debhelper addon to help package Vim/Neovim addons
- dh-virtualenv (1.1-1)
- wrap and build python packages using virtualenv
- dh-xsp (4.2-2.1)
- debhelper add-on to handle ASP.NET sites
- dhcp-client
- virtueel pakket geboden door dhcpcd5, dhcpcanon, isc-dhcp-client
- dhcp-helper (1.2-1+b1)
- A DHCP relay agent
- dhcp-probe (1.3.0-10.1+b1)
- network DHCP or BootP server discover
- dhcpcanon (0.8.5-2)
- DHCP client disclosing less identifying information
- dhcpcd-dbus (0.6.0-1.1+b2)
- DBus bindings for dhcpcd
- dhcpcd-gtk (0.6.0-1.1+b1)
- GTK+ frontend for dhcpcd and wpa_supplicant
- dhcpcd5 (7.1.0-2)
- DHCPv4, IPv6RA and DHCPv6 client with IPv4LL support
- dhcpd
- virtueel pakket geboden door udhcpd
- dhcpd-pools (2.29-1.1)
- ISC dhcpd lease analysis and reporting tool
- dhcpdump (1.8-2.2)
- Parse DHCP packets from tcpdump
- dhcpig (1.5-2)
- DHCP exhaustion script using scapy network library
- dhcping (1.2-4.2)
- DHCP Daemon Ping Program
- dhcpstarv (0.2.2-2)
- DHCP starvation utility
- dhcpy6d (0.4.3-1)
- MAC address aware DHCPv6 server written in Python
- dhewm3 (1.5.0+git20181221+dfsg-1) [contrib]
- GPL Doom 3 game engine
- dhewm3-d3xp (1.5.0+git20181221+dfsg-1) [contrib]
- dhewm3's gamelibrary for the title DOOM³: Resurrection of Evil
- dhewm3-doom3 (1.5.0+git20181221+dfsg-1) [contrib]
- dhewm3's gamelibrary for the title DOOM³
- dhex (0.69-1)
- ncurses based hex editor with diff mode
- dhid
- virtueel pakket geboden door dhis-client
- dhis-client (5.5-5+b1)
- Dynamic Host Information System - client
- dhis-dns-engine (5.3-2+b1)
- Dynamic Host Information System - DNS engine
- dhis-mx-sendmail-engine (5.0-3+b1)
- Dynamic Host Information System - sendmail MX engine
- dhis-server (5.3-2.1+b2)
- Dynamic Host Information System - server
- dhis-tools-dns (5.0-8+b1)
- Dynamic Host Information System - DNS configuration tools
- dhis-tools-genkeys (5.0-8+b1)
- Dynamic Host Information System - key generation tools
- dhtnode (1.8.1-1)
- OpenDHT node binary
- di (4.47-1)
- advanced df like disk information utility
- di-netboot-assistant (0.62)
- Debian-Installer netboot assistant
- di-utils (1.132+deb10u1)
- Miscellaneous utilities for the debian installer
- di-utils-exit-installer (1.132+deb10u1)
- Exit installer
- di-utils-mapdevfs (1.132+deb10u1)
- mapdevfs utility for the debian installer
- di-utils-reboot (1.132+deb10u1)
- Reboot
- di-utils-shell (1.132+deb10u1)
- Execute a shell
- di-utils-terminfo (1.132+deb10u1)
- Terminfo entries needed by newt/slang in debian installer
- dia (0.97.3+git20160930-8.1)
- Diagram editor
- dia-common (0.97.3+git20160930-8.1)
- Diagram editor (common files)
- dia-rib-network (0.1-2)
- network icons scheme for Dia
- dia-shapes (0.6.0-3)
- Diagram editor (additional shapes)
- dia2code (0.8.3-4+b2)
- a dia-UML code generator
- dialign (2.2.1-10)
- Segment-based multiple sequence alignment
- dialign-tx (1.0.2-12)
- Segment-based multiple sequence alignment
- dialign-tx-data (1.0.2-12)
- Segment-based multiple sequence alignment (data files)
- dialog (1.3-20190211-1)
- Displays user-friendly dialog boxes from shell scripts
- diamond (4.0.515-5)
- smart data producer for Graphite graphing package
- diamond-aligner (0.9.24+dfsg-1)
- accelerated BLAST compatible local sequence aligner
- dianara (1.4.2-1)
- client for the pump.io federated social network
- diaspora-common (0.7.6.1+debian1+deb10u1)
- distributed social networking service - common files
- diaspora-installer (0.7.6.1+debian1+deb10u1) [contrib]
- distributed social networking service - installer
- diaspora-installer-mysql (0.7.6.1+debian1+deb10u1) [contrib]
- distributed social networking service - installer (with MySQL)
- diatheke (1.8.1+dfsg-8+b1)
- command line bible browsing and search tool
- dibbler-client (1.0.1-1+b1)
- portable DHCPv6 client
- dibbler-client-dbg (1.0.1-1+b1)
- portable DHCPv6 client
- dibbler-doc (1.0.1-1)
- documentation for Dibbler
- dibbler-relay (1.0.1-1+b1)
- portable DHCPv6 relay
- dibbler-relay-dbg (1.0.1-1+b1)
- portable DHCPv6 relay
- dibbler-server (1.0.1-1+b1)
- portable DHCPv6 server
- dibbler-server-dbg (1.0.1-1+b1)
- portable DHCPv6 server
- dicelab (0.7-5)
- evaluate the statistical distribution of dice rolls
- diceware (0.9.6-1)
- Create memorizable passphrases from wordlists and various sources of randomness
- diceware-doc (0.9.6-1)
- Create memorizable passphrases from wordlists and various sources of randomness
- dico (2.7-2)
- RFC 2229 compliant dictionary client
- dico-dev (2.7-2)
- RFC 2229 compliant modular dictionary server (development files)
- dico-doc (2.7-2)
- RFC 2229 compliant modular dictionary server (documentation)
- dico-module-guile (2.7-2)
- RFC 2229 compliant modular dictionary server (Guile module)
- dico-module-mediawiki (2.7-2)
- RFC 2229 compliant modular dictionary server (mediawiki module)
- dico-module-python (2.7-2)
- RFC 2229 compliant modular dictionary server (Python module)
- dico-module-wordnet (2.7-2)
- RFC 2229 compliant modular dictionary server (WordNet module)
- dicod (2.7-2)
- RFC 2229 compliant modular dictionary server
- dicom3tools (1.00~20180803063840-1)
- DICOM medical image files manipulation and conversion tools
- dicomnifti (2.33.1-1)
- converts DICOM files into the NIfTI format
- dicompyler (0.4.2.0-2)
- radiation therapy research platform
- dicomscope (3.6.0-20)
- OFFIS DICOM Viewer
- dicomscope-doc (3.6.0-20)
- OFFIS DICOM Viewer - documentation
- dicoweb (2.7-2)
- RFC 2229 compliant modular dictionary server (web interface)
- dict (1.12.1+dfsg-8)
- dictionary client
- dict-bouvier (6.revised-3.3)
- John Bouvier's Law Dictionary for the USA
- dict-client
- virtueel pakket geboden door dictem, jed-extra, dico, xfce4-dict, lookup-el, dict, elpa-dictionary, gnome-dictionary
- dict-de-en (1.8.1-7)
- German-English translation dictionary for dictd
- dict-devil (1.0-13)
- "The Devil's Dictionary" by Ambrose Bierce
- dict-elements (20001107-a-9)
- Data regarding the Elements
- dict-foldoc (20181230-1)
- FOLDOC dictionary database
- dict-freedict-afr-deu (2018.10.21-3)
- Afrikaans-German dictionary for the dict server/client
- dict-freedict-afr-eng (2018.10.21-3)
- Afrikaans-English dictionary for the dict server/client
- dict-freedict-ara-eng (2018.10.21-3)
- Arabic-English dictionary for the dict server/client
- dict-freedict-bre-fra (2018.10.21-3)
- Breton-French dictionary for the dict server/client
- dict-freedict-ces-eng (2018.10.21-3)
- Czech-English dictionary for the dict server/client
- dict-freedict-ckb-kmr (2018.10.21-3)
- Central Kurdish-Northern Kurdish dictionary for the dict server/client
- dict-freedict-cym-eng (2018.10.21-3)
- Welsh-English dictionary for the dict server/client
- dict-freedict-dan-eng (2018.10.21-3)
- Danish-English dictionary for the dict server/client
- dict-freedict-deu-bul (2018.11.02-2)
- German-Bulgarian dictionary for the dict server/client
- dict-freedict-deu-eng (2018.10.21-3)
- German-English dictionary for the dict server/client
- dict-freedict-deu-fra (2018.11.02-2)
- German-French dictionary for the dict server/client
- dict-freedict-deu-ita (2018.10.21-3)
- German-Italian dictionary for the dict server/client
- dict-freedict-deu-kur (2018.10.21-3)
- German-Kurdish dictionary for the dict server/client
- dict-freedict-deu-nld (2018.10.21-3)
- German-Dutch dictionary for the dict server/client
- dict-freedict-deu-pol (2018.11.02-2)
- German-Polish dictionary for the dict server/client
- dict-freedict-deu-por (2018.10.21-3)
- German-Portuguese dictionary for the dict server/client
- dict-freedict-deu-rus (2018.11.02-2)
- German-Russian dictionary for the dict server/client
- dict-freedict-deu-spa (2018.11.02-2)
- German-Spanish dictionary for the dict server/client
- dict-freedict-deu-swe (2018.11.02-2)
- German-Swedish dictionary for the dict server/client
- dict-freedict-deu-tur (2018.10.21-3)
- German-Turkish dictionary for the dict server/client
- dict-freedict-eng-afr (2018.10.21-3)
- English-Afrikaans dictionary for the dict server/client
- dict-freedict-eng-ara (2018.10.21-3)
- English-Arabic dictionary for the dict server/client
- dict-freedict-eng-bul (2018.11.02-2)
- English-Bulgarian dictionary for the dict server/client
- dict-freedict-eng-ces (2018.10.21-3)
- English-Czech dictionary for the dict server/client
- dict-freedict-eng-cym (2018.10.21-3)
- English-Welsh dictionary for the dict server/client
- dict-freedict-eng-deu (2018.10.21-3)
- English-German dictionary for the dict server/client
- dict-freedict-eng-ell (2018.10.21-3)
- English-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-eng-fin (2018.11.02-2)
- English-Finnish dictionary for the dict server/client
- dict-freedict-eng-fra (2018.10.21-3)
- English-French dictionary for the dict server/client
- dict-freedict-eng-gle (2018.10.21-3)
- English-Irish dictionary for the dict server/client
- dict-freedict-eng-hin (2018.10.21-3)
- English-Hindi dictionary for the dict server/client
- dict-freedict-eng-hrv (2018.10.21-3)
- English-Croatian dictionary for the dict server/client
- dict-freedict-eng-hun (2018.10.21-3)
- English-Hungarian dictionary for the dict server/client
- dict-freedict-eng-ita (2018.10.21-3)
- English-Italian dictionary for the dict server/client
- dict-freedict-eng-jpn (2018.11.02-2)
- English-Japanese dictionary for the dict server/client
- dict-freedict-eng-lat (2018.10.21-3)
- English-Latin dictionary for the dict server/client
- dict-freedict-eng-lit (2018.10.21-3)
- English-Lithuanian dictionary for the dict server/client
- dict-freedict-eng-nld (2018.10.21-3)
- English-Dutch dictionary for the dict server/client
- dict-freedict-eng-pol (2018.10.21-3)
- English-Polish dictionary for the dict server/client
- dict-freedict-eng-por (2018.10.21-3)
- English-Portuguese dictionary for the dict server/client
- dict-freedict-eng-rom (2018.10.21-3)
- English-Romany dictionary for the dict server/client
- dict-freedict-eng-rus (2018.10.21-3)
- English-Russian dictionary for the dict server/client
- dict-freedict-eng-spa (2018.10.21-3)
- English-Spanish dictionary for the dict server/client
- dict-freedict-eng-srp (2018.10.21-3)
- English-Serbian dictionary for the dict server/client
- dict-freedict-eng-swe (2018.10.21-3)
- English-Swedish dictionary for the dict server/client
- dict-freedict-eng-swh (2018.10.21-3)
- English-Swahili (individual language) dictionary for the dict server/client
- dict-freedict-eng-tur (2018.10.21-3)
- English-Turkish dictionary for the dict server/client
- dict-freedict-epo-eng (2018.10.21-3)
- Esperanto-English dictionary for the dict server/client
- dict-freedict-fin-bul (2018.11.02-2)
- Finnish-Bulgarian dictionary for the dict server/client
- dict-freedict-fin-ell (2018.11.02-2)
- Finnish-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-fin-eng (2018.11.02-2)
- Finnish-English dictionary for the dict server/client
- dict-freedict-fin-ita (2018.11.02-2)
- Finnish-Italian dictionary for the dict server/client
- dict-freedict-fin-jpn (2018.11.02-2)
- Finnish-Japanese dictionary for the dict server/client
- dict-freedict-fin-nor (2018.11.02-2)
- Finnish-Norwegian dictionary for the dict server/client
- dict-freedict-fin-por (2018.11.02-2)
- Finnish-Portuguese dictionary for the dict server/client
- dict-freedict-fin-swe (2018.11.02-2)
- Finnish-Swedish dictionary for the dict server/client
- dict-freedict-fra-bre (2018.10.21-3)
- French-Breton dictionary for the dict server/client
- dict-freedict-fra-bul (2018.11.02-2)
- French-Bulgarian dictionary for the dict server/client
- dict-freedict-fra-deu (2018.11.02-2)
- French-German dictionary for the dict server/client
- dict-freedict-fra-ell (2018.11.02-2)
- French-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-fra-eng (2018.10.21-3)
- French-English dictionary for the dict server/client
- dict-freedict-fra-fin (2018.11.02-2)
- French-Finnish dictionary for the dict server/client
- dict-freedict-fra-ita (2018.11.02-2)
- French-Italian dictionary for the dict server/client
- dict-freedict-fra-jpn (2018.11.02-2)
- French-Japanese dictionary for the dict server/client
- dict-freedict-fra-nld (2018.10.21-3)
- French-Dutch dictionary for the dict server/client
- dict-freedict-fra-pol (2018.11.02-2)
- French-Polish dictionary for the dict server/client
- dict-freedict-fra-por (2018.11.02-2)
- French-Portuguese dictionary for the dict server/client
- dict-freedict-fra-rus (2018.11.02-2)
- French-Russian dictionary for the dict server/client
- dict-freedict-fra-spa (2018.11.02-2)
- French-Spanish dictionary for the dict server/client
- dict-freedict-fra-swe (2018.11.02-2)
- French-Swedish dictionary for the dict server/client
- dict-freedict-fra-tur (2018.11.02-2)
- French-Turkish dictionary for the dict server/client
- dict-freedict-gla-deu (2018.10.21-3)
- Scottish Gaelic-German dictionary for the dict server/client
- dict-freedict-gle-eng (2018.10.21-3)
- Irish-English dictionary for the dict server/client
- dict-freedict-gle-pol (2018.10.21-3)
- Irish-Polish dictionary for the dict server/client
- dict-freedict-hrv-eng (2018.10.21-3)
- Croatian-English dictionary for the dict server/client
- dict-freedict-hun-eng (2018.10.21-3)
- Hungarian-English dictionary for the dict server/client
- dict-freedict-isl-eng (2018.10.21-3)
- Icelandic-English dictionary for the dict server/client
- dict-freedict-ita-deu (2018.10.21-3)
- Italian-German dictionary for the dict server/client
- dict-freedict-ita-ell (2018.11.02-2)
- Italian-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-ita-eng (2018.10.21-3)
- Italian-English dictionary for the dict server/client
- dict-freedict-ita-fin (2018.11.02-2)
- Italian-Finnish dictionary for the dict server/client
- dict-freedict-ita-jpn (2018.11.02-2)
- Italian-Japanese dictionary for the dict server/client
- dict-freedict-ita-pol (2018.11.02-2)
- Italian-Polish dictionary for the dict server/client
- dict-freedict-ita-por (2018.11.02-2)
- Italian-Portuguese dictionary for the dict server/client
- dict-freedict-ita-rus (2018.11.02-2)
- Italian-Russian dictionary for the dict server/client
- dict-freedict-ita-swe (2018.11.02-2)
- Italian-Swedish dictionary for the dict server/client
- dict-freedict-jpn-deu (2018.10.21-3)
- Japanese-German dictionary for the dict server/client
- dict-freedict-jpn-eng (2018.10.21-3)
- Japanese-English dictionary for the dict server/client
- dict-freedict-jpn-fra (2018.10.21-3)
- Japanese-French dictionary for the dict server/client
- dict-freedict-jpn-rus (2018.10.21-3)
- Japanese-Russian dictionary for the dict server/client
- dict-freedict-kha-deu (2018.10.21-3)
- Khasi-German dictionary for the dict server/client
- dict-freedict-kha-eng (2018.10.21-3)
- Khasi-English dictionary for the dict server/client
- dict-freedict-kur-deu (2018.10.21-3)
- Kurdish-German dictionary for the dict server/client
- dict-freedict-kur-eng (2018.10.21-3)
- Kurdish-English dictionary for the dict server/client
- dict-freedict-kur-tur (2018.10.21-3)
- Kurdish-Turkish dictionary for the dict server/client
- dict-freedict-lat-deu (2018.10.21-3)
- Latin-German dictionary for the dict server/client
- dict-freedict-lat-eng (2018.10.21-3)
- Latin-English dictionary for the dict server/client
- dict-freedict-lit-eng (2018.10.21-3)
- Lithuanian-English dictionary for the dict server/client
- dict-freedict-mkd-bul (2018.10.21-3)
- Macedonian-Bulgarian dictionary for the dict server/client
- dict-freedict-nld-deu (2018.10.21-3)
- Dutch-German dictionary for the dict server/client
- dict-freedict-nld-eng (2018.10.21-3)
- Dutch-English dictionary for the dict server/client
- dict-freedict-nld-fra (2018.10.21-3)
- Dutch-French dictionary for the dict server/client
- dict-freedict-nld-ita (2018.11.02-2)
- Dutch-Italian dictionary for the dict server/client
- dict-freedict-nld-spa (2018.11.02-2)
- Dutch-Spanish dictionary for the dict server/client
- dict-freedict-nld-swe (2018.11.02-2)
- Dutch-Swedish dictionary for the dict server/client
- dict-freedict-nno-nob (2018.10.21-3)
- Norwegian Nynorsk-Norwegian Bokmål dictionary for the dict server/client
- dict-freedict-oci-cat (2018.10.21-3)
- Occitan (post 1500)-Catalan dictionary for the dict server/client
- dict-freedict-pol-deu (2018.11.02-2)
- Polish-German dictionary for the dict server/client
- dict-freedict-pol-ell (2018.11.02-2)
- Polish-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-pol-eng (2018.11.02-2)
- Polish-English dictionary for the dict server/client
- dict-freedict-pol-fin (2018.11.02-2)
- Polish-Finnish dictionary for the dict server/client
- dict-freedict-pol-fra (2018.11.02-2)
- Polish-French dictionary for the dict server/client
- dict-freedict-pol-gle (2018.10.21-3)
- Polish-Irish dictionary for the dict server/client
- dict-freedict-pol-ita (2018.11.02-2)
- Polish-Italian dictionary for the dict server/client
- dict-freedict-pol-nld (2018.11.02-2)
- Polish-Dutch dictionary for the dict server/client
- dict-freedict-pol-nor (2018.11.02-2)
- Polish-Norwegian dictionary for the dict server/client
- dict-freedict-pol-por (2018.11.02-2)
- Polish-Portuguese dictionary for the dict server/client
- dict-freedict-pol-rus (2018.11.02-2)
- Polish-Russian dictionary for the dict server/client
- dict-freedict-pol-spa (2018.11.02-2)
- Polish-Spanish dictionary for the dict server/client
- dict-freedict-pol-swe (2018.11.02-2)
- Polish-Swedish dictionary for the dict server/client
- dict-freedict-por-deu (2018.10.21-3)
- Portuguese-German dictionary for the dict server/client
- dict-freedict-por-eng (2018.10.21-3)
- Portuguese-English dictionary for the dict server/client
- dict-freedict-por-spa (2018.11.02-2)
- Portuguese-Spanish dictionary for the dict server/client
- dict-freedict-san-deu (2018.10.21-3)
- Sanskrit-German dictionary for the dict server/client
- dict-freedict-slk-eng (2018.10.21-3)
- Slovak-English dictionary for the dict server/client
- dict-freedict-spa-ast (2018.10.21-3)
- Spanish-Asturian dictionary for the dict server/client
- dict-freedict-spa-deu (2018.10.21-3)
- Spanish-German dictionary for the dict server/client
- dict-freedict-spa-eng (2018.10.21-3)
- Spanish-English dictionary for the dict server/client
- dict-freedict-spa-por (2018.10.21-3)
- Spanish-Portuguese dictionary for the dict server/client
- dict-freedict-srp-eng (2018.10.21-3)
- Serbian-English dictionary for the dict server/client
- dict-freedict-swe-bul (2018.11.02-2)
- Swedish-Bulgarian dictionary for the dict server/client
- dict-freedict-swe-deu (2018.11.02-2)
- Swedish-German dictionary for the dict server/client
- dict-freedict-swe-ell (2018.11.02-2)
- Swedish-Modern Greek (1453-) dictionary for the dict server/client
- dict-freedict-swe-eng (2018.10.21-3)
- Swedish-English dictionary for the dict server/client
- dict-freedict-swe-fin (2018.11.02-2)
- Swedish-Finnish dictionary for the dict server/client
- dict-freedict-swe-fra (2018.11.02-2)
- Swedish-French dictionary for the dict server/client
- dict-freedict-swe-ita (2018.11.02-2)
- Swedish-Italian dictionary for the dict server/client
- dict-freedict-swe-lat (2018.11.02-2)
- Swedish-Latin dictionary for the dict server/client
- dict-freedict-swe-pol (2018.11.02-2)
- Swedish-Polish dictionary for the dict server/client
- dict-freedict-swe-por (2018.11.02-2)
- Swedish-Portuguese dictionary for the dict server/client
- dict-freedict-swe-rus (2018.11.02-2)
- Swedish-Russian dictionary for the dict server/client
- dict-freedict-swe-spa (2018.11.02-2)
- Swedish-Spanish dictionary for the dict server/client
- dict-freedict-swe-tur (2018.11.02-2)
- Swedish-Turkish dictionary for the dict server/client
- dict-freedict-swh-eng (2018.10.21-3)
- Swahili (individual language)-English dictionary for the dict server/client
- dict-freedict-swh-pol (2018.10.21-3)
- Swahili (individual language)-Polish dictionary for the dict server/client
- dict-freedict-tur-deu (2018.10.21-3)
- Turkish-German dictionary for the dict server/client
- dict-freedict-tur-eng (2018.10.21-3)
- Turkish-English dictionary for the dict server/client
- dict-freedict-wol-fra (2018.10.21-3)
- Wolof-French dictionary for the dict server/client
- dict-gazetteer2k (1.0.0-5.4)
- Placeholder package to install entire Gazetteer 2000
- dict-gazetteer2k-counties (1.0.0-5.4)
- Counties Database for the 2000 US Gazetteer
- dict-gazetteer2k-places (1.0.0-5.4)
- Places Database for the 2000 US Gazetteer
- dict-gazetteer2k-zips (1.0.0-5.4)
- ZIP and ZCTA database for the 2000 US Gazetteer
- dict-gcide (0.48.5)
- Comprehensive English Dictionary
- dict-jargon (4.4.7-3)
- dict package for The Jargon Lexicon
- dict-moby-thesaurus (1.0-6.4)
- Largest and most comprehensive thesaurus
- dict-server
- virtueel pakket geboden door dictd, dicod
- dict-vera (1:1.23-1)
- Dictionary of computer related acronyms -- dict format
- dict-web1913
- virtueel pakket geboden door dict-gcide
- dict-wn (1:3.0-35)
- electronic lexical database of English language for dict
- dictconv (0.2-7+b2)
- convert a dictionary file type in another dictionary file type
- dictd (1.12.1+dfsg-8)
- dictionary server
- dictd-dictionary
- virtueel pakket geboden door dict-freedict-dan-eng, dict-freedict-kur-eng, dict-devil, dict-freedict-srp-eng, dict-freedict-pol-ita, dict-freedict-afr-eng, dict-freedict-eng-spa, dict-freedict-fra-nld, dict-freedict-por-deu, dict-freedict-swh-pol, dict-freedict-pol-fra, mueller7accent-dict, dict-freedict-swe-ell, dict-freedict-swe-por, dict-freedict-fin-nor, dict-freedict-fra-eng, dict-freedict-eng-bul, dict-freedict-ara-eng, dict-freedict-fra-fin, dict-freedict-eng-ces, dict-freedict-pol-rus, dict-freedict-mkd-bul, dict-freedict-fin-ita, dict-freedict-fra-bre, dict-freedict-nno-nob, dict-freedict-swe-deu, dict-freedict-deu-nld, dict-freedict-tur-eng, dict-freedict-jpn-deu, dict-freedict-pol-nor, dict-freedict-eng-hun, dict-freedict-nld-spa, dict-freedict-deu-eng, dict-freedict-jpn-rus, dict-freedict-fra-spa, dict-freedict-eng-nld, dict-freedict-fin-ell, dict-freedict-fin-por, dict-freedict-fin-swe, dict-freedict-fra-bul, dict-freedict-eng-fin, dict-freedict-swe-tur, dict-freedict-deu-kur, dict-freedict-swe-pol, dict-freedict-wol-fra, dict-de-en, dict-freedict-eng-hrv, dict-freedict-swe-rus, dict-freedict-hun-eng, dict-freedict-spa-por, dict-freedict-eng-gle, dict-freedict-pol-deu, dict-freedict-ita-fin, dict-freedict-deu-spa, dict-gcide, dict-freedict-nld-eng, dict-freedict-swe-lat, dict-freedict-ita-eng, dict-freedict-swe-fra, dict-freedict-gle-pol, dict-freedict-san-deu, dict-freedict-lat-deu, dict-freedict-spa-deu, dict-freedict-pol-ell, dict-freedict-pol-por, dict-freedict-pol-swe, dict-freedict-deu-bul, dict-freedict-bre-fra, dict-freedict-oci-cat, dict-freedict-lit-eng, dict-freedict-eng-srp, dict-jargon, dict-freedict-swe-ita, dict-freedict-fin-jpn, dict-freedict-kha-deu, dict-freedict-epo-eng, dict-freedict-cym-eng, dict-freedict-hrv-eng, dict-freedict-jpn-fra, dict-freedict-fin-bul, dict-freedict-gla-deu, dict-freedict-swe-fin, dict-freedict-nld-fra, dict-freedict-swe-eng, dict-freedict-fra-ell, dict-wn, dict-freedict-fra-swe, dict-freedict-fra-por, dict-freedict-eng-tur, dict-freedict-eng-pol, dict-freedict-jpn-eng, dict-freedict-tur-deu, dict-freedict-eng-rus, dict-freedict-nld-ita, mueller7-dict, dict-vera, dict-freedict-pol-spa, dict-freedict-eng-fra, dict-freedict-eng-lat, dict-freedict-kur-deu, dict-freedict-eng-lit, dict-freedict-deu-swe, dict-freedict-deu-por, dict-freedict-afr-deu, dict-freedict-ita-rus, dict-freedict-por-eng, dict-freedict-eng-ita, dict-freedict-fra-deu, dict-foldoc, dict-freedict-ita-pol, dict-freedict-fra-jpn, dict-freedict-gle-eng, dict-freedict-fra-pol, dict-freedict-eng-rom, dict-freedict-nld-deu, dict-freedict-ita-jpn, dict-freedict-eng-afr, dict-freedict-lat-eng, dict-freedict-fra-tur, dict-freedict-ita-deu, dict-freedict-deu-ita, dict-freedict-eng-swh, dict-freedict-spa-eng, dict-freedict-eng-cym, dict-freedict-ckb-kmr, dict-freedict-fra-rus, dict-freedict-swh-eng, dict-freedict-fin-eng, dict-freedict-swe-spa, dict-freedict-deu-fra, dict-freedict-spa-ast, dict-freedict-kur-tur, dict-freedict-eng-por, dict-freedict-eng-swe, dict-freedict-kha-eng, dict-freedict-eng-ell, dict-freedict-eng-hin, dict-freedict-swe-bul, dict-freedict-deu-tur, dict-freedict-fra-ita, dict-freedict-deu-pol, dict-freedict-deu-rus, dict-freedict-slk-eng, dict-freedict-eng-jpn, dict-freedict-eng-deu, dict-freedict-por-spa, dict-freedict-pol-gle, dict-freedict-eng-ara, dict-elements, dict-freedict-ita-ell, dict-freedict-ita-swe, dict-freedict-ita-por, dict-freedict-pol-nld, dict-freedict-nld-swe, dict-freedict-pol-fin, dict-freedict-isl-eng, dict-freedict-pol-eng, dict-freedict-ces-eng
- dictem (1.0.4-4)
- Dict client for emacs
- dictfmt (1.12.1+dfsg-8)
- utility to format a file for use by a dictd server
- diction (1.11-1+b1)
- Utilities to help with style and diction (English and German)
- dictionaries-common (1.28.1)
- spelling dictionaries - common utilities
- dictionaries-common-dev (1.28.1)
- spelling dictionaries - developer files
- dictionary-el (1.10+git20190107-2)
- transitional dummy package, dictionary-el to elpa-dictionary
- dictionaryreader.app (0+20080616+dfsg-2+b9)
- Dict client for GNUstep
- dictzip (1.12.1+dfsg-8)
- compression utility for dictionary databases
- didiwiki (0.5-13)
- simple wiki implementation with built-in webserver
- didjvu (0.8.2-2+deb10u1)
- DjVu encoder with foreground/background separation
- dieharder (3.31.1-7+b1)
- Random-number generator test front-end
- dietlibc-dev (0.34~cvs20160606-10)
- diet libc - a libc optimized for small size
- dietlibc-doc (0.34~cvs20160606-10)
- diet libc documentation - a libc optimized for small size
- difference (2.0.0-1)
- text diffing tool
- diffmon (20020222-2.6)
- Tool for reporting changes in system configuration
- diffoscope (113)
- in-depth comparison of files, archives, and directories
- diffpdf (2.1.3-1.2)
- compare two PDF files textually or visually
- diffstat (1.62-1)
- produces graph of changes introduced by a diff file
- diffuse (0.4.8-4)
- graphical tool for merging and comparing text files
- diffutils (1:3.7-3)
- Hulpprogramma's voor bestandvergelijking
- diffutils-doc (1:3.7-3)
- Documentation for GNU diffutils in HTML format
- digikam (4:5.9.0-1+b1)
- digital photo management application for KDE
- digikam-data (4:5.9.0-1)
- digiKam architecture-independant data
- digikam-doc (4:5.9.0-1)
- handbook for digiKam
- digikam-private-libs (4:5.9.0-1+b1)
- private libraries for digiKam and kipi plugins
- digitemp (3.7.2-1)
- read temperature sensors in a 1-Wire net
- digitools (1.03-1.2)
- A set of tools to control ASUS Digimatrix embedded hardware
- dillo (3.0.5-5)
- Small and fast web browser
- dimbl (0.15-2.1)
- Distributed Memory Based Learner
- dime (0.20111205-2.1)
- DXF Import, Manipulation, and Export programs
- din (5.2.1-6)
- digital audio synthesizer
- dindel (1.01-wu1-3+dfsg-1+b1)
- determines indel calls from short-read data
- ding (1.8.1-7)
- Graphical dictionary lookup program for Unix (Tk)
- dino-im (0.0.git20181129-1+deb10u1)
- modern XMPP client
- dino-im-common (0.0.git20181129-1+deb10u1)
- modern XMPP client - common files
- diod (1.0.24-4)
- I/O forwarding server for 9P
- diodon (1.8.0-1)
- GTK+ Clipboard manager
- diodon-dev (1.8.0-1)
- GTK+ Clipboard manager (development files)
- diploma (1.2.14)
- Schrijf wetenschappelijke documenten met Debian
- dir2ogg (0.12-1)
- audio file converter into ogg-vorbis format
- dirb (2.22+dfsg-3+b1)
- URL bruteforcing tool
- dircproxy (1.0.5-6)
- IRC proxy for people who use IRC from different workstations
- dirdiff (2.1-7.2)
- Display and merge changes between two directory trees
- directoryassistant (2.0-1.1)
- small LDAP address book manager
- directvnc (0.7.7-1+b4)
- VNC client using the framebuffer as display
- direnv (2.18.2-2)
- Utility to set directory specific environment variables
- direvent (5.1-1)
- monitors events in the file system directories
- direwolf (1.4+dfsg-1+b1)
- Soundcard TNC for APRS
- dirmngr (2.2.12-1+deb10u2)
- GNU privacy guard - network certificate management service
- dirtbike (0.3-2.1)
- turn system-installed Python packages into wheels
- dirvish (1.2.1-2)
- Filesystem based backup system using rsync
- dis51 (0.5-1.1+b2)
- Disassembler for 8051 code in Intel Hex format
- disc-cover (1.5.6-3)
- produces covers for audio CDs
- discosnp (2.3.0-2)
- discovering Single Nucleotide Polymorphism from raw set(s) of reads
- discount (2.2.4-1)
- implementation of the Markdown markup language in C
- discover (2.1.2-8)
- hardware identification system
- discover-data (2.2013.01.11)
- Data lists for Discover hardware detection system
- discus (0.2.9-11)
- pretty version of df(1) command
- dish (1.19.1-1)
- diligence/distributed shell for parallel sysadmin
- disk-detect (1.137)
- Detect disk drives
- disk-manager (1.1.1-2)
- simple graphical filesystem configurator
- diskimage-builder-doc (2.16.0-1)
- image building tools for Openstack - doc
- diskscan (0.20-1+b1)
- scan storage media for bad or near failure sectors
- disktype (9-8)
- detection of content format of a disk or disk image
- dislocker (0.7.1-4+b1)
- read/write encrypted BitLocker volumes
- disorderfs (0.5.6-1)
- FUSE filesystem that introduces non-determinism
- dispcalgui (3.7.1.4-1)
- transitional dummy package to displaycal
- disper (0.3.1-2)
- display switcher for attaching/detaching displays easily
- display-dhammapada (1.0-0.1+b1)
- fortune-like collection of Buddhist sayings
- displaycal (3.7.1.4-1)
- Graphical user interface for the Argyll CMS.
- dist (1:3.5-236-0.1)
- Tools for developing, maintaining and distributing software
- distcc (3.3.2-10+deb10u1)
- simple distributed compiler client and server
- distcc-pump (3.3.2-10+deb10u1)
- pump mode for distcc a distributed compiler client and server
- distccmon-gnome (3.3.2-10+deb10u1)
- GTK+ monitor for distcc a distributed client and server
- distributed-net (2.9112.521+arm64-1) [non-free]
- client to donate unused CPU cycles to distributed.net
- distro-info (0.21+deb10u1) [security]
- provides information about the distributions' releases
- distro-info-data (0.41+deb10u9) [security]
- information about the distributions' releases (data files)
- disulfinder (1.2.11-8)
- cysteines disulfide bonding state and connectivity predictor
- disulfinder-data (1.2.11-8)
- data files for predictor of disulfide bonds in proteins
- dita-ot (1.5.3+dfsg-1)
- transforms DITA content (maps and topics) into deliverable formats
- dita-ot-doc (1.5.3+dfsg-1)
- DITA Open Toolkit (documentation)
- ditaa (0.10+ds1-1.2)
- convert ASCII diagrams into proper bitmap graphics
- ditrack (0.8-1.2)
- lightweight distributed issue tracking system
- divxcomp (0.1-9)
- bitrate calculator for DivX;-) movies written in perl
- dizzy (0.3-3)
- Graphics demo that makes you dizzy using rotating textures
- djagios (0.1.3+dfsg-9)
- tool to help configure nagios written in Django
- django-ajax-selects (1.7.0-1)
- Django library for editing fields with autocomplete
- django-simple-captcha (0.5.6-1)
- Django Simple Captcha Django application
- django-testproject (0.1.3-2)
- Django test project support (Python 2 version)
- django-testscenarios (0.10-1)
- Django unit test scenarios support (Python 2)
- djinn (2014.9.7-9)
- generate Haskell expressions from types
- djtools (1.2.7+b2)
- tools for HP DeskJet printer
- djview (3.5.27.1-10+deb10u1)
- Transition package, djview3 to djview4
- djview-plugin (4.11-1)
- Browser plugin for the DjVu image format
- djview3 (3.5.27.1-10+deb10u1)
- Transition package, djview3 to djview4
- djview4 (4.11-1)
- Viewer voor het DJVu afbeeldingsformaat
- djvu-viewer
- virtueel pakket geboden door zathura-djvu, djview4, okular-extra-backends, atril, evince
- djvubind (1.2.1-5)
- simple creation of djvu files
- djvulibre-bin (3.5.27.1-10+deb10u1)
- Utilities for the DjVu image format
- djvulibre-desktop (3.5.27.1-10+deb10u1)
- Desktop support for the DjVu image format
- djvulibre-plugin (4.11-1)
- Transition package, djvulibre-plugin to djview-plugin
- djvulibre-plugin
- virtueel pakket geboden door djview-plugin
- djvuserve (3.5.27.1-10+deb10u1)
- CGI program for unbundling DjVu files on the fly
- djvusmooth (0.2.19-3)
- graphical editor for DjVu
- dkim-milter-python (0.9-2)
- Domain Keys Identified Mail Milter for Sendmail and Postfix
- dkimproxy (1.4.1-3)
- an SMTP-proxy that signs and/or verifies emails, using the Mail::DKIM module
- dkimpy-milter (1.0.3-1)
- DomainKeys Identified Mail (DKIM) milter in Python
- dkms (2.6.1-4)
- Dynamic Kernel Module Support Framework
- dkopp (6.5-1+b1)
- Full and incremental backup to DVD
- dl10n (3.00)
- Debian infrastructure and tools for localization
- dlang-libevent (2.0.16.1~really2.0.2-0.1)
- D version of the C headers for libevent
- dlang-openssl (2.0.0+1.1.0h-0.1)
- D version of the C headers for openssl
- dleyna-renderer (0.4.0-1+b1)
- DBus service to interact with DLNA Digital Media Renderers
- dleyna-renderer-dbg (0.4.0-1+b1)
- DBus service to interact with DLNA Digital Media Renderers (debug files)
- dleyna-server (0.4.0-1.1)
- DBus service to interact with DLNA Digital Media Servers
- dleyna-server-dbg (0.4.0-1.1)
- DBus service to interact with DLNA Digital Media Servers (debug files)
- dlint (1.4.0-8)
- Checks dns zone information using nameserver lookups
- dlm-controld (4.0.8-1)
- Distributed Lock Manager control daemon
- dlmodelbox (0.1.3-1)
- Swiss Army Knife of Deep Learning Models
- dlocate (1.07+nmu1)
- fast alternative to dpkg -L and dpkg -S
- dlt-daemon (2.18.0-1+deb10u1) [security]
- Diagnostic Log and Trace logging daemon
- dlt-tools (2.18.0-1+deb10u1) [security]
- Diagnostic Log and Trace (DLT) (documentation)
- dlume (0.2.4-14)
- simple and easy to use addressbook (GTK+)
- dm-writeboost-dkms (2.2.10-1)
- log-structured caching for Linux
- dma (0.11-1+deb10u1)
- lightweight mail transport agent
- dmarc-cat (0.9.2-4)
- decode DMARC reports
- virtueel pakket geboden door suckless-tools
- dmeventd (2:1.02.155-3)
- Linux Kernel Device Mapper event daemon
- dmg2img (1.6.7-1)
- Tool for converting compressed dmg files to hfsplus images
- dmidecode (3.2-1)
- SMBIOS/DMI table decoder
- dmidecode-udeb (3.2-1)
- SMBIOS/DMI table decoder (udeb)
- dmitry (1.3a-1+b2)
- Deepmagic Information Gathering Tool
- dmktools (0.15.0-2+b1 [amd64], 0.15.0-2 [arm64, armhf, i386])
- tools for manipulating floppy disk images in the dmk format
- dmraid (1.0.0.rc16-8)
- Device-Mapper Software RAID support tool
- dmraid-udeb (1.0.0.rc16-8)
- Device-Mapper Software RAID support tool (udeb)
- dmsetup (2:1.02.155-3)
- Linux Kernel Device Mapper userspace library
- dmsetup-udeb (2:1.02.155-3)
- Linux Kernel Device Mapper userspace library
- dmtracedump (8.1.0+r23-3)
- Generates graphical call-stack diagrams from Android trace logs
- dmtx-utils (0.7.6-1.1+b1)
- Utilities for reading and writing Data Matrix 2D barcodes
- dmucs (0.6.1-3)
- distributed compilation system for use with distcc
- dmz-cursor-theme (0.4.5)
- Style neutral, scalable cursor theme
- dnaclust (3-6+b1)
- tool for clustering millions of short DNA sequences
- dnet-common (2.65)
- Base package for Linux DECnet
- dnet-progs (2.65+b1)
- DECnet user programs and daemons
- dns-browse (1.9-8)
- Front-ends to DNS search
- dns-flood-detector (1.20-5)
- detect abusive usage levels on high traffic nameservers
- dns-root-data (2019031302)
- DNS root data including root zone and DNSSEC key
- dns2tcp (0.5.2-1.1+b2)
- TCP over DNS tunnel client and server
- dns323-firmware-tools (0.7.3-1)
- build and manipulate firmware images for a range of NAS devices
- dnscrypt-proxy (2.0.19+ds1-2+deb10u1) [security]
- Flexible DNS proxy, with support for encrypted DNS protocols
- dnsdiag (1.6.4-2)
- DNS request auditing toolset
- dnsdist (1.3.3-3)
- DNS loadbalancer
- dnshistory (1.3-2+b3)
- Translating and storing of IP addresses from log files
- dnsmasq (2.80-1+deb10u1)
- Small caching DNS proxy and DHCP/TFTP server
- dnsmasq-base (2.80-1+deb10u1)
- Small caching DNS proxy and DHCP/TFTP server
- dnsmasq-base
- virtueel pakket geboden door dnsmasq-base, dnsmasq-base-lua
- dnsmasq-base-lua (2.80-1+deb10u1)
- Small caching DNS proxy and DHCP/TFTP server
- dnsmasq-utils (2.80-1+deb10u1)
- Utilities for manipulating DHCP leases
- dnsproxy (1.16-0.1+b3)
- proxy for DNS queries
- dnsrecon (0.8.14-1)
- Powerful DNS enumeration script
- dnss (0.0~git20180721.0.2de63ab0-1+b11)
- Daemon for encapsulating DNS over HTTPS
- dnssec-trigger (0.17+repack-3)
- reconfiguration tool to make DNSSEC work
- dnstap-ldns (0.2.0-4)
- Utility that can decode dnstap encoded files
- dnstop (20120611-2+b3)
- console tool to analyze DNS traffic
- dnstracer (1.9-5)
- trace DNS queries to the source
- dnsutils (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Clients provided with BIND
- dnsvi (1.2)
- edit dynamic DNS zones using vi
- dnsviz (0.8.0-1)
- Tools for analyzing and visualizing DNS and DNSSEC behavior
- dnswalk (2.0.2.dfsg.1-2)
- Checks dns zone information using nameserver lookups
- doc-base (0.10.8)
- utilities to manage online documentation
- doc-central (1.8.3)
- web-based documentation browser
- doc-debian (6.4)
- Debian Project documentation and other documents
- doc-rfc (20181229-2) [non-free]
- RFC documents metapackage
- doc-rfc-experimental (20181229-2) [non-free]
- Experimental RFCs
- doc-rfc-fyi-bcp (20181229-2) [non-free]
- FYI and BCP RFCs
- doc-rfc-informational (20181229-2) [non-free]
- Informational RFCs
- doc-rfc-misc (20181229-2) [non-free]
- Historic and draft RFCs
- doc-rfc-old-std (20181229-2) [non-free]
- Old Standard RFCs
- doc-rfc-others (20181229-2) [non-free]
- Old experimental and unclassified RFCs
- doc-rfc-std (20181229-2) [non-free]
- Standard RFCs
- doc-rfc-std-proposed (20181229-2) [non-free]
- Proposed Standard RFCs
- docbk-xml
- virtueel pakket geboden door docbook-xml
- docbook (4.5-6)
- standard SGML representation system for technical documents
- docbook-book
- virtueel pakket geboden door docbook-defguide
- docbook-defguide (2.0.17+svn9912-2)
- DocBook: The Definitive Guide - HTML version
- docbook-dsssl (1.79-9.1)
- modular DocBook DSSSL stylesheets, for print and HTML
- docbook-dsssl-doc (1.79-6)
- documentation for the DocBook DSSSL stylesheets
- docbook-ebnf (1.2~cr1-6)
- EBNF module for the XML version of the DocBook DTD
- docbook-html-forms (1.1.0-5)
- Extension to DocBook XML for generating html forms
- docbook-mathml (1.1CR1-2)
- Extension to DocBook XML for using MathML markup
- docbook-simple (1.1-4.2)
- simplified DocBook XML Doctype and css stylesheets
- docbook-slides (3.4.0-5)
- XML Slides DTD and XSL Stylesheets
- docbook-slides-demo (3.4.0-1)
- Demo presentation slides for the docbook-slides package
- docbook-to-man (1:2.0.0-42)
- converter from DocBook SGML into roff man macros
- docbook-utils (0.6.14-3.3)
- Convert DocBook files to other formats (HTML, RTF, PS, man, PDF)
- docbook-website (2.5.0.0-8)
- XML Website DTD and XSL Stylesheets
- docbook-xml (4.5-8)
- standard XML documentation system for software and systems
- docbook-xsl (1.79.1+dfsg-2)
- stylesheets for processing DocBook XML to various output formats
- docbook-xsl-doc
- virtueel pakket geboden door docbook-xsl-doc-text, docbook-xsl-doc-pdf, docbook-xsl-doc-html
- docbook-xsl-doc-html (1.78.1-1)
- stylesheets for processing DocBook XML files (HTML documentation)
- docbook-xsl-doc-pdf (1.78.1-1)
- stylesheets for processing DocBook XML files (PDF documentation)
- docbook-xsl-doc-text (1.78.1-1)
- stylesheets for processing DocBook XML files (ASCII documentation)
- docbook-xsl-ns (1.79.1+dfsg-2)
- stylesheets for processing DocBook 5 XML to various output formats
- docbook-xsl-saxon (1.00.dfsg.1-7)
- Java extensions for use with DocBook XML stylesheets (Saxon)
- docbook2x (0.8.8-17)
- Converts DocBook/XML documents into man pages and TeXinfo
- docbook5-xml (5.0-2)
- standard XML documentation system for software and systems
- docdiff (0.5.0+git20160313-1)
- Compares two files word by word / char by char
- dochelp (0.1.7)
- Utility to browse system documentation
- docker (1.5-2)
- transitional package
- docker-compose (1.21.0-3)
- Punctual, lightweight development environments using Docker
- docker-doc (18.09.1+dfsg1-7.1+deb10u3)
- Linux container runtime -- documentation
- docker-registry (2.6.2~ds1-2+deb10u1) [security]
- Docker toolset to pack, ship, store, and deliver content
- docker.io (18.09.1+dfsg1-7.1+deb10u3)
- Linux container runtime
- docker.io-doc
- virtueel pakket geboden door docker-doc
- docker2aci (0.17.2+dfsg-2+b10)
- CLI tool to convert Docker images to ACIs
- doclava-aosp (6.0.1+r55-1)
- Custom Javadoc doclet by Google
- doclifter (2.18-1)
- Convert troff to DocBook
- doconce (0.7.3-1)
- document once, include anywhere
- doctest (0.16.0-1+b3)
- test interactive Haskell examples; executable
- doctest-dev (1.2.9+repack0-1)
- Light and feature-rich C++ testing framework
- doctorj (5.0.0-5)
- A tool to analyze Java code
- doctrine
- virtueel pakket geboden door php-doctrine-orm
- docutils
- virtueel pakket geboden door python-docutils, python3-docutils
- docutils-common (0.14+dfsg-4)
- text processing system for reStructuredText - common data
- docutils-doc (0.14+dfsg-4)
- text processing system for reStructuredText - documentation
- docx2txt (1.4-1)
- Convert Microsoft OOXML files to plain text
- dodgindiamond2 (0.2.2-3)
- Little shoot-'em-up arcade game for one or two players
- dodgy (0.1.9-3)
- searches for dodgy looking lines in Python code
- dogecoin (1.10.0-7.1)
- peer-to-peer network based digital currency
- dokujclient (3.9.0-1)
- Command line tool to interact with an instance of Dokuwiki
- dokuwiki (0.0.20180422.a-2)
- standards compliant simple to use wiki
- dolfin-bin (2018.1.0.post1-16)
- Executable scripts for DOLFIN
- dolfin-doc (2018.1.0.post1-16)
- Documentation and demo programs for DOLFIN
- dolphin (4:18.08.0-1)
- file manager
- dolphin-dev (4:18.08.0-1)
- file manager - development files
- dolphin-emu (5.0+dfsg-5)
- Gamecube and Wii emulator
- dolphin-emu-data (5.0+dfsg-5)
- Gamecube and Wii emulator - data files
- dolphin-nextcloud (2.5.1-3+deb10u2)
- Nextcloud integration for Dolphin
- dolphin-owncloud (2.5.1.10973+dfsg-1)
- ownCloud integration for Dolphin
- dolphin-plugins (4:18.08.0-1)
- plugins for Dolphin
- donkey (1.2.0-4)
- One Time Password calculator
- doodle (0.7.0-9+b2)
- Desktop Search Engine (client)
- doodle-dbg (0.7.0-9+b2)
- Desktop Search Engine (debug)
- doodled (0.7.0-9+b2)
- Desktop Search Engine (daemon)
- doom-engine
- virtueel pakket geboden door doomsday, chocolate-doom, crispy-doom, prboom-plus
- doom-node-builder
- virtueel pakket geboden door glbsp, zdbsp
- doom-wad
- virtueel pakket geboden door freedm, doom-wad-shareware
- doom-wad-editor
- virtueel pakket geboden door deutex
- doom-wad-shareware (1.9.fixed-2) [non-free]
- Shareware game files for the 3D game Doom
- doomsday (1.15.8-5+b1)
- enhanced version of the legendary DOOM game
- doomsday-common (1.15.8-5+b1)
- enhanced version of the legendary DOOM game - common files
- doomsday-data (1.15.8-5)
- enhanced version of the legendary DOOM game - data files
- doomsday-server (1.15.8-5+b1)
- enhanced version of the legendary DOOM game - server
- doona (1.0+git20160212-2)
- Network fuzzer forked from bed
- dopewars (1.5.12-19+b1)
- drug-dealing game set in streets of New York City
- dopewars-data (1.5.12-19)
- drug-dealing game set in streets of New York City - data files
- doris (5.0.3~beta+dfsg-7) [contrib]
- Delft object-oriented radar interferometric software
- dos2unix (7.4.0-1)
- convert text file line endings between CRLF and LF
- dosage (2.15-2)
- comic strip downloader and archiver
- dosbox (0.74-2-3+deb10u1)
- x86 emulator with Tandy/Herc/CGA/EGA/VGA/SVGA graphics, sound and DOS
- dosbox-debug (0.74-2-3+deb10u1)
- x86 emulator with DOS - debugger
- doschk (1.1-7)
- SYSV en DOS bestandsnaam conflict controle
- dose-builddebcheck (5.0.1-12)
- Checks whether build-dependencies can be satisfied
- dose-distcheck (5.0.1-12)
- Checks whether dependencies of packages can be satisfied
- dose-doc (5.0.1-12)
- Documentation for dose tools and libraries.
- (5.0.1-12)
- Extra QA tools from the Dose3-library
- dosfstools (4.1-2)
- utilities for making and checking MS-DOS FAT filesystems
- dosfstools-udeb (4.1-2)
- utilities for making and checking MS-DOS FAT filesystems (udeb)
- dossizola (1.0-10)
- Isola board game with nice graphics
- dossizola-data (1.0-10)
- Data files for Do'SSi Zo'la game
- dot-forward (1:0.71-5)
- reads sendmail's .forward files under qmail
- dot2tex (2.9.0-3)
- Graphviz to LaTeX converter
- dotmcp (0.2.2-16)
- mcp for s3d
- dotter (4.44.1+dfsg-3)
- detailed comparison of two genomic sequences
- doublecmd
- virtueel pakket geboden door doublecmd-qt, doublecmd-gtk
- doublecmd-common (0.9.1-1)
- twin-panel (commander-style) file manager
- doublecmd-gtk (0.9.1-1)
- twin-panel (commander-style) file manager (GTK2)
- doublecmd-help
- virtueel pakket geboden door doublecmd-help-uk, doublecmd-help-en, doublecmd-help-ru
- doublecmd-help-en (0.9.1-1)
- Documentation for Double Commander (English)
- doublecmd-help-ru (0.9.1-1)
- Documentation for Double Commander (Russian)
- doublecmd-help-uk (0.9.1-1)
- Documentation for Double Commander (Ukrainian)
- doublecmd-plugins (0.9.1-1)
- twin-panel (commander-style) file manager (plugins)
- doublecmd-qt (0.9.1-1)
- twin-panel (commander-style) file manager (Qt5)
- dov4l (0.9+repack-1+b1)
- program to set and query settings of video4linux devices
- dovecot-abi-2.3.abiv4
- virtueel pakket geboden door dovecot-core
- dovecot-antispam (2.0+20171229-1+b4)
- Dovecot plugins for training spam filters
- dovecot-auth-lua (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - Lua authentication plugin
- dovecot-common
- virtueel pakket geboden door dovecot-core
- dovecot-core (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - core files
- dovecot-dev (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - header files
- dovecot-gssapi (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - GSSAPI support
- dovecot-imapd (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - IMAP daemon
- dovecot-ldap (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - LDAP support
- dovecot-lmtpd (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - LMTP server
- dovecot-lucene (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - Lucene support
- dovecot-managesieved (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - ManageSieve server
- dovecot-mysql (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - MySQL support
- dovecot-pgsql (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - PostgreSQL support
- dovecot-pop3d (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - POP3 daemon
- dovecot-sieve (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - Sieve filters support
- dovecot-solr (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - Solr support
- dovecot-sqlite (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - SQLite support
- dovecot-submissiond (1:2.3.4.1-5+deb10u7) [security]
- secure POP3/IMAP server - mail submission agent
- download-installer (1.51)
- Download installer components
- downtimed (1.0-2)
- monitor of downtime, shutdown, and crashes
- doxygen (1.8.13-10)
- Documentation system for C, C++, Java, Python and other languages
- doxygen-doc (1.8.13-10)
- Documentation for doxygen
- doxygen-docs
- virtueel pakket geboden door doxygen-doc
- doxygen-gui (1.8.13-10)
- GUI configuration tool for doxygen
- doxygen-latex (1.8.13-10)
- Documentation system for C, C++, Java, Python and other languages
- doxypy (0.4.2-1.1)
- Python input filter for Doxygen
- doxyqml (0.3.0-1.1)
- QML filter for Doxygen
- dozzaqueux (3.51-2)
- simulator for chemical mixtures
- dozzaqueux-data (3.51-2)
- databases for chemical mixtures
- dpatch (2.0.38+nmu1)
- patch maintenance system for Debian source packages
- dpdk (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (runtime)
- dpdk-dev (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (dev tools)
- dpdk-doc (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (documentation)
- dpdk-igb-uio-dkms (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (igb uio dkms)
- dpdk-rte-kni-dkms (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (rte kni dkms)
- dphys-config (20130301~current-5)
- Tool to distribute config files by fetching them
- dphys-swapfile (20100506-5)
- Autogenerate and use a swap file
- dpkg (1.19.8)
- Debian package management system
- dpkg-awk (1.2+nmu2)
- Gawk script to parse /var/lib/dpkg/{status,available} and Packages
- dpkg-cross (2.6.15-3)
- tools for cross compiling Debian packages
- dpkg-dev (1.19.8)
- Debian package development tools
- dpkg-dev-el (37.7)
- Transition package, dpkg-dev-el to elpa-dpkg-dev-el
- dpkg-repack (1.45)
- Debian package archiving tool
- dpkg-ruby
- virtueel pakket geboden door ruby-debian
- dpkg-sig (0.13.1+nmu4)
- create and verify signatures on .deb-files
- dpkg-www (2.59)
- Debian package management web interface
- dpm (1.10.0-2+b3)
- Disk Pool Manager (DPM) client
- dpm-client
- virtueel pakket geboden door dpm
- dpm-copy-server-mysql (1.10.0-2+b3)
- DPM copy server with MySQL database backend
- dpm-copy-server-postgres (1.10.0-2+b3)
- DPM copy server with postgres database backend
- dpm-mysql
- virtueel pakket geboden door dpm-server-mysql
- dpm-mysql-copyd
- virtueel pakket geboden door dpm-copy-server-mysql
- dpm-mysql-nameserver
- virtueel pakket geboden door dpm-name-server-mysql
- dpm-mysql-srmv1
- virtueel pakket geboden door dpm-srm-server-mysql
- dpm-mysql-srmv2
- virtueel pakket geboden door dpm-srm-server-mysql
- dpm-mysql-srmv22
- virtueel pakket geboden door dpm-srm-server-mysql
- dpm-name-server-mysql (1.10.0-2+b3)
- DPM nameserver server with MySQL database backend
- dpm-name-server-postgres (1.10.0-2+b3)
- DPM nameserver server with postgres database backend
- dpm-postgres
- virtueel pakket geboden door dpm-server-postgres
- dpm-postgres-copyd
- virtueel pakket geboden door dpm-copy-server-postgres
- dpm-postgres-nameserver
- virtueel pakket geboden door dpm-name-server-postgres
- dpm-postgres-srmv1
- virtueel pakket geboden door dpm-srm-server-postgres
- dpm-postgres-srmv2
- virtueel pakket geboden door dpm-srm-server-postgres
- dpm-postgres-srmv22
- virtueel pakket geboden door dpm-srm-server-postgres
- dpm-rfio-server (1.10.0-2+b3)
- DPM RFIO (Remote File IO) server
- dpm-rfiod
- virtueel pakket geboden door dpm-rfio-server
- dpm-server-mysql (1.10.0-2+b3)
- Disk Pool Manager (DPM) server with MySQL database backend
- dpm-server-postgres (1.10.0-2+b3)
- Disk Pool Manager (DPM) server with postgres database backend
- dpm-srm-server-mysql (1.10.0-2+b3)
- DPM SRM server with MySQL database backend
- dpm-srm-server-postgres (1.10.0-2+b3)
- DPM SRM server with postgres database backend
- dpo-tools (1.3-2)
- set of scripts that can aid in the translation of podebconf files
- dpt-i2o-raidutils (0.0.6-22)
- Adaptec I2O hardware RAID management utilities
- dpuser (3.3+p1+dfsg-2+b1)
- Interactive language for handling numbers, strings, and matrices
- dpuser-doc (3.3+p1+dfsg-2)
- Documentation for DPUSER and QFitsView
- dput (1.0.3)
- Debian package upload tool
- dput
- virtueel pakket geboden door dput-ng
- dput-ng (1.25+deb10u2)
- next generation Debian package upload tool
- dput-ng-doc (1.25+deb10u2)
- next generation Debian package upload tool (documentation)
- dq (20181021-1)
- DNS/DNSCurve query tool
- dqcache (20181021-1)
- DNS/DNSCurve recursive server
- draai (20180521-1)
- Command-line music player for MPD
- drac (1.12-8+b2)
- Dynamic Relay Authorization Control (pop-before-smtp)
- drac-dev (1.12-8+b2)
- Dynamic Relay Authorization Control (development files)
- dracut (048+80-2)
- dracut is an event driven initramfs infrastructure
- dracut-config-generic (048+80-2)
- dracut is an event driven initramfs infrastructure
- dracut-config-rescue (048+80-2)
- dracut is an event driven initramfs infrastructure
- dracut-core (048+80-2)
- dracut is an event driven initramfs infrastructure (core tools)
- dracut-network (048+80-2)
- dracut is an event driven initramfs infrastructure (network modules)
- dradio (3.8-2+b3)
- danmarks Radio netradio, podcast, and TV player
- dragonplayer (4:17.08.3-1)
- simple video player
- drascula (1.0+ds3-1)
- classic 2D point and click adventure game -- English version
- drascula-french (1.0+ds3-1)
- classic 2D point and click adventure game -- French version
- drascula-german (1.0+ds3-1)
- classic 2D point and click adventure game -- German version
- drascula-italian (1.0+ds3-1)
- classic 2D point and click adventure game -- Italian version
- drascula-music (1.0+ds3-1)
- classic 2D point and click adventure game -- music files
- drascula-spanish (1.0+ds3-1)
- classic 2D point and click adventure game -- Spanish version
- drawterm (20170818-1)
- graphical client for Plan 9 CPU servers
- drawtiming (0.7.1-7)
- tool for documenting hardware designs through timing diagrams
- drawxtl (5.5-3+b3)
- crystal structure viewer
- drbd-doc (8.4~20151102-1)
- RAID 1 over TCP/IP for Linux (user documentation)
- drbd-utils (9.5.0-1)
- RAID 1 over TCP/IP for Linux (user utilities)
- drbdlinks (1.22-1)
- Manages symlinks into a shared DRBD partition
- drbl (2.20.11-7)
- diskless remote boot, and a disk cloning utility
- drc (3.2.2~dfsg0-2)
- digital room correction
- drdsl (1.2.0-3) [non-free]
- DSL Assistant for AVM DSL/ISDN-Controllers
- dreamchess (0.2.1-RC2-3)
- 3D chess game
- dreamchess-data (0.2.1-RC2-3)
- data files for dreamchess
- drgeo-doc (1.5-7)
- Dr. Geo online user manual
- driconf (0.9.1-4)
- DRI configuration applet
- driftnet (1.1.5-1.1+b2)
- picks out and displays images from network traffic
- driver-injection-disk-detect (1.137)
- Detect OEM driver injection disks
- driverctl (0.101-1)
- Device driver control utility for Linux
- drkonqi (5.14.5-1)
- Crash handler for Qt applications
- drobo-utils (0.6.1+repack-2)
- manage data robotics storage units (drobos)
- droopy (0.20160830-1)
- mini web server to let others upload files to your computer
- dropbear (2018.76-5+deb10u2) [security]
- transitional dummy package for dropbear-{run,initramfs}
- dropbear-bin (2018.76-5+deb10u2) [security]
- lightweight SSH2 server and client - command line tools
- dropbear-initramfs (2018.76-5+deb10u2) [security]
- lightweight SSH2 server and client - initramfs integration
- dropbear-run (2018.76-5+deb10u2) [security]
- lightweight SSH2 server and client - startup scripts
- drpython (1:3.11.4-1.1)
- simple and customizable editor for the Python language
- drraw (2.2b2-4)
- simple web based presentation front-end for RRDtool
- drslib (0.3.1.p3-1)
- Command-line tools for the Data Reference Syntax library
- drumgizmo (0.9.14-3)
- Audio sampler plugin and stand-alone app that simulates a real drum kit
- drumkv1 (0.9.4-1)
- old-school drum-kit sampler - standalone
- drumkv1-common (0.9.4-1)
- old-school drum-kit sampler - common files
- drumkv1-lv2 (0.9.4-1)
- old-school drum-kit sampler - lv2-plugin
- drumstick-tools (0.5.0-4+b2)
- Qt4/C++ wrapper for ALSA Sequencer - utilities
- dsdp (5.8-9.4)
- Software for Semidefinite Programming
- dsdp-doc (5.8-9.4)
- Software for Semidefinite Programming
- dselect (1.19.8)
- Debian package management front-end
- dsh (0.25.10-1.4)
- dancer's shell, or distributed shell
- dsmidiwifi (2)
- DSMI over WLAN server
- dsniff (2.4b1+debian-29)
- Various tools to sniff network traffic for cleartext insecurities
- dspdfviewer (1.15.1-1+b1)
- Dual-Screen PDF Viewer for LaTeX-beamer
- dssi-dev (1.1.1~dfsg0-1)
- Header file for compiling DSSI plugins and hosts
- dssi-example-plugins (1.1.1~dfsg0-1+b2)
- Examples of DSSI plugin
- dssi-host-jack (1.1.1~dfsg0-1+b2)
- Example of DSSI host
- dssi-plugin
- virtueel pakket geboden door wsynth-dssi, nekobee, hexter, fluidsynth-dssi
- dssi-utils (1.1.1~dfsg0-1+b2)
- Command-line utilities for sending commands to DSSI plugins
- dssp (3.0.0-3+b1)
- protein secondary structure assignment based on 3D structure
- dstat (0.7.3-1.1)
- versatile resource statistics tool
- dstat
- virtueel pakket geboden door pcp
- dtach (0.9-4)
- emulates the detach/attach feature of screen
- dtaus (0.9-1.1)
- Paperless money transfer with German banks on floppies
- dtc-xen (0.5.17-1.2)
- SOAP daemon and scripts to allow control panel management for Xen VMs
- dtc-xen-firewall (0.5.17-1.2)
- small firewall script for your dom0
- dtdinst (20151127+dfsg-3)
- XML DTD to XML instance format converter
- dtp-i2o-raidutils
- virtueel pakket geboden door dpt-i2o-raidutils
- dtrx (7.1-2)
- intelligently extract multiple archive types
- dtv-scan-tables (0+git20190925.6d01903-0.1~deb10u1)
- Digital Video Broadcasting (DVB) initial scan files
- dub (1.12.1-1)
- Package and build management system for D
- dublin-traceroute (0.4.2-2)
- NAT-aware multipath tracerouting tool
- duc (1.4.3-6)
- high-performance disk usage analyzer
- duc-nox (1.4.3-6)
- high-performance disk usage analyzer (without X support)
- duck (0.13)
- checks URLs in debian/control and debian/upstream files
- ducktype (0.4-2)
- Command-line tool for Ducktype, a lightweight documentation syntax
- duende (2.0.13-1.2+deb10u1) [security]
- logging daemonizer
- duff (0.5.2-1.1+b2)
- Duplicate file finder
- duktape (2.3.0-1+deb10u1) [security]
- embeddable Javascript engine
- duktape-dev (2.3.0-1+deb10u1) [security]
- embeddable Javascript engine, development files
- dumb-init (1.2.2-1.1)
- wrapper script which proxies signals to a child
- dummydroid (1.2-2)
- Register a GSF ID for mock-up Android devices
- dump (0.4b46-5)
- backup and restore for ext2/3/4 filesystems
- dump1090-mutability (1.15~20180310.4a16df3+dfsg-6)
- ADS-B Ground Station System for RTL-SDR
- dumpasn1 (20170309-1)
- ASN.1 object dump program
- dumpet (2.1-10)
- dump information about bootable CDs and other similar formats
- dune (1.6.2-2)
- composable build system for OCaml projects
- dunst (1.3.2-1)
- dmenu-ish notification-daemon
- duperemove (0.11.1-3)
- extent-based deduplicator for file systems
- duplicity (0.7.18.2-1)
- encrypted bandwidth-efficient backup
- dupload (2.9.4)
- Debian package upload tool
- duply (2.1-1)
- easy to use frontend to the duplicity backup system
- durep (0.9-3)
- create disk usage reports
- dustmite (0~20170126.e95dff8-3)
- Tool for minimizing D source code
- dustracing2d (2.0.1-1)
- tile-based 2D racing game
- dustracing2d-data (2.0.1-1)
- data files for Dust Racing 2D
- dv4l (1.0-5+b2)
- Redirect V4L API to access a camcorder from a V4L program
- dvb-apps (1.1.1+rev1500-1.2)
- Digital Video Broadcasting (DVB) applications
- dvb-tools (1.16.3-3)
- Collection of command line DVB utilities
- dvbackup (1:0.0.4-9)
- backup tool using MiniDV camcorders
- dvbcut (0.7.3-1)
- Qt application for cutting parts out of DVB streams
- dvblast (3.4-1)
- Simple and powerful dvb-streaming application
- dvbpsi-utils (1.3.2-1)
- utilities and example programs for libdvbpsi
- dvbsnoop (1.4.50-5+b1)
- DVB / MPEG stream analyzer
- dvbstream (0.6+cvs20090621-2)
- Broadcast a DVB Transport stream over a LAN
- dvbstreamer (2.1.0-5+b1)
- a console based streamer for DVB/ATSC service(s)
- dvbtune (0.5.ds-1.1+b1)
- Simple tuning application for DVB cards
- dvcs-autosync (0.5+nmu1)
- Automatically synchronize distributed version control repositories
- dvd+rw-tools (7.1-14)
- DVD+-RW/R tools
- dvd-slideshow (0.8.6.1-1)
- set of tools to create DVD slideshows with menus
- dvdauthor (0.7.2-1)
- create DVD-Video file system
- dvdbackup (0.4.2-4+b1)
- tool to rip DVD's from the command line
- dvdbackup-dbg (0.4.2-4+b1)
- debug files for dvdbackup
- dvdisaster (0.79.5-9)
- bescherming tegen het verlies van data door veroudering van of krassen op cd's of dvd's
- dvdisaster-doc (0.79.5-9)
- data loss/scratch/aging protection for CD/DVD media (documentation)
- dvdtape (1.6-2+b1)
- Create DVD master filesystems on DLT media
- dvgrab (3.5+git20160707.1.e46042e-1)
- grab digital video data via IEEE1394 and USB links
- dvhtool (1.0.1-5+b2)
- Manipulate the volume header on sgi partition layouts
- dvi2dvi (2.0alpha-10)
- Tweak DVI files
- dvi2ps (5.1j-1.3)
- TeX DVI-driver for NTT JTeX, MulTeX and ASCII pTeX
- dvi2ps-fontdata-a2n (1.0.1-3)
- Font data to convert pTeX's dvi file to jTeX's dvi file
- dvi2ps-fontdata-ja (1.0.1-3)
- Font data for dvi2ps-j and dvi2dvi
- dvi2ps-fontdata-n2a (1.0.1-3)
- Font data to convert jTeX dvi file to pTeX dvi file
- dvi2ps-fontdata-ptexfake (1.0.1-3)
- Fake pTeX TFM files
- dvi2ps-fontdata-rsp (1.0.1-3)
- Font data of RICOH SP10 printer
- dvi2ps-fontdata-tbank (1.0.1-3)
- Font data of Typebank font
- dvi2ps-fontdata-three (1.0.1-3)
- Font data of Adobe Japanese fonts (futomin, futogo, jun101)
- dvi2ps-fontdesc-morisawa5 (0.5)
- fontdesc files of dvi2ps for Morisawa Basic-5 type faces
- dvidvi (1.0-8.2+b1)
- Manipulate .dvi files
- dvipdfmx
- virtueel pakket geboden door texlive-base
- dvipng (1.15-1.1)
- convert DVI files to PNG graphics
- dvorak7min (1.6.1+repack-4)
- typing tutor for Dvorak keyboards
- dvtm (0.15+40.g311a8c0-1)
- Tiling window management for the console
- dwarf-fortress (0.44.12+dfsg1-0+deb10u1) [non-free]
- Slaves to Armok: God of Blood Chapter II: Dwarf Fortress
- dwarf-fortress-data (0.44.12+dfsg1-0+deb10u1) [non-free]
- Dwarf Fortress data files
- dwarfdump (20180809-1)
- utility to dump DWARF debug information from ELF objects
- dwarves (1.12-2)
- set of advanced DWARF utilities
- dwdiff (2.1.2-2)
- diff program that operates word by word
- dwgsim (0.1.12-2)
- short sequencing read simulator
- dwm (6.1-5)
- dynamic window manager
- dwww (1.13.4+nmu3)
- Read all on-line documentation with a WWW browser
- dwz (0.12-3)
- DWARF compression tool
- dx (1:4.4.4-12)
- OpenDX (IBM Visualization Data Explorer) - main package
- dx-dev
- virtueel pakket geboden door libdx4-dev
- dx-doc (1:4.4.4-12)
- OpenDX (IBM Visualization Data Explorer) - documentation
- dxf2gcode (20170925-4)
- prepares drawings of parts for automatic machine tools
- dxsamples (4.4.0-4)
- Sample programs for the OpenDX Data Explorer
- dxtool (0.1-3)
- DistoX data download utility
- dxvk (0.96+ds1-1)
- Vulkan-based translation layer for Direct3D 10/11
- dxvk-wine32-development (0.96+ds1-1)
- Vulkan-based translation layer for Direct3D 10/11 - wine32 build
- dxvk-wine64-development (0.96+ds1-1)
- Vulkan-based translation layer for Direct3D 10/11 - wine64 build
- dynagen (0.11.0-7) [contrib]
- Cisco 7200 Router Emulator Command Line Interface
- dynamips (0.2.14-1) [non-free]
- Cisco 7200/3600/3725/3745/2600/1700 Router Emulator
- dynamite (0.1.1-2+b2)
- PKWARE Data Compression decompressor
- dynare (4.5.7-1)
- platform for handling a wide class of economic models
- dynare-doc (4.5.7-1)
- documentation for Dynare
- dynare-matlab (4.5.7-1) [contrib]
- MATLAB support for Dynare
- dyndns (2016.1021-2)
- dynamic DNS (DDNS) update client implemented in Perl
- dyndns-client
- virtueel pakket geboden door ez-ipupdate, ddclient, inadyn
- dzedit (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - ZEBRA documentation editor
- dzen2 (0.9.5~svn271-4+b1)
- General-purpose messaging and notification program for X11
- e-mem (1.0.1-2)
- Efficient computation of Maximal Exact Matches for very large genomes
- e-wrapper (0.1-2)
- invoke your editor, with optional file:lineno handling
- e00compr (1.0.1-5)
- Program to read/write Arcinfo compressed E00 files
- e17 (0.22.4-2+deb10u1) [security]
- transitional e17 package
- e17-data (0.22.4-2+deb10u1) [security]
- transitional e17-data package
- e2fsck-static (1.44.5-1+deb10u3)
- statically-linked version of the ext2/ext3/ext4 filesystem checker
- e2fslibs (1.44.5-1+deb10u3)
- transitional package
- e2fslibs (= 1.44.5-1+deb10u2)
- virtueel pakket geboden door libext2fs2
- e2fslibs (= 1.44.5-1+deb10u3)
- virtueel pakket geboden door libext2fs2
- e2fslibs-dev (1.44.5-1+deb10u3)
- transitional package
- e2fslibs-dev (= 1.44.5-1+deb10u2)
- virtueel pakket geboden door libext2fs-dev
- e2fslibs-dev (= 1.44.5-1+deb10u3)
- virtueel pakket geboden door libext2fs-dev
- e2fsprogs (1.44.5-1+deb10u3)
- ext2/ext3/ext4 file system utilities
- e2fsprogs-l10n (1.44.5-1+deb10u3)
- ext2/ext3/ext4 file system utilities - translations
- e2fsprogs-udeb (1.44.5-1+deb10u3)
- stripped-down versions of e2fsprogs, for debian-installer
- e2guardian (5.3.1-1+deb10u1) [security]
- Web content filtering (Dansguardian fork)
- e2ps (4.34-5)
- Convert plain text into PostScript
- e2tools (0.0.16-6.1+b2)
- utilities for manipulating files in an ext2/ext3 filesystem
- e2wm (1.4-2)
- simple window manager for emacs
- e3 (1:2.71-2)
- very small text editor
- ea-utils (1.1.2+dfsg-5)
- command-line tools for processing biological sequencing data
- eag-healpix-java (2017.09.06-1)
- Handling of HEALPix sky pixellization
- eag-healpix-java-doc (2017.09.06-1)
- Handling of HEALPix sky pixellization (API docs)
- eancheck (1.0-2)
- Check digit validator for EAN/PLU/UPC barcode numbers
- earlyoom (1.2-1)
- Early OOM Daemon
- easy-rsa (3.0.6-1)
- Simple shell based CA utility
- easychem (0.6-8+b1)
- Draw high-quality molecules and 2D chemical formulas
- easygit (0.99-2)
- git for mere mortals
- easyh10 (1.5-4)
- Utility to manage the iRiver H10 music player
- easyspice (0.6.8-3) [contrib]
- Graphical frontend to the Spice simulator
- easytag (2.4.3-4)
- GTK+ editor for audio file tags
- easytag-nautilus (2.4.3-4)
- Nautilus extension for easytag
- eatmydata (105-7)
- Library and utilities designed to disable fsync and friends
- eatmydata-udeb (105-7)
- Disable fsync and friends during installation to speed up the install
- eb-doc (4.4.3-12)
- C library for accessing electronic books (documents)
- eb-utils (4.4.3-12)
- C library for accessing electronic books (utilities)
- ebhttpd (1:1.0.dfsg.1-4.3+b2)
- specialized HTTP server to access CD-ROM books
- eblook (1:1.6.1-15)
- electronic dictionary search command using EB Library
- ebnetd (1:1.0.dfsg.1-4.3+b2)
- the server of EBNET protocol
- ebnetd-common (1:1.0.dfsg.1-4.3+b2)
- Common files for the EBNETD suite
- eboard (1.1.3-0.4~deb10u1)
- GTK+ chessboard program
- ebook-dev-alp (200407-2) [non-free]
- Advanced Linux Programming
- ebook-speaker (5.0.0-1+b1)
- eBook reader that reads aloud in a synthetic voice
- ebook-tools-dbg (0.2.2-4+b4)
- library and tools to work with the EPUB file format - debug symbols
- ebook2cw (0.8.2-2+b1)
- convert ebooks to Morse MP3s/OGGs
- ebook2cwgui (0.1.2-4)
- GUI for ebook2cw
- ebook2epub (0.9.6-2)
- other E-Book formats to EPUB converter
- ebook2odt (0.9.6-2)
- E-Book formats to OpenDocument converter
- ebtables (2.0.10.4+snapshot20181205-3)
- Ethernet bridge frame table administration
- ebumeter (0.4.0-4)
- loudness measurement according to EBU-R128
- ebumeter-doc (0.4.0-4)
- loudness measurement EBU-R128 - documentation
- ebview (0.3.6.2-2)
- EPWING dictionary viewer
- ecaccess (4.0.1-1)
- clients to access ECMWF facilities
- ecasound (2.9.1-7+b3)
- multitrack-capable audio recorder and effect processor
- ecasound-doc (2.9.1-7)
- documentation files for Ecasound
- ecasound-el (2.9.1-7)
- multitrack-capable audio recorder and effect processor (emacs)
- ecatools (2.9.1-7+b3)
- multitrack-capable audio recorder and effect processor (tools)
- ecb (2.40+git20140216-2)
- code browser for Emacs supporting several languages
- ecdsautils (0.3.2+git20151018-2+deb10u1)
- ECDSA elliptic curve cryptography command line tools
- ecflow-client (4.12.0-1)
- Client tools for Meteorological workflow
- ecflow-server (4.12.0-1)
- Meteorological workflow controller - server
- echoping (6.0.2-10)
- Small test tool for TCP servers
- ecj (3.16.0-1)
- standalone version of the Eclipse Java compiler
- ecl (16.1.3+ds-2)
- Embeddable Common-Lisp: has an interpreter and can compile to C
- eclib-tools (20180815-2)
- Programs for modular symbols and elliptic curves over Q
- eclipse-debian-helper (1.5)
- Helper tools for building Eclipse related packages
- eclipse-titan (6.5.0-1+b1)
- TITAN TTCN-3 toolset from the Eclipse project
- ecopcr (1.0.1+dfsg-1)
- estimate PCR barcode primers quality
- ed (1.15-1)
- classic UNIX line editor
- ed2k-hash (0.4.0+ds-2)
- tool for generating ed2k-links
- edac-utils (0.18-1+b1)
- report kernel-detected PCI and ECC RAM errors
- edb-debugger (1.0.0-1)
- cross platform x86/x86-64 debugger
- edb-debugger-plugins (1.0.0-1)
- edb plugins
- edbrowse (3.7.4-3)
- /bin/ed-alike webbrowser written in C
- edenmath.app (1.1.1a-8+b1)
- Scientific calculator for GNUstep
- edfbrowser (1.67+dfsg-1)
- viewer for biosignal storage files such as bdf and edf
- edict (2019.02.20-1)
- English / Japanese dictionary
- edict-el (1.06-11)
- Emacs interface to Edict
- edid-decode (0.1~git20180813.b2da1516-1)
- decode the binary EDID information from monitors
- editmoin (1.17-4)
- edit MoinMoin wiki pages with your favourite editor
- editor
- virtueel pakket geboden door emacs-lucid, fte-console, vim-athena, vim-gtk, vim, scite, fte-terminal, edbrowse, vile, xvile, emacs-nox, vim-nox, xjed, vim-gtk3, neovim, vis, mg, levee, ne, jed, lpe, jove, vim-tiny, le, emacs-gtk, jupp, fte-xwindow
- editorconfig (0.12.1-1.1)
- coding style indenter for all editors - commandline tools
- editorconfig-doc (0.12.1-1.1)
- coding style indenter across editors - documentation
- editra (0.7.20+dfsg.1-3)
- simple multi-platform text editor
- edlib-aligner (1.2.4-1)
- edlib sequence alignment tool using edit distance
- edtsurf (0.2009-6)
- triangulated mesh surfaces for protein structures
- education-astronomy (2.10.47)
- Debian Edu astronomy related applications
- education-chemistry (2.10.47)
- Debian Edu chemistry related applications
- education-common (2.10.47)
- Debian Edu common basic packages
- education-desktop-gnome (2.10.47)
- Debian Edu GNOME desktop applications
- education-desktop-kde (2.10.47)
- Debian Edu KDE desktop applications
- education-desktop-lxde (2.10.47)
- Debian Edu LXDE desktop applications
- education-desktop-lxqt (2.10.47)
- Debian Edu LXQt desktop applications
- education-desktop-mate (2.10.47)
- Debian Edu MATE desktop applications
- education-desktop-other (2.10.47)
- Debian Edu common desktop applications
- education-desktop-xfce (2.10.47)
- Debian Edu Xfce desktop applications
- education-development (2.10.47)
- Debian Edu software development related educational applications
- education-electronics (2.10.47)
- Debian Edu electronics related applications
- education-geography (2.10.47)
- Debian Edu applications for geography
- education-graphics (2.10.47)
- Debian Edu graphics related applications
- education-highschool (2.10.47)
- Debian Edu applications for high school level
- education-language (2.10.47)
- Debian Edu language related educational applications
- education-laptop (2.10.47)
- Debian Edu laptop packages
- education-logic-games (2.10.47)
- Debian Edu logic games
- education-ltsp-server (2.10.47)
- Debian Edu LTSP server packages
- education-main-server (2.10.47)
- Debian Edu main server packages
- education-mathematics (2.10.47)
- Debian Edu mathematical applications
- (2.10.47)
- Debian Edu menu reorganization
- education-misc (2.10.47)
- Debian Edu miscellaneous applications for education
- education-music (2.10.47)
- Debian Edu music and sound applications
- education-networked (2.10.47)
- Debian Edu additional packages for networked systems
- education-networked-common (2.10.47)
- Debian Edu common packages for networked systems
- education-physics (2.10.47)
- Debian Edu physics related applications
- education-preschool (2.10.47)
- Debian Edu applications for pre school level
- education-primaryschool (2.10.47)
- Debian Edu applications for primary school level
- education-roaming-workstation (2.10.47)
- Debian Edu roaming workstation packages
- education-secondaryschool (2.10.47)
- Debian Edu applications for secondary school level
- education-standalone (2.10.47)
- Debian Edu standalone workstation packages
- education-tasks (2.10.47)
- Debian Edu tasks for tasksel
- education-thin-client (2.10.47)
- Debian Edu LTSP client packages
- education-video (2.10.47)
- Debian Edu video applications
- education-workstation (2.10.47)
- Debian Edu networked workstation packages
- eegdev-plugins-free (0.2-4)
- Biosignal acquisition device library (free plugins)
- eekboek (2.03.01-1)
- Bookkeeping software for small and medium-size businesses
- eekboek-db-postgresql (2.03.01-1)
- PostgreSQL database driver for EekBoek
- eekboek-gui (2.03.01-1)
- Graphical User Interface for EekBoek
- efa
- virtueel pakket geboden door libtravel-routing-de-vrr-perl
- efax (1:0.9a-19.1)
- programs to send and receive fax messages
- efax-gtk (3.2.8-2.1)
- front end in GTK+ for the efax program
- efetch
- virtueel pakket geboden door acedb-other
- efi-modules
- virtueel pakket geboden door efi-modules-4.19.0-20-arm64-di, efi-modules-4.19.0-21-armmp-di, efi-modules-4.19.0-21-amd64-di, efi-modules-4.19.0-20-amd64-di, efi-modules-4.19.0-21-686-pae-di, efi-modules-4.19.0-20-686-di, efi-modules-4.19.0-20-armmp-di, efi-modules-4.19.0-20-686-pae-di, efi-modules-4.19.0-21-686-di, efi-modules-4.19.0-21-arm64-di
- efi-modules-4.19.0-20-686-di (4.19.235-1)
- EFI modules
- efi-modules-4.19.0-20-686-pae-di (4.19.235-1)
- EFI modules
- efi-modules-4.19.0-20-amd64-di (4.19.235-1)
- EFI modules
- efi-modules-4.19.0-20-arm64-di (4.19.235-1)
- EFI modules
- efi-modules-4.19.0-20-armmp-di (4.19.235-1)
- EFI modules
- efi-modules-4.19.0-21-686-di (4.19.249-2)
- EFI modules
- efi-modules-4.19.0-21-686-pae-di (4.19.249-2)
- EFI modules
- efi-modules-4.19.0-21-amd64-di (4.19.249-2)
- EFI modules
- efi-modules-4.19.0-21-arm64-di (4.19.249-2)
- EFI modules
- efi-modules-4.19.0-21-armmp-di (4.19.249-2)
- EFI modules
- efi-reader (0.16)
- Select default values from EFI configuration.
- efibootmgr (15-1)
- Interact with the EFI Boot Manager
- efingerd (1.6.5+b1)
- Another finger daemon for unix capable of fine-tuning your output.
- efitools (1.8.1-1)
- Tools to manipulate EFI secure boot keys and signatures
- efivar (37-2+deb10u1)
- Tools to manage UEFI variables
- efl-doc (1.21.1-5)
- Documentation for the Enlightenment Foundation Libraries
- eflite (0.4.1-9)
- Festival-Lite based emacspeak speech server
- efp (1.6-1)
- Escape from Pong NES game
- efte (1.1-2+b3)
- Advanced lightweight configurable editor
- egctl (1:0.1-1)
- control state of EnerGenie Programmable surge protector
- eggdrop (1.6.21-4+b1)
- Advanced IRC Robot
- eggdrop-data (1.6.21-4)
- Architecture independent files for eggdrop
- egl-icd
- virtueel pakket geboden door nvidia-legacy-390xx-egl-icd, nvidia-egl-icd
- eglexternalplatform-dev (1.0+git20181101-1)
- EGL External Platform Interface -- development files
- eiciel (0.9.12.1-1)
- graphical editor for POSIX ACLs and extended user attributes
- eigensoft (7.2.1+dfsg-1)
- reduction of population bias for genetic analyses
- einstein (2.0.dfsg.2-10)
- Puzzle game inspired on Einstein's puzzle
- eiskaltdcpp (2.2.10+340+g44d8aea4-1)
- EiskaltDC++ metapackage
- eiskaltdcpp-cli (2.2.10+340+g44d8aea4-1)
- EiskaltDC++ command-line interface
- eiskaltdcpp-common (2.2.10+340+g44d8aea4-1)
- common files for EiskaltDC++
- eiskaltdcpp-daemon (2.2.10+340+g44d8aea4-1)
- EiskaltDC++ daemon
- eiskaltdcpp-emoticons (2.2.10+340+g44d8aea4-1)
- emoticons for EiskaltDC++
- eiskaltdcpp-gtk (2.2.10+340+g44d8aea4-1)
- EiskaltDC++ GUI based on GTK+
- eiskaltdcpp-gtk-data (2.2.10+340+g44d8aea4-1)
- data files for EiskaltDC++ Gtk
- eiskaltdcpp-gtk3
- virtueel pakket geboden door eiskaltdcpp-gtk
- eiskaltdcpp-qt (2.2.10+340+g44d8aea4-1)
- EiskaltDC++ GUI based on Qt
- eiskaltdcpp-qt-data (2.2.10+340+g44d8aea4-1)
- data files for EiskaltDC++ Qt
- eiskaltdcpp-qt-mini
- virtueel pakket geboden door eiskaltdcpp-qt
- eiskaltdcpp-scripts (2.2.10+340+g44d8aea4-1)
- scripts examples for EiskaltDC++
- eiskaltdcpp-sounds (2.2.10+340+g44d8aea4-1)
- sound files for EiskaltDC++
- eja (9.5.20-1)
- micro web server for static and dynamic Lua generated content
- ejabberd (18.12.1-2)
- distributed, fault-tolerant Jabber/XMPP server
- ejabberd-contrib (0.2018.12.10~dfsg0-3)
- user-contributed modules for ejabberd (metapackage)
- ejabberd-mod-cron (0.2018.12.10~dfsg0-3)
- execute scheduled commands
- ejabberd-mod-log-chat (0.2018.12.10~dfsg0-3)
- logs chat messages to text or html
- ejabberd-mod-logsession (0.2018.12.10~dfsg0-3)
- logs session connections to a text file
- ejabberd-mod-logxml (0.2018.12.10~dfsg0-3)
- logs XMPP packets to an XML file
- ejabberd-mod-message-log (0.2018.12.10~dfsg0-3)
- writes a line for each message to a log file
- ejabberd-mod-muc-log-http (0.2018.12.10~dfsg0-3)
- serves MUC logs on the web
- ejabberd-mod-post-log (0.2018.12.10~dfsg0-3)
- logs all messages to an HTTP API
- ejabberd-mod-pottymouth (0.2018.12.10~dfsg0-3)
- filter for ejabberd messages using blacklists
- ejabberd-mod-rest (0.2018.12.10~dfsg0-3)
- HTTP interface to POST arbitrary stanzas to ejabberd
- ejabberd-mod-s2s-log (0.2018.12.10~dfsg0-3)
- logs XMPP s2s connections
- ejabberd-mod-shcommands (0.2018.12.10~dfsg0-3)
- execute shell commands via XMPP (dangerous!)
- ejabberd-mod-statsdx (0.2018.12.10~dfsg0-3)
- calculates and gathers statistics actively
- ejabberd-mod-webpresence (0.2018.12.10~dfsg0-3)
- allows any local ejabberd user to publish his presence information
- eject (2.1.5+deb1+cvs20081104-13.2)
- ejects CDs and operates CD-Changers under Linux
- eject-udeb (2.1.5+deb1+cvs20081104-13.2)
- ejects CDs from d-i menu
- ekeyd (1.1.5-6.2)
- Simtec Electronics UDEKEY01 Entropy Key Daemon
- ekeyd-egd-linux (1.1.5-6.2)
- Transfers entropy from an EGD to the Linux kernel pool
- ekg2 (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems
- ekg2-api-docs (1:0.4~pre+20120506.1-16)
- instant messenger and IRC client for UNIX systems - API documentation
- ekg2-core (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - main program
- ekg2-gnupg (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - GnuPG
- ekg2-jabber (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - Jabber/XMPP
- ekg2-scripting-perl (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - Perl scripting
- ekg2-scripting-python (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - Python scripting
- ekg2-ui-gtk (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - GTK+ interface
- ekg2-ui-ncurses (1:0.4~pre+20120506.1-16+b1)
- instant messenger and IRC client for UNIX systems - ncurses interface
- el-ixir (3.0-2)
- two-player board game with randomness
- elastalert (0.1.29-2)
- easy and flexible alerting with Elasticsearch
- elastalert-doc (0.1.29-2)
- easy and flexible alerting with Elasticsearch (documentation)
- elasticsearch-curator (5.2.0-1)
- command-line tool for managing Elasticsearch time-series indices
- elastix (4.9.0-1)
- toolbox for rigid and nonrigid registration of images
- elastix-doc (4.9.0-1)
- toolbox for rigid and nonrigid registration of images - docs
- eldav (0.8.1-10)
- interface to the WebDAV servers for Emacs.
- electric (9.07+dfsg-5)
- electrical CAD system
- electric-fence (2.2.5)
- A malloc(3) debugger
- electronics-all (0.3)
- Default selection of tasks for Debian Electronics
- electronics-analog (0.3)
- Debian packages for analog design
- electronics-asic-dev (0.3)
- Debian ASIC development packages
- electronics-cad-gui (0.3)
- Debian packages for CAD tools with GUI
- electronics-digital (0.3)
- Debian packages for digital design
- electronics-doc (0.3)
- Debian packages containing documentation for electronics
- electronics-dsp-dev (0.3)
- Debian packages for filter coefficient calculation etc
- electronics-fpga-dev (0.3)
- Debian FPGA development packages
- electronics-gadgets (0.3)
- Debian packages for interacting with gadgets
- electronics-measurements (0.3)
- Packages for electronic measurements
- electronics-microcontrollers (0.3)
- Debian packages for dealing with microcontrollers
- electronics-pcb (0.3)
- Debian packages for PCB development
- electronics-radio-dev (0.3)
- Packages for design of circuits at radio frequencies
- electronics-simulation (0.3)
- Debian packages for simulation of electronic circuits
- electronics-tasks (0.3)
- Debian Electronics tasks for tasksel
- elementary-xfce-icon-theme (0.13.1-1)
- elementary icon theme modified for Xfce
- elf-binutils
- virtueel pakket geboden door binutils
- elfrc (0.7-2)
- convert arbitrary files into elf objects
- elfutils (0.176-1.1+deb10u1) [security]
- collection of utilities to handle ELF objects
- elida (0.4+nmu1)
- pbuilder mail interface
- elinks (0.13~20190125-3)
- advanced text-mode WWW browser
- elinks-data (0.13~20190125-3)
- advanced text-mode WWW browser - data files
- elinks-doc (0.13~20190125-3)
- advanced text-mode WWW browser - documentation
- elixir (1.7.4-0.1+deb10u1) [security]
- functional meta-programming aware language
- elk (3.99.8-4.2+b1)
- scheme interpreter
- elk-lapw (5.4.24-2)
- All-Electron Density-Functional Electronic Structure Code
- elkdoc (3.99.8-4.2)
- documentation for the Extension Language Kit
- elki (0.7.1-10.1)
- Data mining algorithm development framework
- elki-dev (0.7.1-10.1)
- Data mining algorithm development framework - development files
- elks-libc (0.16.17-3.3)
- 16-bit x86 C library and include files
- elogind (239.3+20190131-1+debian1)
- user, seat and session management daemon
- elpa-ac-rtags (2.21-3)
- auto-complete back-end for RTags
- elpa-ace-link (0.5.0-2)
- selecting a link to jump to
- (0.2.1-2)
- replace GUI popup menu with something more efficient
- elpa-ace-window (0.9.0-3)
- selecting a window to switch to
- elpa-ag (0.47-2.1)
- Emacs frontend to ag
- elpa-agda2-mode (2.5.4.1-3)
- dependently typed functional programming language — emacs mode
- elpa-aggressive-indent (1.9.0-1)
- Emacs minor mode that reindents code after every change
- elpa-anzu (0.62-2)
- show number of matches in mode-line while searching
- elpa-apache-mode (2.1+4.g97bf66c-2)
- Emacs major mode for editing Apache configuration files
- elpa-apiwrap (0.5-2)
- api-wrapping macros
- elpa-assess (0.5-1)
- test support functions for Emacs
- elpa-async (1.9.3-1)
- simple library for asynchronous processing in Emacs
- elpa-ats2-mode (0.3.11-2)
- ATS version 2 programming language emacs mode
- elpa-auto-complete (1.5.1-0.1)
- intelligent auto-completion extension for GNU Emacs
- elpa-auto-dictionary (1.1-1)
- automatic dictionary switcher for Emacs spell checking
- elpa-avy (0.4.0+git20190328.85b5d574-1)
- jump to things in Emacs tree-style
- (0.1.1-2)
- library providing avy-powered popup menu
- elpa-bar-cursor (2.0-1)
- switch Emacs block cursor to a bar
- elpa-beacon (1.3.3-2)
- highlight the cursor whenever the window scrolls
- elpa-beginend (2.0.0-3)
- redefine M-< and M-> for some modes to get to meaningful locations
- elpa-bind-chord (2.4-2)
- key-chord binding helper for use-package-chords
- elpa-bind-key (2.4-2)
- simple way to manage personal keybindings
- elpa-bind-map (1.1.1-2)
- bind personal keymaps in multiple locations
- elpa-bm (201808-1)
- visual bookmarks for GNU Emacs
- elpa-boxquote (2.1-2)
- quote text in Emacs with a semi-box.
- elpa-browse-kill-ring (2.0.0-2)
- interactively insert items from kill-ring
- elpa-bug-hunter (1.3.1+repack-2)
- automatically debug and bisect your init.el or .emacs file
- elpa-buttercup (1.16-1)
- behaviour-driven testing for Emacs Lisp packages
- elpa-c-sig (3.8-22)
- signature tool for GNU Emacs
- (0.1.1-1)
- create your own menu for fast insertion of arbitrary symbols
- elpa-cider (0.19.0+dfsg-2)
- Clojure IDE for Emacs
- elpa-circe (2.10-1)
- client for IRC in Emacs
- elpa-clojure-mode (5.10.0-1)
- Emacs major mode for Clojure code
- (5.10.0-1)
- extra font-locking for clojure-mode
- elpa-clues-theme (1.0.1-1.1)
- cream/brown/orange color theme for Emacs
- elpa-company (0.9.9-2)
- Modular in-buffer completion framework for Emacs
- elpa-company-lsp (2.1.0-1)
- Company completion backend for emacs lsp-mode.
- elpa-company-rtags (2.21-3)
- company back-end for RTags
- elpa-concurrent (0.5.1-2)
- higher level library for concurrent tasks
- elpa-counsel (0.11.0+dfsg-1)
- collection of Ivy-enhanced versions of common Emacs commands
- elpa-csv-mode (1.7-1)
- Emacs major mode for editing comma/char separated values
- elpa-ctable (0.1.2-3)
- table component for Emacs Lisp
- elpa-cycle-quotes (0.1-2)
- Emacs command to cycle between quotation marks
- elpa-darcsum (1.10+20120116-2)
- pcl-cvs like interface for managing darcs patches
- elpa-dash (2.14.1+dfsg-1)
- Modern list manipulation library for Emacs
- elpa-dash-functional (1.2.0+dfsg-5)
- collection of functional combinators for Emacs Lisp
- elpa-db (0.0.6+git20140421.b3a423f-1)
- database interface for Emacs Lisp
- elpa-debian-el (37.8)
- Emacs helpers specific to Debian users
- elpa-debpaste (0.1.5-2)
- paste.debian.net client for Emacs
- elpa-deferred (0.5.1-2)
- simple asynchronous functions for Emacs Lisp
- elpa-deft (0.8-1)
- Emacs mode to browse, filter, and edit plain text notes
- elpa-devscripts (40.3)
- Emacs wrappers for the commands in devscripts
- elpa-dictionary (1.10+git20190107-2)
- dictionary client for Emacs
- elpa-diff-hl (1.8.6-1)
- highlight uncommitted changes using VC
- elpa-diffview (1.0-2)
- view diffs in side-by-side format
- elpa-diminish (0.45-2)
- hiding or abbreviation of the mode line displays of minor-modes
- elpa-dired-du (0.5.1-1)
- dired with recursive directory sizes
- elpa-dired-quick-sort (0.1-3)
- persistent quick sorting of dired buffers in various ways
- elpa-dired-rsync (0.4-1)
- support for rsync from Emacs dired buffers
- elpa-discover-my-major (1.0-2)
- discover key bindings and their meaning for the current Emacs major mode
- elpa-dpkg-dev-el (37.7)
- Emacs helpers specific to Debian development
- elpa-dumb-jump (0.5.2-2)
- jump to definition for multiple languages without configuration
- elpa-ebib (2.15.4-1)
- BibTeX database manager for Emacs
- elpa-ediprolog (1.2-2)
- Emacs Does Interactive Prolog
- elpa-editorconfig (0.7.14-1)
- coding style indenter for all editors - Emacsen plugin
- elpa-el-mock (1.25.1-3)
- tiny mock and stub framework for Emacs Lisp
- elpa-el-x (0.3.1-2)
- Emacs Lisp extensions
- elpa-elfeed (3.1.0-1)
- Emacs Atom/RSS feed reader
- elpa-elfeed-web (3.1.0-1)
- Emacs Atom/RSS feed reader - web interface
- elpa-elisp-refs (1.3-1)
- find callers of elisp functions or macros
- elpa-elisp-slime-nav (0.9-2)
- Emacs extension that provide Emacs Lisp code navigation
- elpa-elpy (1.28.0-2)
- Emacs Python Development Environment
- elpa-engine-mode (2.0.0-2)
- define and query search engines from within Emacs
- elpa-epc (0.1.1-3)
- RPC stack for Emacs Lisp
- elpa-epl (0.9-1)
- Emacs Package Library
- elpa-eproject (1.5+git20180312.068218d-2)
- assign files to Emacs projects, programmatically
- elpa-ert-async (0.1.2-2)
- asynchronous tests for the Emacs ERT testing framework
- elpa-ert-expectations (0.2-2)
- very simple unit test framework for Emacs Lisp
- elpa-esh-help (1.0.1-2)
- add some help functions and support for Eshell
- elpa-eshell-bookmark (2.0.0-2)
- integrate bookmarks with Eshell
- elpa-eshell-git-prompt (0.1.2-2)
- Eshell prompt themes for Git users
- (0.96-2)
- display extra information in your Eshell prompt
- elpa-eshell-up (0.0.3-2)
- quickly go to a specific parent directory in eshell
- elpa-ess (18.10.2-1)
- Emacs mode for statistical programming and data analysis
- elpa-esxml (0.3.4-1)
- XML, ESXML and SXML library for Emacs Lisp
- elpa-evil (1.2.12-3)
- extensible vi layer for Emacs
- elpa-evil-paredit (0.0.2-2)
- emacs extension, integrating evil and paredit
- elpa-expand-region (0.11.0-3)
- Increase selected region in Emacs by semantic units
- elpa-eyebrowse (0.7.7-1)
- simple-minded way of managing window configs in Emacs
- elpa-f (0.20.0-1)
- modern API for working with files and directories in Emacs Lisp
- elpa-faceup (0.0.4-3)
- Regression test system for font-lock
- elpa-fill-column-indicator (1.90-2)
- graphically indicate the fill column
- elpa-find-file-in-project (5.7.3-1)
- quick access to project files in Emacs
- elpa-flx (0.6.1-2)
- sorting algorithm for fuzzy matching in Emacs
- elpa-flx-ido (0.6.1-2)
- allows Emacs Ido to use the flx sorting algorithm
- elpa-flycheck (31-3)
- modern on-the-fly syntax checking for Emacs
- elpa-flycheck-package (0.12-2)
- flycheck checker for Elisp package authors
- elpa-flycheck-rtags (2.21-3)
- flycheck integration for RTags
- elpa-folding (0+20170925.1538-2)
- folding-editor minor mode for Emacs
- elpa-fountain-mode (2.6.1-1)
- Emacs major mode for screenwriting in Fountain markup
- elpa-fsm (0.2.1-2)
- state machine library
- elpa-geiser (0.8.1-4)
- enhanced Scheme interaction mode for Emacs
- elpa-ghub (3.2.0-1)
- minuscule client for the Github API
- elpa-ghub+ (0.3-2)
- thick GitHub API client built on ghub
- elpa-git-annex (1.1-2)
- Emacs integration for git-annex
- elpa-git-commit (2.90.1-2)
- Major mode for editing git commit message
- elpa-git-messenger (0.18-3)
- pop up last commit information of current line
- elpa-git-modes (1.2.8-1)
- major modes for editing Git configuration files
- elpa-git-timemachine (4.8-1)
- walk through git revisions of a file
- elpa-gitattributes-mode (1.2.8-1)
- major mode for editing Git configuration files (gitattributes)
- elpa-gitconfig-mode (1.2.8-1)
- major mode for editing Git configuration files (gitconfig and gitmodules)
- elpa-gitignore-mode (1.2.8-1)
- major mode for editing Git configuration files (gitignore)
- elpa-gitlab-ci-mode (20190213.1-1)
- Emacs mode for editing GitLab CI files
- elpa-gnuplot-mode (1:0.7.0-2014-12-31-1)
- Gnuplot mode for Emacs
- elpa-go-mode (3:1.5.0-2)
- Emacs mode for editing Go code
- elpa-golden-ratio (1.0-3)
- automatic resizing of Emacs windows to the golden ratio
- elpa-goo (0.155-17)
- generic object-orientator (Emacs support)
- elpa-goto-chg (1.6-2)
- navigate the point to the most recent edit in the buffer
- elpa-graphql (0.1.1-3)
- GraphQL utilities
- elpa-graphviz-dot-mode (0.4+41+gc456a2b-1)
- Emacs mode for the dot-language used by graphviz.
- elpa-haskell-mode (16.1-6)
- major mode for editing Haskell in Emacs
- elpa-helm (3.0-1)
- Emacs incremental completion and selection narrowing framework
- elpa-helm-ag (0.58-1)
- Silver Searcher integration with Emacs Helm
- elpa-helm-core (3.0-1)
- Emacs Helm library files
- elpa-helm-projectile (0.14.0-3)
- Helm integration for Projectile
- elpa-helm-rtags (2.21-3)
- helm interface for RTags
- elpa-helm-virtualenvwrapper (0.1.0-2)
- helm-source for virtualenvwrapper.el
- elpa-helpful (0.15-1)
- better *help* buffer
- elpa-highlight-indentation (0.7.0-3)
- highlight the indentation level in Emacs buffers
- elpa-highlight-numbers (0.2.3-3)
- highlight numbers in source code
- elpa-hl-todo (2.2.0-1)
- highlight TODO and similar keywords in comments and strings
- elpa-ht (2.2-2)
- hash table library for Emacs
- elpa-htmlize (1.54-1)
- convert buffer text and decorations to HTML
- elpa-hungry-delete (1.1.5-4)
- enable hungry deletion in all modes
- elpa-hydra (0.14-3)
- make Emacs bindings that stick around
- elpa-ibuffer-projectile (0.2-3)
- group buffers in ibuffer list by Projectile project
- elpa-ibuffer-vc (0.10-2)
- group ibuffer list by VC project and show VC status
- elpa-ido-completing-read+ (4.11-1)
- completing-read-function using ido
- elpa-ido-ubiquitous (4.11-1)
- transitional package for elpa-ido-completing-read+
- elpa-ido-vertical-mode (0.1.6-2)
- make ido-mode display vertically
- elpa-iedit (0.9.9.9-2)
- edit multiple regions in the same way simultaneously
- (0.8-1)
- show the current Emacs buffer's imenu entries in a separate window
- elpa-initsplit (1.8+3+gc941d43-1)
- code to split customizations into different files
- elpa-irony (1.3.1-1)
- Emacs C/C++ minor mode powered by libclang
- elpa-ivy (0.11.0+dfsg-1)
- generic completion mechanism for Emacs
- elpa-ivy-hydra (0.11.0+dfsg-1)
- additional key bindings for Emacs Ivy
- elpa-ivy-rtags (2.21-3)
- ivy back-end for RTags
- elpa-jabber (0.8.92+git98dc8e-4)
- Jabber client for Emacsen
- elpa-jedi (0.2.7-1)
- Python auto-completion for Emacs
- elpa-jedi-core (0.2.7-1)
- common code of jedi.el and company-jedi.el
- elpa-jinja2-mode (0.2-2)
- Emacs major mode for editing jinja2 code
- elpa-js2-mode (0~20180301-1)
- Emacs mode for editing Javascript programs
- elpa-key-chord (0.6-2)
- map pairs of simultaneously pressed keys to commands
- elpa-kv (0.0.19+git20140108.7211484-2)
- key/value data structure functions for Emacs Lisp
- elpa-lbdb (0.48.1)
- Little Brother's DataBase Emacs extensions
- elpa-ledger (3.1.2~pre2+g3ec8506e-2)
- command-line double-entry accounting program (emacs interface)
- elpa-let-alist (1.0.5-3)
- let-bind values of an assoc-list by their names in Emacs Lisp
- elpa-linum-relative (0.6-2)
- display relative line number in Emacs
- elpa-load-relative (1.3+repack-2)
- relative file load (within a multi-file Emacs package)
- elpa-loop (1.3-2)
- friendly imperative loop structures for Emacs Lisp
- elpa-lsp-haskell (1.0.20190211-1)
- Haskell support for lsp-mode
- elpa-lsp-mode (6.0-1)
- Emacs client/library for the Language Server Protocol
- elpa-lsp-ui (6.0-2)
- UI modules for lsp-mode
- elpa-lua-mode (20151025-3)
- Emacs major-mode for editing Lua programs
- elpa-m-buffer (0.15-2)
- list-oriented, functional buffer manipulation
- elpa-magit (2.90.1-2)
- Emacs interface for Git
- elpa-magit-annex (1.7.1-1)
- git-annex subcommands for magit
- (2.12.5-1)
- Use popup like Magit
- elpa-magithub (0.1.7-1)
- Magit interfaces for GitHub
- elpa-mailscripts (0.7-1)
- Emacs functions for accessing tools in the mailscripts package
- elpa-makey (0.3-2)
- flexible context menu system
- elpa-markdown-mode (2.3+154-2)
- mode for editing Markdown-formatted text files in GNU Emacs.
- elpa-markdown-toc (0.1.2-1)
- Emacs TOC (table of contents) generator for markdown files
- elpa-memoize (1.1-2)
- memoization functions
- elpa-meson-mode (0.1-3)
- Major mode for the Meson build system files
- elpa-message-templ (0.3.20161104-1)
- templates for Emacs message-mode
- elpa-migemo (1.9.1-4)
- Japanese incremental search with Romaji on Emacsen
- elpa-minimap (1.2-2)
- sidebar showing a "mini-map" of a buffer
- elpa-mocker (0.3.1-2)
- mocking framework for Emacs
- elpa-monokai-theme (3.5.3-1)
- fruity color theme for Emacs
- elpa-move-text (2.0.8-2)
- move current line or region up and down
- elpa-muse (3.20+dfsg-4)
- Author and publish projects using Wiki-like markup
- elpa-mutt-alias (1.5-2)
- Emacs package to lookup and insert expanded Mutt mail aliases
- elpa-muttrc-mode (1.2.1-1)
- Emacs major mode for editing muttrc
- elpa-no-littering (1.0.1-1)
- help keeping ~/.emacs.d clean
- elpa-noflet (0.0.15-3)
- Emacs Lisp noflet macro for dynamic, local advice
- elpa-nose (0.1.1-3)
- easy Python test running in Emacs
- elpa-notmuch (0.28.4-1)
- thread-based email index, search and tagging (emacs interface)
- elpa-nov (0.2.7-1)
- Featureful EPUB reader mode
- elpa-nrepl
- virtueel pakket geboden door elpa-cider
- elpa-olivetti (1.6.1-1)
- Emacs minor mode to more comfortably write prose
- elpa-openwith (0.8g-3)
- seamlessly open files in external programs with Emacs
- elpa-org (9.1.14+dfsg-3+deb10u2) [security]
- Keep notes, maintain ToDo lists, and do project planning in emacs
- elpa-org-bullets (0.2.4-3)
- show bullets in Org-mode as UTF-8 characters
- elpa-org-plus-contrib
- virtueel pakket geboden door elpa-org
- elpa-package-lint (0.7-1)
- linting library for Elisp package authors
- elpa-package-lint-flymake (0.7-1)
- package-lint Flymake backend
- elpa-paredit (24-3)
- Emacs minor mode for structurally editing Lisp code
- elpa-paredit-everywhere (0.4-2)
- cut-down version of paredit for non-lisp buffers
- elpa-parent-mode (2.3-3)
- get major mode's parent modes
- elpa-parsebib (2.3.1-2)
- Emacs Lisp library for parsing .bib files
- elpa-pcre2el (1.8-1)
- Emacsmode to convert between PCRE, Emacs and rx regexp syntax
- elpa-pdf-tools (0.90-1)
- Display and interact with pdf in Emacs
- elpa-pdf-tools-server (0.90-1)
- server for Emacs's pdf-tools
- elpa-perspective (2.2-1)
- tagged workspaces in Emacs
- elpa-php-mode (1.21.0-1)
- PHP Mode for GNU Emacs
- elpa-pip-requirements (0.5-1)
- major mode for editing pip requirements files
- elpa-pkg-info (0.6-4)
- provide information about Emacs packages
- elpa-pod-mode (1.03-2)
- emacs major mode for editing .pod files
- elpa-pointback (0.2-2)
- restore window points when returning to buffers
- (0.5.3-2)
- visual popup user interface library for Emacs
- elpa-powerline (2.4-2)
- Emacs version of the Vim powerline
- elpa-projectile (2.0.0-2)
- project interaction library for Emacs
- elpa-ps-ccrypt (1.11-1)
- Emacs addon for working with files encrypted with ccrypt
- elpa-py-autopep8 (2016.1-2)
- use autopep8 to beautify a Python buffer
- elpa-py-isort (2016.1-3)
- use isort to sort the imports in a Python buffer
- elpa-python-environment (0.0.2-3)
- virtualenv API for Emacs Lisp
- elpa-pyvenv (1.20-1)
- Python virtual environment interface
- elpa-qml-mode (0.4-2)
- Emacs major mode for editing QT Declarative (QML) code
- elpa-queue (0.2-1)
- queue data structure for Emacs Lisp
- elpa-racket-mode (20181003git0-2)
- emacs support for editing and running racket code
- elpa-rainbow-delimiters (2.1.3-3)
- Emacs mode to colour-code delimiters according to their depth
- elpa-rainbow-identifiers (0.2.2-3)
- highlight identifiers according to their names
- elpa-rainbow-mode (1.0.1-1)
- colorize color names in buffers
- elpa-recursive-narrow (20140811.1546-2)
- narrow-to-region that operates recursively
- elpa-redtick (00.01.02+git20170220.e6d2e9b+dfsg-2)
- tiny pomodoro timer for Emacs
- elpa-restart-emacs (0.1.1-2)
- restart emacs from within emacs
- elpa-rich-minority (1.0.2-2)
- clean-up and beautify the list of minor-modes in Emacs' mode-line
- elpa-rtags (2.21-3)
- emacs front-end for RTags
- elpa-rust-mode (0.3.0-2)
- Major Emacs mode for editing Rust source code
- elpa-s (1.12.0-2)
- string manipulation library for Emacs
- elpa-seq (2.20-2)
- sequence manipulation functions for Emacs Lisp
- elpa-sesman (0.3.3-1)
- session manager for Emacs IDEs
- elpa-session (2.4b-1)
- use variables, registers and buffer places across sessions
- elpa-shut-up (0.3.2-2)
- Emacs Lisp macros to quieten Emacs
- elpa-simple-httpd (1.5.1-2)
- pure elisp HTTP server
- elpa-smart-mode-line (2.12.0-1)
- powerful and beautiful mode-line for Emacs
- elpa-smart-mode-line-powerline-theme (2.12.0-1)
- Smart Mode Line themes that use Emacs Powerline
- elpa-smeargle (0.03-2)
- highlight region by last updated time
- elpa-smex (3.0-4)
- M-x interface with Ido-style fuzzy matching
- elpa-sml-mode (6.9-1)
- Emacs major mode for editing Standard ML programs
- elpa-solarized-theme (1.2.2-4)
- port of Solarized theme to Emacs
- elpa-spinner (1.7.3-1)
- spinner for the Emacs modeline for operations in progress
- elpa-suggest (0.7-1)
- discover Emacs Lisp functions based on examples
- elpa-super-save (0.3.0-1)
- auto-save buffers, based on your activity
- elpa-swiper (0.11.0+dfsg-1)
- alternative to Emacs' isearch, with an overview
- elpa-system-packages (1.0.10-1)
- functions to manage system packages
- elpa-systemd (1.6-2)
- major mode for editing systemd units
- elpa-tabbar (2.2-2)
- Emacs minor mode that displays a tab bar at the top
- elpa-tablist (0.70-3)
- tablist adds maks and filters to tabulated-list-mode
- elpa-transmission (0.12.1-1)
- Emacs interface to a Transmission session
- elpa-treepy (0.1.1-1)
- Generic tree traversal tools
- elpa-undercover (0.6.1-2)
- test coverage library for Emacs Lisp
- elpa-undo-tree (0.6.4-3)
- Emacs minor mode for handling undo history as tree
- elpa-use-package (2.4-2)
- configuration macro for simplifying your .emacs
- elpa-use-package-chords (2.4-2)
- key-chord keyword for use-package
- elpa-use-package-ensure-system-package (2.4-2)
- autoinstall system packages
- elpa-uuid (0.0.3~git20120910.1519bfe-1)
- UUID/GUID library for Emacs Lisp
- elpa-vala-mode (0.1-6)
- Emacs editor major mode for vala source code
- elpa-vc-fossil (2018.02.15-2)
- Emacs VC backend for the Fossil Version Control system
- elpa-verbiste (0.1.45-5)
- French and Italian conjugator - emacs extension
- elpa-vimish-fold (0.2.3-3)
- fold text in GNU Emacs like in Vim
- elpa-virtualenvwrapper (0.1.0-2)
- featureful virtualenv tool for Emacs
- elpa-visual-fill-column (1.11-3)
- Emacs mode that wraps visual-line-mode buffers at fill-column
- elpa-visual-regexp (1.1.1-2)
- in-buffer visual feedback while using Emacs regexps
- elpa-wc-mode (1.3-3)
- display a word count in the Emacs modeline
- elpa-web-mode (16.0.21-1)
- major emacs mode for editing web templates
- elpa-weechat (0.5.0-2)
- Chat via WeeChat's relay protocol in Emacs.
- elpa-which-key (3.3.1-1)
- display available keybindings in popup
- elpa-with-editor (2.8.1-1)
- call program using Emacs as $EDITOR
- elpa-with-simulated-input (2.2-1)
- macro to simulate user input non-interactively
- elpa-world-time-mode (0.0.6-2)
- Emacs mode to compare timezones throughout the day
- elpa-writegood-mode (2.0.3-1)
- minor mode for Emacs to improve English writing
- elpa-ws-butler (0.6-2)
- unobtrusively remove trailing whitespace in Emacs
- elpa-xcite (1.60-5)
- exciting cite utility for Emacsen
- elpa-xml-rpc (1.6.12-2)
- Emacs Lisp XML-RPC client
- elpa-yaml-mode (0.0.13-1)
- emacs mode for YAML files
- elpa-yasnippet (0.13.0-2)
- template system for Emacs
- elpa-yasnippet-snippets (0.9-1)
- Andrea Crotti's official YASnippet snippets
- elpa-zenburn-theme (2.6-1)
- low contrast color theme for Emacs
- elpa-ztree (1.0.5-2)
- text mode directory tree
- elpa-zzz-to-char (0.1.3-2)
- fancy version of `zap-to-char' command
- elph (1.0.1-2)
- DNA/protein sequence motif finder
- elscreen (1.4.6-5.2)
- Screen for Emacsen
- elvis-tiny (1.4-24+b2)
- Tiny vi compatible editor for the base system
- elvish (0.12+ds1-2)
- Friendly and expressive shell
- emacs (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor (metapackage)
- emacs
- virtueel pakket geboden door emacs-nox, emacs-gtk, emacs-lucid
- emacs-bin-common (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor's shared, architecture dependent files
- emacs-calfw (1.6+git20180118-1)
- calendar framework for Emacs
- emacs-calfw-howm (1.6+git20180118-1)
- calendar framework for Emacs (howm add-on)
- emacs-common (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor's shared, architecture independent infrastructure
- emacs-common-non-dfsg (1:26.1+1-1) [non-free]
- GNU Emacs common non-DFSG items, including the core documentation
- emacs-el (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs LISP (.el) files
- emacs-goodies-el (42.2)
- Miscellaneous add-ons for Emacs
- virtueel pakket geboden door emacs-goodies-el
- emacs-gtk (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor (with GTK+ GUI support)
- emacs-intl-fonts (1.2.1-10)
- fonts to allow multilingual PostScript printing from Emacs
- emacs-jabber (0.8.92+git98dc8e-4)
- Transition package, emacs-jabber to elpa-jabber
- emacs-lucid (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor (with Lucid GUI support)
- emacs-mozc (2.23.2815.102+dfsg-4)
- Mozc for Emacs
- emacs-mozc-bin (2.23.2815.102+dfsg-4)
- Helper module for emacs-mozc
- emacs-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs editor (without GUI support)
- emacs-window-layout (1.4-2)
- window layout manager for emacs
- emacs21 (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs21-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-nox
- emacs22 (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs22-gtk (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs22-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-nox
- emacs23 (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs23-lucid (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-lucid
- emacs23-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-nox
- emacs24 (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs24-lucid (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-lucid
- emacs24-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-nox
- emacs25 (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-gtk
- emacs25-lucid (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-lucid
- emacs25-nox (1:26.1+1-3.2+deb10u5) [security]
- GNU Emacs transitional package to emacs-nox
- emacsen
- virtueel pakket geboden door emacs-gtk, xemacs21-mule, emacs-nox, xemacs21-mule-canna-wnn, xemacs21-nomule, emacs-lucid
- emacsen-common (3.0.4)
- Common facilities for all emacsen
- emacspeak (49.0+dfsg-3)
- speech output interface to Emacs
- emacspeak-espeak-server (49.0+dfsg-3)
- espeak synthesis server for emacspeak
- emacspeak-ss (1.12.1-8)
- Emacspeak speech servers for several synthesizers
- email-reminder (0.7.8-5)
- Send event reminders by email
- embassy-domainatrix (0.1.660-3)
- Extra EMBOSS commands to handle domain classification file
- embassy-domalign (0.1.660-3)
- Extra EMBOSS commands for protein domain alignment
- embassy-domsearch (1:0.1.660-3)
- Extra EMBOSS commands to search for protein domains
- embassy-phylip (3.69.660-3) [non-free]
- EMBOSS conversions of the programs in the phylip package
- ember-media (0.7.2.1-1)
- 3D client framework for the WorldForge project -- media files
- emboss (6.6.0+dfsg-7+b1)
- European molecular biology open software suite
- emboss-data (6.6.0+dfsg-7)
- data files for the EMBOSS package
- emboss-doc (6.6.0+dfsg-7)
- documentation for EMBOSS
- emboss-explorer (2.2.0-10)
- web-based GUI to EMBOSS
- emboss-lib (6.6.0+dfsg-7+b1)
- EMBOSS Libraries
- emboss-test (6.6.0+dfsg-7)
- test files for the EMBOSS package
- emdebian-archive-keyring (2.2)
- GnuPG archive keys for the emdebian repository
- emdebian-archive-keyring-udeb (2.2)
- GnuPG keys of the Emdebian archive - udeb
- emelfm2-svg-icons (20100219-3)
- icon SVG files from emelfm2 project
- emerald (0.8.16-3)
- Decorator for compiz
- emerald-themes (0.8.16-2)
- Themes for emerald window decorator
- emma (0.6-5)
- extendable MySQL managing assistant
- emms (5.1-1)
- Emacs MultiMedia System
- empathy (3.25.90+really3.12.14-1)
- GNOME multi-protocol chat and call client
- empathy-common (3.25.90+really3.12.14-1)
- GNOME multi-protocol chat and call client (common files)
- empathy-doc
- virtueel pakket geboden door empathy-common
- empathy-skype (20140930+svn665+dfsg-1) [contrib]
- Skype plugin for libpurple messengers (Empathy-specific files)
- empire (1.14-2)
- war game of the century
- empire-hub (1.0.2.2)
- Empire protocol multiplexer
- empire-lafe (1.1-1+b4)
- Fast line-mode Empire client
- emu8051 (1.1.1-1+b1)
- Emulator and simulator for 8051 microcontrollers
- enamdict (2019.02.20-1)
- Dictionary of Japanese proper names
- enblend (4.2-4)
- image blending tool
- enca (1.19-1+b1)
- Extremely Naive Charset Analyser - binaries
- encfs (1.9.5-1+b1)
- encrypted virtual filesystem
- enchant (1.6.0-11.1+b1)
- Wrapper for various spell checker engines (binary programs)
- encuentro (5.0-1)
- Access the content of the Encuentro channel, and others
- endless-sky (0.9.8-1+b1)
- space exploration and combat game
- endless-sky-data (0.9.8-1)
- data files for Endless Sky
- endless-sky-high-dpi (0.9.8-1)
- space exploration and combat game
- enemylines3 (1.25-1)
- semi-abstract first person 3d-shooter game
- enemylines7 (0.6-4+b2)
- first person 3d-shooter game
- enfuse (4.2-4)
- image exposure blending tool
- engauge-digitizer (10.10+ds.1-1)
- interactively extracts numbers from bitmap graphs or maps
- engauge-digitizer-doc (10.10+ds.1-1)
- engauge-digitizer user manual and tutorial
- engrampa (1.20.2-1+deb10u1) [security]
- archive manager for MATE
- engrampa-common (1.20.2-1+deb10u1) [security]
- archive manager for MATE (common files)
- enigma (1.20-dfsg.1-2.1+b2)
- Game where you control a marble with the mouse
- enigma-data (1.20-dfsg.1-2.1)
- Data files for the game enigma
- enigma-doc (1.20-dfsg.1-2.1)
- Documentation for the game enigma
- enigmail (2:2.2.4-0.2~deb10u1)
- GPG support for Thunderbird and Debian Icedove
- enjarify (1:1.0.3-4)
- translate Dalvik bytecode to equivalent Java bytecode
- enlightenment (0.22.4-2+deb10u1) [security]
- X11 window manager based on EFL
- enlightenment-data (0.22.4-2+deb10u1) [security]
- X11 window manager based on EFL - run time data files
- enlightenment-dev (0.22.4-2+deb10u1) [security]
- Enlightenment headers, static libraries and documentation
- enscribe (0.1.0-3)
- convert images into sounds
- enscript (1.6.5.90-3)
- converts text to Postscript, HTML or RTF with syntax highlighting
- ent (1.2debian-2)
- pseudorandom number sequence test program
- entagged (0.35-6)
- graphical audio file tagger with freedb support
- entangle (2.0-1)
- Tethered Camera Control & Capture
- entr (4.1-1)
- Run arbitrary commands when files change
- entropybroker (2.9-3)
- infrastructure for distributing random numbers (entropy data)
- enum (1.1-1+b1 [armhf], 1.1-1 [amd64, arm64, i386])
- seq- and jot-like enumerator
- env-preseed (1.102)
- debconf preseeding via environment variables
- env2 (1.1.0-4)
- convert environment variables between scripting languages
- environment-modules (4.2.2-1)
- Modular system for handling environment variables
- envstore (2.1-4)
- store for environment variables across shell processes
- eoconv (1.5-1)
- convert text files between various Esperanto encodings
- eog (3.28.4-2+b1)
- Eye of GNOME afbeeldingenviewer
- eog-dev (3.28.4-2+b1)
- Development files for the Eye of GNOME
- eog-plugin-disable-dark-theme (3.26.3-2)
- Disable Dark Theme plugin for GNOME Image Viewer
- eog-plugin-exif-display (3.26.3-2)
- Exif Display plugin for GNOME Image Viewer
- eog-plugin-export-to-folder (3.26.3-2)
- Export to Folderplugin for GNOME Image Viewer
- eog-plugin-fit-to-width (3.26.3-2)
- Fit to Width plugin for GNOME Image Viewer
- eog-plugin-fullscreen-background (3.26.3-2)
- Fullscreen Background plugin for GNOME Image Viewer
- eog-plugin-hide-titlebar (3.26.3-2)
- Hide Titlebarplugin for GNOME Image Viewer
- eog-plugin-map (3.26.3-2)
- Map plugin for GNOME Image Viewer
- eog-plugin-maximize-windows (3.26.3-2)
- Maximize Windows plugin for GNOME Image Viewer
- eog-plugin-picasa (3.26.3-2)
- Picasa plugin for GNOME Image Viewer
- eog-plugin-python-console (3.26.3-2)
- Python Console plugin for GNOME Image Viewer
- eog-plugin-send-by-mail (3.26.3-2)
- Send by Mail plugin for GNOME Image Viewer
- eog-plugin-slideshow-shuffle (3.26.3-2)
- Slideshow Shuffle plugin for GNOME Image Viewer
- eog-plugins (3.26.3-2)
- set of plugins for GNOME Image Viewer
- eog-plugins-common (3.26.3-2)
- common files for eog-plugins
- eom (1.20.2-2)
- Eye of MATE graphics viewer program
- eom-common (1.20.2-2)
- Eye of MATE graphics viewer program (common files)
- eom-dev (1.20.2-2)
- Eye of MATE graphics viewer program (development files)
- eot-utils (1.1-1+b1)
- Tools to convert from OTF or TTF to EOT font format
- eot2ttf (0.01-5)
- utility to convert Embedded OpenType fonts to TrueType
- eperl (2.2.14-23+b1)
- Embedded Perl 5 Language
- ephoto (1.5-2)
- Comprehensive Image Viewer Using EFL
- epic4 (1:2.10.6-1+b6)
- epic irc client, version 4
- epic4-help (1:2.0+20050315-3)
- help files for epic4 IRC client
- epic4-script
- virtueel pakket geboden door epic4-script-lice
- epic4-script-lice (1:4.2.5i-2)
- Very functional script for epic
- epic5 (2.0.1-1+b6)
- epic irc client, version 5
- epic5-script
- virtueel pakket geboden door epic5-script-lice
- epic5-script-lice (1:5.3.0-1)
- Very functional script for epic
- epiphany (0.7.0+0-5)
- clone of Boulder Dash game
- epiphany-browser (3.32.1.2-3~deb10u3) [security]
- Intuitive GNOME web browser
- epiphany-browser-data (3.32.1.2-3~deb10u3) [security]
- Data files for the GNOME web browser
- epiphany-data (0.7.0+0-5)
- required data files for epiphany game
- epix (1.2.18-1)
- Create mathematically accurate line figures, plots and movies
- epm (4.2-8)
- Cross-platform package builder by Easy Software Products
- epoptes (1.0.1-2)
- Computer lab management tool
- epoptes-client (1.0.1-2)
- Computer lab management tool (client)
- epsilon-bin (0.9.2+dfsg-4)
- Library for wavelet image compression - tools
- epstool (3.09-1)
- edit preview images and fix bounding boxes in EPS files
- epub-utils (0.2.2-4+b4)
- tools to work with the EPUB file format
- epubcheck (4.1.0-1)
- Validation Tool for EPUB
- epydoc-doc (3.0.1+dfsg-20)
- tool for documenting Python modules (documentation)
- epylog (1.0.8-2)
- New logs analyzer and parser
- eq10q (2.2~repack0-2.1)
- LV2 plugins bundle
- eql (1.2.ds1-5)
- load balancing tool for serial network connections
- eqonomize (1.3.1-1)
- personal accounting software for the small household economy
- eqonomize-doc (1.3.1-1)
- documentation for the Eqonomize! accounting software
- equalx (0.7.1-4.1+b1)
- graphical editor for LaTeX equations
- equivs (2.2.0)
- Circumvent Debian package dependencies
- ergo (3.5-1+b1)
- Quantum chemistry program for large-scale calculations
- eric (19.02.1+ds1-1)
- full featured Python IDE
- eric-api-files (19.02.1+ds1-1)
- API description files for use with eric
- erlang (1:22.2.7+dfsg-1+deb10u1) [security]
- Concurrent, real-time, distributed functional language
- erlang-abi-17.0
- virtueel pakket geboden door erlang-base-hipe, erlang-base
- erlang-asciideck (0.0+git20170714.48cbfe8b-4)
- Erlang library for asciidoc
- erlang-asn1 (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP modules for ASN.1 support
- erlang-base (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP virtual machine and base applications
- erlang-base-hipe (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP HiPE enabled virtual machine and base applications
- erlang-base64url (1.0-3)
- standalone URL-safe base64-compatible codec for Erlang
- erlang-bear (0.8.2+dfsg-2)
- Set of statistics functions for erlang
- erlang-bitcask (2.0.8+dfsg-2)
- Log-Structured Hash Table for Fast Key/Value Data
- erlang-bitcask-dev (2.0.8+dfsg-2)
- Log-Structured Hash Table for Fast Key/Value Data (development files)
- erlang-cf (0.3.1-1)
- Erlang/OTP library for termial colour printing
- erlang-cl (1.2.4-1)
- Erlang OpenCL bindings
- erlang-common-test (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP application for automated testing
- erlang-cowlib (1.3.0-3)
- Erlang library for manipulating web protocols
- erlang-crypto (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP cryptographic modules
- erlang-cuttlefish (2.0.11+dfsg-4)
- Erlang/OTP library for sysctl-like syntax
- erlang-debugger (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP application for debugging and testing
- erlang-dev (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP development libraries and headers
- erlang-dialyzer (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP discrepancy analyzer application
- erlang-diameter (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP implementation of RFC 6733 protocol
- erlang-doc (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP HTML/PDF documentation
- erlang-doc-html
- virtueel pakket geboden door erlang-doc
- erlang-edoc (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP module for generating documentation
- erlang-eldap (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP LDAP library
- erlang-erl-docgen (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP documentation stylesheets
- erlang-erlware-commons (1.3.1+dfsg-2)
- Erlware common libraries
- erlang-esdl (1.3.1-4)
- Erlang bindings to the Simple Direct Media Library
- erlang-esdl-dev (1.3.1-4)
- Erlang bindings to the SDL (development files)
- erlang-esdl-doc (1.3.1-4)
- Erlang bindings to the SDL (documentation)
- erlang-et (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP event tracer application
- erlang-eunit (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP module for unit testing
- erlang-examples (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP application examples
- erlang-folsom (0.8.2+dfsg-2)
- Erlang based metrics system inspired by Coda Hale's metrics
- erlang-folsom-dev (0.8.2+dfsg-2)
- Erlang based metrics system inspired by Coda Hale's metrics
- erlang-ftp (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP FTP client
- erlang-getopt (1.0.1-2)
- Erlang library for command-line processing
- erlang-goldrush (0.2.0-1)
- small Erlang app that provides fast event stream processing
- erlang-guestfs (1:1.40.2-2)
- guest disk image management system - Erlang bindings
- erlang-horse (0+git20161117.0.4dc81d4-1)
- Erlang library for integrated performance testing
- erlang-inets (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP Internet clients and servers
- erlang-jiffy (0.14.11+dfsg-4)
- JSON NIFs (Native Implemented Functions) for Erlang
- erlang-jinterface (1:22.2.7+dfsg-1+deb10u1) [security]
- Java communication tool to Erlang
- erlang-jose (1.9.0-1)
- JSON Object Signing and Encryption (JOSE) for Erlang
- erlang-lager (3.6.8-1)
- logging framework for Erlang
- erlang-luerl (1:0.3-2)
- implementation of Lua in Erlang
- erlang-manpages (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP manual pages
- erlang-meck (0.8.7-2)
- mocking library for Erlang
- erlang-megaco (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP implementation of Megaco/H.248 protocol
- erlang-mnesia (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP distributed relational/object hybrid database
- erlang-mochiweb (2.19.0-1)
- Erlang library for building lightweight HTTP servers
- erlang-mode (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang major editing mode for Emacs
- erlang-neotoma (1.7.4+dfsg-2)
- parser generator for Erlang
- erlang-nox (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP applications that don't require X Window System
- erlang-observer (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP application for investigating distributed systems
- erlang-odbc (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP interface to SQL databases
- erlang-os-mon (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP operating system monitor
- erlang-p1-cache-tab (1.0.17-1)
- in-memory cache application for Erlang / Elixir apps
- erlang-p1-eimp (1.0.9-1)
- Erlang application for manipulating graphic images
- erlang-p1-iconv (1.0.10-1)
- fast encoding conversion library for Erlang / Elixir
- erlang-p1-mysql (1.0.8-1)
- pure Erlang MySQL driver
- erlang-p1-oauth2 (0.6.3-3)
- Erlang library for server side implementation of OAuth2
- erlang-p1-pam (1.0.4-3)
- pam authentication and accounting management for Erlang
- erlang-p1-pgsql (1.1.6-2)
- Pure Erlang PostgreSQL driver
- erlang-p1-pkix (1.0.0-3+deb10u1)
- PKIX certificates management library for Erlang
- erlang-p1-sip (1.0.27-1)
- SIP library for Erlang
- erlang-p1-sqlite3 (1.1.6-3)
- SQLite3 wrapper for Erlang applications
- erlang-p1-stringprep (1.0.14-1)
- erlang interface to stringprep
- erlang-p1-stun (1.0.26-1)
- STUN library for Erlang
- erlang-p1-tls (1.0.26-1)
- native TLS / SSL driver for Erlang / Elixir
- erlang-p1-utils (1.0.13-1)
- set of small Erlang libraries
- erlang-p1-xml (1.1.34-1)
- XML utilities for Erlang
- erlang-p1-xmpp (1.2.8-1)
- Erlang/Elixir XMPP parsing and serialization library
- erlang-p1-yaml (1.0.17-1)
- erlang wrapper for libyaml C library
- erlang-p1-zlib (1.0.4-3)
- erlang interface to zlib
- erlang-parsetools (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP parsing tools
- erlang-pcre-8.43
- virtueel pakket geboden door erlang-base, erlang-base-hipe
- erlang-proper (1.2+git988ea0ed9f+dfsg-2)
- QuickCheck-inspired property-based testing tool for Erlang
- erlang-proper-dev (1.2+git988ea0ed9f+dfsg-2)
- QuickCheck-inspired property-based testing tool for Erlang - development files
- erlang-proper-doc (1.2+git988ea0ed9f+dfsg-2)
- QuickCheck-inspired property-based testing tool for Erlang - document files
- erlang-public-key (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP public key infrastructure
- erlang-redis-client (1.2.0-1)
- Redis client for Erlang applications
- erlang-reltool (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP release management tool
- erlang-runtime-tools (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP runtime tracing/debugging tools
- erlang-snmp (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP SNMP applications
- erlang-src (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP applications sources
- erlang-ssh (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP implementation of SSH protocol
- erlang-ssl (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP implementation of SSL
- erlang-syntax-tools (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP modules for handling abstract Erlang syntax trees
- erlang-tftp (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP TFTP client and server
- erlang-tools (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP various tools
- erlang-uuid (0.4.6-2)
- UUID generator for Erlang
- erlang-wx (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP bindings to wxWidgets
- erlang-x11 (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP applications that require X Window System
- erlang-xmerl (1:22.2.7+dfsg-1+deb10u1) [security]
- Erlang/OTP XML tools
- erlang-yapp (2.0.6+dfsg-1+deb10u1)
- Erlang application for deploying Yaws webserver applications
- erlang-yaws (2.0.6+dfsg-1+deb10u1)
- Erlang application which implements HTTP webserver
- erubis
- virtueel pakket geboden door ruby-erubis
- erubis-doc
- virtueel pakket geboden door ruby-erubis
- escputil (5.3.1-7)
- maintenance utility for Epson Stylus printers
- esekeyd (1.2.7-1+b2)
- multimedia keyboard daemon for Linux
- esix (1-3) [contrib]
- PDP-8 Engineering and Scientific Interpreter eXtended
- esmtp (1.2-17)
- user configurable relay-only MTA
- esmtp-run (1.2-17)
- user configurable relay-only MTA - the regular MTA
- esnacc (1.8.1-1)
- ASN.1 to C or C++ or IDL compiler
- esnacc-doc (1.8.1-1)
- ASN.1 to C or C++ or IDL compiler, documentation
- esniper (2.35.0-1)
- simple, lightweight tool for sniping ebay auctions
- eso-midas (19.02pl1.0-1)
- European Southern Observatory Munich Image Data Analysis System
- eso-midas-testdata (19.02pl1.0-1)
- Test data files for ESO-MIDAS
- eso-pipelines (1.2)
- ESO VLT Instrument pipeline collection
- esorex (3.13.1-1+deb10u1)
- Execution Tool for European Southern Observatory pipelines
- espctag (0.4-1+b1)
- ID666 tags editor
- espeak (1.48.04+dfsg-7+deb10u1)
- Multi-lingual software speech synthesizer
- espeak
- virtueel pakket geboden door espeak-ng-espeak
- espeak-data (1.48.04+dfsg-7+deb10u1)
- Multi-lingual software speech synthesizer: speech data files
- espeak-data-udeb (1.48.04+dfsg-7+deb10u1)
- Multi-lingual software speech synthesizer: data for d-i
- espeak-ng (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer
- espeak-ng-data (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: speech data files
- espeak-ng-data-udeb (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: data for d-i
- espeak-ng-espeak (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer
- espeakedit (1.48.03-5)
- Multi-lingual software speech synthesizer - editor
- espeakup (1:0.80-15)
- Connector between speakup kernel modules and espeak
- espeakup-udeb (1:0.80-15)
- Configure the speech synthesizer voice
- esptool (2.5.1+dfsg-3)
- create and flash firmware files to ESP8266 and ESP32 chips
- ess (18.10.2-1)
- Transition Package, ess to elpa-ess
- estscan (3.0.3-3)
- ORF-independent detector of coding DNA sequences
- esys-particle (2.3.5+dfsg1-2.1)
- Software for particle-based numerical modelling. MPI version.
- etc1tool (8.1.0+r23-1)
- ETC1 conversion tool
- etcd (3.2.26+dfsg-3+deb10u1) [security]
- Transitional package for etcd-client and etcd-server
- etcd-client (3.2.26+dfsg-3+deb10u1) [security]
- highly-available key value store -- client
- etcd-server (3.2.26+dfsg-3+deb10u1) [security]
- highly-available key value store -- daemon
- etckeeper (1.18.10-1)
- store /etc in git, mercurial, bzr or darcs
- eterm (0.9.6-5+deb10u1)
- Enlightened Terminal Emulator
- eterm-backgrounds
- virtueel pakket geboden door eterm
- ethdetect (1.137)
- Detect network hardware and load kernel drivers for it
- etherape (0.9.18-1)
- graphical network monitor
- etherape-data (0.9.18-1)
- graphical network monitor (data files)
- ethereal-chess (11.25+ds1-1)
- UCI-compliant chess engine
- ethernet-card-detection
- virtueel pakket geboden door ethdetect
- etherpuppet (0.3-3.1)
- create a virtual interface from a remote Ethernet interface
- etherwake (1.09-4+b1)
- tool to send magic Wake-on-LAN packets
- ethflux (1.0-3+b20)
- InfluxDB data gatherer for ethtool-style network interface information
- ethstats (1.2.1-3)
- script that quickly measures network device throughput
- ethstatus (0.4.9+b1 [amd64], 0.4.9 [arm64, armhf, i386])
- console-based ethernet statistics monitor
- ethtool (1:4.19-1)
- display or change Ethernet device settings
- etktab (3.2-5)
- ASCII guitar tab editor
- etl-dev (1.2.2-1)
- Extended Class and Template Library
- etm (3.2.30-1)
- manages events and tasks using simple text files
- etm-qt (3.2.30-1)
- manages events and tasks using simple text files (dummy package)
- etoys (5.0.2408-1) [non-free]
- media-rich model, simulation construction kit and authoring tool
- etoys-doc (5.0.2408-1) [non-free]
- documentation for Etoys
- etqw (63) [contrib]
- science-fiction-themed multiplayer first person shooter
- etqw-server (63) [contrib]
- dedicated server for Enemy Territory: Quake Wars
- etsf-io (1.0.4-4)
- Binary tools to check, merge and read ETSF files
- ettercap
- virtueel pakket geboden door ettercap-graphical, ettercap-text-only
- ettercap-common (1:0.8.2-10+b2)
- Multipurpose sniffer/interceptor/logger for switched LAN
- ettercap-dbg (1:0.8.2-10+b2)
- Debug symbols for Ettercap
- ettercap-graphical (1:0.8.2-10+b2)
- Ettercap GUI-enabled executable
- ettercap-text-only (1:0.8.2-10+b2)
- Ettercap console-mode executable
- etw (3.6+svn162-5)
- arcade-style soccer game
- etw-data (3.6+svn162-5)
- graphics and audio data for etw
- euca2ools (3.3.1-2)
- tools for interacting with AWS API-compatible services
- eukleides (1.5.4-4.1)
- Euclidean geometry drawing language
- euler (1.61.0-11+b1)
- interactive mathematical programming environment
- euler-doc (1.61.0-11)
- documentation for the mathematical programming environment Euler
- eureka (1.24-3)
- map editor for the classic DOOM games
- eurephia (1.1.0-6+b2)
- flexible OpenVPN authentication module
- evdi-dkms (1.6.0+dfsg-1)
- Extensible Virtual Display Interface driver kernel module
- evemu-tools (2.7.0-1+deb10u1)
- Linux Input Event Device Emulation Library - test tools
- event-modules
- virtueel pakket geboden door event-modules-4.19.0-20-686-di, event-modules-4.19.0-20-amd64-di, event-modules-4.19.0-21-arm64-di, event-modules-4.19.0-21-686-di, event-modules-4.19.0-21-686-pae-di, event-modules-4.19.0-20-686-pae-di, event-modules-4.19.0-21-amd64-di, event-modules-4.19.0-20-arm64-di, event-modules-4.19.0-20-armmp-di, event-modules-4.19.0-21-armmp-di
- event-modules-4.19.0-20-686-di (4.19.235-1)
- Event support
- event-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Event support
- event-modules-4.19.0-20-amd64-di (4.19.235-1)
- Event support
- event-modules-4.19.0-20-arm64-di (4.19.235-1)
- Event support
- event-modules-4.19.0-20-armmp-di (4.19.235-1)
- Event support
- event-modules-4.19.0-21-686-di (4.19.249-2)
- Event support
- event-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Event support
- event-modules-4.19.0-21-amd64-di (4.19.249-2)
- Event support
- event-modules-4.19.0-21-arm64-di (4.19.249-2)
- Event support
- event-modules-4.19.0-21-armmp-di (4.19.249-2)
- Event support
- eventstat (0.04.05-1)
- kernel event states monitoring tool
- eviacam (2.1.3-4.1)
- camera based mouse emulator
- evilwm (1.1.1-1)
- minimalist window manager for X11
- evince (3.30.2-3+deb10u1)
- weergeven van documenten (PostScript, PDF)
- evince-common (3.30.2-3+deb10u1)
- Document (PostScript, PDF) viewer - common files
- evolution (3.30.5-1.1)
- groupware suite with mail client and organizer
- evolution-common (3.30.5-1.1)
- architecture-onafhankelijke bestanden voor Evolution
- evolution-data-server (3.30.5-1+deb10u2)
- evolution database backend server
- evolution-data-server-common (3.30.5-1+deb10u2)
- architectuur-onafhankelijke bestanden voor de Evolution Data Server
- evolution-data-server-dev (3.30.5-1+deb10u2)
- Ontwikkelingsbestanden voor de evolution-data-server (metapakket)
- evolution-data-server-doc (3.30.5-1+deb10u2)
- Documentation files for the Evolution Data Server libraries
- evolution-data-server-tests (3.30.5-1+deb10u2)
- Installed tests for the evolution database backend server
- evolution-dev (3.30.5-1.1)
- Ontwikkelings-bestanden voor Evolution
- evolution-plugin-bogofilter (3.30.5-1.1)
- standard plugins for Evolution (bogofilter)
- evolution-plugin-pstimport (3.30.5-1.1)
- standard plugins for Evolution (pstimport)
- evolution-plugin-spamassassin (3.30.5-1.1)
- standard plugins for Evolution (spamassassin)
- evolution-plugins (3.30.5-1.1)
- standard plugins for Evolution
- evolution-plugins-experimental (3.30.5-1.1)
- experimental plugins for Evolution
- (0.3.95-9+b1)
- Evolution RSS Reader Plugin
- evolver (2.70+ds-4)
- Surface Evolver
- evolver
- virtueel pakket geboden door evolver-ogl, evolver-nox
- evolver-doc (2.70+ds-4)
- Surface Evolver - doc
- evolver-nox (2.70+ds-4)
- Surface Evolver - with no X support
- evolver-ogl (2.70+ds-4)
- Surface Evolver - with OpenGL display
- evolvotron (0.7.1-3)
- Generator of textures through interactive evolution
- evtest (1:1.33-2)
- utility to monitor Linux input device events
- eweouz (0.11+b5)
- Emacs interface to Evolution Data Server
- ewf-tools (20140804-1)
- collection of tools for reading and writing EWF files
- ewipe (1.2.0-9)
- Yet another presentation tool based on Tcl/Tk
- exa (0.8.0-2)
- Modern replacement for ls
- exabgp (4.0.8-1)
- BGP swiss army knife of networking
- exactimage (1.0.2-1+deb10u1)
- fast image manipulation programs
- examl (3.0.21-2)
- Exascale Maximum Likelihood (ExaML) code for phylogenetic inference
- excellent-bifurcation (0.0.20071015-8+b1)
- abstract vertical shooter with two sides to play on
- exe-thumbnailer (0.10.0-3)
- Windows executable (.exe, etc.) thumbnailer for Linux desktops
- execline (2.5.0.1-3)
- small and non-interactive scripting language
- execline-doc (2.5.0.1-3)
- small and non-interactive scripting language (documentation)
- execnet-doc (1.5.0-4)
- rapid multi-Python deployment (docs)
- execstack (0.0.20131005-1+b10)
- ELF GNU_STACK program header editing utility
- exempi (2.5.0-2+deb10u1) [security]
- command line tool to manipulate XMP metadata
- exfalso (4.2.1-1)
- audio tag editor for GTK+
- exfat-fuse (1.3.0-1)
- read and write exFAT driver for FUSE
- exfat-utils (1.3.0-1)
- utilities to create, check, label and dump exFAT filesystem
- exif (0.6.21-2)
- command-line utility to show EXIF information in JPEG files
- exifprobe (2.0.1+git20170416.3c2b769-3)
- read metadata from digital pictures
- exiftags (1.01-6+b2)
- utility to read Exif tags from a digital camera JPEG file
- exiftool
- virtueel pakket geboden door libimage-exiftool-perl
- exiftran (2.10-3)
- digital camera JPEG image transformer
- exim4 (4.92-8+deb10u9) [security]
- metapackage to ease Exim MTA (v4) installation
- exim4-base (4.92-8+deb10u9) [security]
- support files for all Exim MTA (v4) packages
- exim4-config (4.92-8+deb10u9) [security]
- configuration for the Exim MTA (v4)
- exim4-config-2
- virtueel pakket geboden door exim4-config
- exim4-daemon-heavy (4.92-8+deb10u9) [security]
- Exim MTA (v4) daemon with extended features, including exiscan-acl
- exim4-daemon-light (4.92-8+deb10u9) [security]
- lightweight Exim MTA (v4) daemon
- exim4-dev (4.92-8+deb10u9) [security]
- header files for the Exim MTA (v4) packages
- exim4-doc-html (4.92-1)
- documentation for the Exim MTA (v4) in html format
- exim4-doc-info (4.92-1)
- documentation for the Exim MTA (v4) in info format
- exim4-localscanapi-2.0
- virtueel pakket geboden door exim4-daemon-heavy, exim4-daemon-light
- eximon4 (4.92-8+deb10u9) [security]
- monitor application for the Exim MTA (v4) (X11 interface)
- exiv2 (0.25-4+deb10u4) [security]
- EXIF/IPTC/XMP metadata manipulation tool
- exmh (1:2.9.0-1)
- extensible X user interface for MH mail
- exo-utils (0.12.4-1+deb10u1)
- Utility files for libexo
- exonerate (2.4.0-4)
- generic tool for pairwise sequence comparison
- expand-region-el (0.11.0-3)
- Transition package, expand-region-el to elpa-expand-region
- expand-region-el
- virtueel pakket geboden door elpa-expand-region
- expat (2.2.6-2+deb10u7) [security]
- XML parsing C bibliotheek - voorbeeldapplicatie
- expect (5.45.4-2)
- Automates interactive applications
- expect-dev
- virtueel pakket geboden door expect
- expeyes (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- expeyes-clib (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- expeyes-doc
- virtueel pakket geboden door expeyes-doc-en, expeyes-doc-fr
- expeyes-doc-common (4.3-1)
- Common files related to the User manual for expeyes library
- expeyes-doc-en (4.3-1)
- User manual for expeyes library, in English language
- expeyes-doc-fr (4.3-1)
- User manual for expeyes library, French translation
- expeyes-firmware-dev (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- expeyes-web (4.4.4+dfsg-4)
- Web interface for expEYES-Junior
- explain (1.4.D001-8)
- utility to explain system call errors
- ext2-modules
- virtueel pakket geboden door ext4-modules-4.19.0-21-686-pae-di, ext4-modules-4.19.0-21-armmp-di, ext4-modules-4.19.0-21-amd64-di, ext4-modules-4.19.0-20-amd64-di, ext4-modules-4.19.0-20-686-pae-di, ext4-modules-4.19.0-21-686-di, ext4-modules-4.19.0-20-686-di, ext4-modules-4.19.0-21-arm64-di, ext4-modules-4.19.0-20-armmp-di, ext4-modules-4.19.0-20-arm64-di
- ext3-modules
- virtueel pakket geboden door ext4-modules-4.19.0-20-686-di, ext4-modules-4.19.0-21-arm64-di, ext4-modules-4.19.0-20-armmp-di, ext4-modules-4.19.0-20-arm64-di, ext4-modules-4.19.0-21-686-pae-di, ext4-modules-4.19.0-21-armmp-di, ext4-modules-4.19.0-21-amd64-di, ext4-modules-4.19.0-20-686-pae-di, ext4-modules-4.19.0-20-amd64-di, ext4-modules-4.19.0-21-686-di
- ext3grep (0.10.2-4)
- tool to help recover deleted files on ext3 filesystems
- ext4-modules
- virtueel pakket geboden door ext4-modules-4.19.0-21-amd64-di, ext4-modules-4.19.0-21-686-di, ext4-modules-4.19.0-20-686-pae-di, ext4-modules-4.19.0-20-amd64-di, ext4-modules-4.19.0-21-686-pae-di, ext4-modules-4.19.0-21-armmp-di, ext4-modules-4.19.0-20-arm64-di, ext4-modules-4.19.0-20-686-di, ext4-modules-4.19.0-20-armmp-di, ext4-modules-4.19.0-21-arm64-di
- ext4-modules-4.19.0-20-686-di (4.19.235-1)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-20-686-pae-di (4.19.235-1)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-20-amd64-di (4.19.235-1)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-20-arm64-di (4.19.235-1)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-20-armmp-di (4.19.235-1)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-21-686-di (4.19.249-2)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-21-686-pae-di (4.19.249-2)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-21-amd64-di (4.19.249-2)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-21-arm64-di (4.19.249-2)
- ext2/ext3/ext4 filesystem support
- ext4-modules-4.19.0-21-armmp-di (4.19.249-2)
- ext2/ext3/ext4 filesystem support
- ext4magic (0.3.2-12)
- recover deleted files from ext3 or ext4 partitions
- extlinux (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (Linux ext2/ext3/ext4, btrfs, and xfs bootloader)
- (5.54.0-1)
- Extra modules and scripts for CMake
- (1.0-4)
- Extra menu categories for applications under GNOME and KDE
- (0.7-1)
- trace exec() calls system-wide
- (1:1.8-2+deb10u1)
- displays meta-data from files of arbitrary type
- (1.0.3-1+b1)
- Extract page mode and named destinations as PDFmark from PDF
- extremetuxracer (0.7.5-1+b1)
- 3D-racespel met Tux, de Linux-pinguïn, in de hoofdrol
- extremetuxracer-data (0.7.5-1)
- data files for the game Extreme Tux Racer
- extundelete (0.2.4-2)
- utility to recover deleted files from ext3/ext4 partition
- exuberant-ctags (1:5.9~svn20110310-12+deb10u1) [security]
- build tag file indexes of source code definitions
- exult (1.2-18) [contrib]
- engine for Ultima VII (BG, FOV, SI, SS)
- exult-studio (1.2-18) [contrib]
- tools for editing and viewing exult games
- eye (19.0221.2026~ds-1)
- semantic web reasoning engine
- eyed3 (0.8.8-1)
- Display and manipulate id3-tags on the command-line
- eyefiserver (2.4+dfsg-3)
- Daemon to receive images from Eye-Fi SD card
- eyes17 (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- ez-ipupdate (3.0.11b8-13.4.1)
- client for most dynamic DNS services
- ezquake (2.2+git20150324-1)
- modern QuakeWorld client
- eztrace (1.1-8-3+b1)
- Automatic execution trace generation for HPC - tools
- f-irc (1.36-1+b3)
- user-friendly IRC client for the console/terminal
- f2c (20160102-1)
- FORTRAN 77 to C/C++ translator
- f2fs-tools (1.11.0-1.1)
- Tools for Flash-Friendly File System
- f2fs-tools-dbg (1.11.0-1.1)
- Tools for Flash-Friendly File System (debug)
- f2fs-tools-udeb (1.11.0-1.1)
- Tools for Flash-Friendly File System (udeb)
- f2j (0.8.1+dfsg-4)
- Fortran to Java compiler
- f3 (7.1-1)
- test real flash memory capacity
- faac (1.29.9.2-2) [non-free]
- AAC audio encoder (frontend)
- faad (2.10.0-1~deb10u1)
- freeware Advanced Audio Decoder player
- faba-icon-theme (4.3-1)
- Tango influenced icon theme called Faba
- fabio-viewer (0.8.0+dfsg-1)
- Viewer for images produced by 2D X-ray detector
- fabric (1.14.0-1)
- Simple Pythonic remote deployment tool
- facedetect (0.1-2)
- simple face detector for batch processing
- fact++ (1.6.5~dfsg-2)
- description logic reasoner for the semantic web
- facter (3.11.0-2+deb10u2)
- collect and display facts about the system
- facter-dev (3.11.0-2+deb10u2)
- collect and display facts about the system -- development files
- fadecut (0.2.1-1)
- toolset to rip audiostreams, cut, fade in/out and tag the resulting audiofiles
- fades (8.1-1)
- system for automatically handling virtual environments
- faenza-icon-theme (1.3.1-1)
- Faenza icon theme
- fai-client (5.8.4)
- Fully Automatic Installation client package
- fai-doc (5.8.4)
- Documentation for FAI
- fai-nfsroot (5.8.4)
- Fully Automatic Installation nfsroot package
- fai-quickstart (5.8.4)
- Fully Automatic Installation quickstart package
- fai-server (5.8.4)
- Fully Automatic Installation server package
- fai-setup-storage (5.8.4)
- automatically prepare storage devices
- faifa (0.2~svn82-1+b2)
- manage HomePlug 1.0/AV devices via ethernet frames
- fail2ban (0.10.2-2.1)
- ban hosts that cause multiple authentication errors
- fair (0.5.3-2+b1)
- high availability load balancer for TCP connections
- fairymax (5.0b-1)
- xboard compatible chess and chess-variant engine
- fake (1.1.11-3)
- IP address takeover tool
- fake-hwclock (0.11)
- Save/restore system clock on machines without working RTC hardware
- fakechroot (2.19-3.2)
- gives a fake chroot environment - utilities
- fakemachine (0.0~git20181105.9316584-2)
- create and spawn virtual machines for building images with debos.
- faker (0.7.7-2.1)
- Python program that generates fake data
- fakeroot (1.23-1)
- tool for simulating superuser privileges
- fakeroot
- virtueel pakket geboden door pseudo
- fakeroot-ng (0.18-4+b2)
- Gives a fake root environment
- faketime (0.9.7-3)
- Report faked system time to programs (command-line tool)
- falkon (3.0.0-3)
- lightweight web browser based on Qt WebEngine
- falselogin (0.3-4+b2)
- false login shell
- fam (2.7.0-17.3)
- File Alteration Monitor
- famfamfam-flag-gif (0.1-3)
- Free collection of countries' flags
- famfamfam-flag-png (0.1-3)
- Free collection of countries' flags
- famfamfam-silk (1.3-1)
- smooth, free icon set, with over 700 16x16 pixel icons
- fancontrol (1:3.5.0-3)
- utility to control the fan speed
- fapg (0.41-1+b2)
- Fast Audio Playlist Generator
- farbfeld (4-2)
- Lossless image format which is easy to parse, pipe and compress
- farpd (0.2-11+b2)
- Fake ARP user space daemon
- fasd (1.0.1-1)
- command-line productivity booster
- fasm (1.73.09-1)
- fast assembler for the x86 and x86-64 architectures
- fast5 (0.6.5-2)
- utilities for manipulating Oxford Nanopore Fast5 files
- fasta3 (36.3.8g-1) [non-free]
- tools for searching collections of biological sequences
- fasta3-doc (36.3.8g-1) [non-free]
- user guide for FASTA tools
- fastahack (0.0+git20160702.bbc645f+dfsg-6)
- utility for indexing and sequence extraction from FASTA files
- fastaq (3.17.0-2)
- FASTA and FASTQ file manipulation tools
- fastboot (1:8.1.0+r23-5)
- Android fastboot tool
- fastd (18-3+deb10u1)
- Fast and Secure Tunneling Daemon
- fastdnaml (1.2.2-14)
- Tool for construction of phylogenetic trees of DNA sequences
- fastforward (1:0.51-6)
- handles qmail forwarding according to a cdb database
- fastjar (2:0.98-6+b1)
- Jar creation utility
- fastjet-doc (3.0.6+dfsg-3)
- Documentation of FastJet
- fastjet-examples (3.0.6+dfsg-3)
- Example source files of FastJet
- fastlink (4.1P-fix100+dfsg-2)
- faster version of pedigree programs of Linkage
- fastlink-doc (4.1P-fix100+dfsg-2)
- Some papers about fastlink
- fastml (3.1-4)
- maximum likelihood ancestral amino-acid sequence reconstruction
- fastnetmon (1.1.3+dfsg-8.1)
- fast DDoS analyzer with sflow/netflow/mirror support (community edition)
- fastp (0.19.6+dfsg-1)
- Ultra-fast all-in-one FASTQ preprocessor
- fastqc (0.11.8+dfsg-2)
- quality control for high throughput sequence data
- fastqtl (2.184+dfsg-6+b1)
- Quantitative Trait Loci (QTL) mapper in cis for molecular phenotypes
- fastqtl-doc (2.184+dfsg-6)
- QTL mapper in cis for molecular phenotypes - documentation
- fasttree (2.1.10-2)
- phylogenetic trees from alignments of nucleotide or protein sequences
- fastx-toolkit (0.0.14-6)
- FASTQ/A short nucleotide reads pre-processing tools
- fat-modules
- virtueel pakket geboden door fat-modules-4.19.0-20-armmp-di, fat-modules-4.19.0-21-686-pae-di, fat-modules-4.19.0-20-686-pae-di, fat-modules-4.19.0-20-amd64-di, fat-modules-4.19.0-21-686-di, fat-modules-4.19.0-20-arm64-di, fat-modules-4.19.0-21-amd64-di, fat-modules-4.19.0-20-686-di, fat-modules-4.19.0-21-armmp-di, fat-modules-4.19.0-21-arm64-di
- fat-modules-4.19.0-20-686-di (4.19.235-1)
- FAT filesystem support
- fat-modules-4.19.0-20-686-pae-di (4.19.235-1)
- FAT filesystem support
- fat-modules-4.19.0-20-amd64-di (4.19.235-1)
- FAT filesystem support
- fat-modules-4.19.0-20-arm64-di (4.19.235-1)
- FAT filesystem support
- fat-modules-4.19.0-20-armmp-di (4.19.235-1)
- FAT filesystem support
- fat-modules-4.19.0-21-686-di (4.19.249-2)
- FAT filesystem support
- fat-modules-4.19.0-21-686-pae-di (4.19.249-2)
- FAT filesystem support
- fat-modules-4.19.0-21-amd64-di (4.19.249-2)
- FAT filesystem support
- fat-modules-4.19.0-21-arm64-di (4.19.249-2)
- FAT filesystem support
- fat-modules-4.19.0-21-armmp-di (4.19.249-2)
- FAT filesystem support
- fatattr (1.0.1-14)
- Utility to control attributes on a FAT filesystem
- fatcat (1.0.5-1)
- FAT filesystem explore, extract, repair, and forensic tool
- fathom (1.0+git.20190120.0439ca-1)
- Command-line for probing Syzygy tablebases
- fatpack
- virtueel pakket geboden door libapp-fatpacker-perl
- fatrace (0.13-2)
- report system wide file access events
- fatresize (1.0.2-11)
- FAT16/FAT32 filesystem resizer
- fatsort (1.3.365-1+b1)
- utility for sorting FAT directory structures
- fatsv-data-source
- virtueel pakket geboden door dump1090-mutability
- faucc (20180503-1)
- C compiler generating Intel code for 16bit/32bit CPUs
- fauhdlc (20180504-2)
- experimental VHDL compiler and interpreter
- faumachine (20180503-4)
- Virtual machine running in user mode
- faumachine-data (20180503-4)
- Virtual machine running in user mode -- data files
- faust (2.14.4~repack2-1)
- functional programming language for realtime audio applications
- faust-common (2.14.4~repack2-1)
- functional programming language for realtime audio applications - common files
- faustworks (0.5~repack0-6)
- IDE for Faust dsp programming language
- fb-modules
- virtueel pakket geboden door fb-modules-4.19.0-21-686-di, fb-modules-4.19.0-21-686-pae-di, fb-modules-4.19.0-21-amd64-di, fb-modules-4.19.0-20-686-pae-di, fb-modules-4.19.0-21-arm64-di, fb-modules-4.19.0-20-armmp-di, fb-modules-4.19.0-20-amd64-di, fb-modules-4.19.0-21-armmp-di, fb-modules-4.19.0-20-686-di, fb-modules-4.19.0-20-arm64-di
- fb-modules-4.19.0-20-686-di (4.19.235-1)
- Frame buffer support
- fb-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Frame buffer support
- fb-modules-4.19.0-20-amd64-di (4.19.235-1)
- Frame buffer support
- fb-modules-4.19.0-20-arm64-di (4.19.235-1)
- Frame buffer support
- fb-modules-4.19.0-20-armmp-di (4.19.235-1)
- Frame buffer support
- fb-modules-4.19.0-21-686-di (4.19.249-2)
- Frame buffer support
- fb-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Frame buffer support
- fb-modules-4.19.0-21-amd64-di (4.19.249-2)
- Frame buffer support
- fb-modules-4.19.0-21-arm64-di (4.19.249-2)
- Frame buffer support
- fb-modules-4.19.0-21-armmp-di (4.19.249-2)
- Frame buffer support
- fb-music-high (0.1.2+nmu1)
- High quality, large music files for Frozen-Bubble
- fbautostart (2.718281828-1+b2)
- XDG compliant autostarting app for Fluxbox
- fbb (7.07-3+b1)
- Packet radio mailbox and utilities
- fbcat (0.3-1+b1)
- framebuffer grabber
- fbgrab
- virtueel pakket geboden door fbcat
- fbi (2.10-3)
- Linux frame buffer image viewer
- fbless (0.2.3-3)
- terminal fiction book reader
- (0.1.5~git20090221.1.8e0927e6-2)
- a pager application for the Fluxbox window manager
- fbpanel (7.0-4)
- lightweight X11 desktop panel
- fbreader (0.12.10dfsg2-3)
- e-book reader
- fbset (2.1-30)
- framebuffer device maintenance program
- fbset-udeb (2.1-30)
- framebuffer device maintenance program
- fbterm (1.7-4+b1)
- fast framebuffer based terminal emulator for Linux
- fbterm-ucimf (0.2.9-5)
- ucimf input method interface for fbterm
- fbxkb (0.6-2+b1)
- X11 keyboard indicator and switcher
- fbzx (3.1.0-1) [contrib]
- ZX Spectrum emulator
- fcc (2.8-1+b3)
- Script to compile C/C++ programs and link to Fortran libraries
- fccexam (1.0.7-1)
- Study tool for USA FCC commercial radio license exams.
- fcgiwrap (1.1.0-12)
- simple server to run CGI applications over FastCGI
- fcheck (2.7.59-22)
- IDS filesystem baseline integrity checker
- fcitx (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework
- fcitx-anthy (0.2.3-2)
- Fcitx wrapper for Anthy IM engine
- fcitx-bin (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - essential binaries
- fcitx-chewing (0.2.3-1)
- Fcitx wrapper for Chewing library
- fcitx-config-common (0.4.10-2)
- graphic Fcitx configuration tool - common files
- fcitx-config-gtk (0.4.10-2)
- graphic Fcitx configuration tool - Gtk+ 3 version
- fcitx-config-gtk2 (0.4.10-2)
- graphic Fcitx configuration tool - Gtk+ 2 version
- fcitx-data (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - essential data files
- fcitx-dbus-status (2016062301-2)
- Addon for Fcitx to set/get/monitor IM statuses via D-Bus
- fcitx-frontend-all (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - frontends metapackage
- fcitx-frontend-fbterm (0.2.0-3)
- Flexible Input Method Framework - FbTerm frontend
- fcitx-frontend-gtk2 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - GTK+ 2 IM Module frontend
- fcitx-frontend-gtk3 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - GTK+ 3 IM Module frontend
- fcitx-frontend-qt4 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Qt4 IM Module frontend
- fcitx-frontend-qt5 (1.2.3-2+b1)
- Free Chinese Input Toy of X - Qt5 IM Module frontend
- fcitx-googlepinyin (0.1.6-4)
- Fcitx wrapper for Google Pinyin IM engine
- fcitx-hangul (0.3.1-2)
- Free Chinese Input Toy of X - hangul module
- fcitx-imlist (0.5.1-3)
- Command-line utility to switch list of Fcitx IM
- fcitx-keyboard
- virtueel pakket geboden door fcitx-modules
- fcitx-kkc (0.1.4-1)
- Fcitx wrapper for libkkc IM engine
- fcitx-kkc-dev (0.1.4-1)
- Fcitx wrapper for libkkc - library development files
- fcitx-libpinyin (0.5.3-3)
- Fcitx wrapper for libpinyin
- fcitx-libs (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - metapackage for libraries
- fcitx-libs-dev (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - library development files
- fcitx-libs-qt
- virtueel pakket geboden door libfcitx-qt0
- fcitx-m17n (0.2.4-2)
- Free Chinese Input Toy of X - m17n module
- fcitx-module-autoeng-ng (0.1.1~git20150311-2)
- Fcitx autoeng module for Sogou pinyin
- fcitx-module-cloudpinyin (0.3.6-2)
- Flexible Input Method Framework - cloudpinyin module
- fcitx-module-dbus (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - D-Bus module and IPC frontend
- fcitx-module-fullwidthchar-enhance (0.0~git20150311-3)
- Fcitx fullwidthchar enhance module for Sogou pinyin
- fcitx-module-kimpanel (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - KIMPanel protocol module
- fcitx-module-lua (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Lua module
- fcitx-module-punc-ng (0.1.1~git20161101-2)
- Fcitx punc module for Sogou pinyin
- fcitx-module-quickphrase-editor
- virtueel pakket geboden door fcitx5-module-quickphrase-editor
- fcitx-module-x11 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - X11 module and XIM frontend
- fcitx-modules (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - core modules
- fcitx-mozc (2.23.2815.102+dfsg-4)
- Mozc engine for fcitx - Client of the Mozc input method
- fcitx-pinyin (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - classic Pinyin engine
- fcitx-qw (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - QuWei engine
- fcitx-rime (0.3.2-5)
- Fcitx wrapper for RIME engine
- fcitx-sayura (0.1.2-1)
- Fcitx wrapper for Sayura IM engine
- fcitx-skk (0.1.4-1)
- Japanese SKK input engine for Fcitx
- fcitx-sunpinyin (0.4.2-2)
- fcitx wrapper for Sunpinyin IM engine
- fcitx-table (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - table engine
- fcitx-table-all (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - tables metapackage
- fcitx-table-amharic (0.2.4-2)
- Flexible Input Method Framework - Amharic table
- fcitx-table-arabic (0.2.4-2)
- Flexible Input Method Framework - Arabic table
- fcitx-table-array30 (0.3.8-2)
- Flexible Input Method Framework - Array30 table
- fcitx-table-array30-big (0.3.8-2)
- Flexible Input Method Framework - Array30-Big table
- fcitx-table-bingchan (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Bingchan table
- fcitx-table-boshiamy (0.3.8-2)
- Flexible Input Method Framework - Boshiamy table
- fcitx-table-cangjie (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Cangjie table
- fcitx-table-cangjie-big (0.3.8-2)
- Flexible Input Method Framework - Cangjie-Big table
- fcitx-table-cangjie3 (0.3.8-2)
- Flexible Input Method Framework - Cangjie3 table
- fcitx-table-cangjie5 (0.3.8-2)
- Flexible Input Method Framework - Cangjie5 table
- fcitx-table-cantonese (0.3.8-2)
- Flexible Input Method Framework - Cantonese table
- fcitx-table-cantonhk (0.3.8-2)
- Flexible Input Method Framework - Cantonhk table
- fcitx-table-cns11643 (0.2.4-2)
- Flexible Input Method Framework - Cns11643 table
- fcitx-table-compose (0.2.4-2)
- Flexible Input Method Framework - Compose table
- fcitx-table-dianbaoma (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Dianbaoma table
- fcitx-table-easy-big (0.3.8-2)
- Flexible Input Method Framework - Easy-Big table
- fcitx-table-emoji (0.2.4-2)
- Flexible Input Method Framework - Emoji table
- fcitx-table-erbi (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Erbi table
- fcitx-table-ipa-x-sampa (0.2.4-2)
- Flexible Input Method Framework - IPA-X-SAMPA table
- fcitx-table-jyutping (0.3.8-2)
- Flexible Input Method Framework - Jyutping table
- fcitx-table-latex (0.2.4-2)
- Flexible Input Method Framework - LaTeX table
- fcitx-table-malayalam-phonetic (0.2.4-2)
- Flexible Input Method Framework - Malayalam phonetic table
- fcitx-table-quick-classic (0.3.8-2)
- Flexible Input Method Framework - Quick-Classic table
- fcitx-table-quick3 (0.3.8-2)
- Flexible Input Method Framework - Quick3 table
- fcitx-table-quick5 (0.3.8-2)
- Flexible Input Method Framework - Quick5 table
- fcitx-table-rustrad (0.2.4-2)
- Flexible Input Method Framework - Rustrad table
- fcitx-table-scj6 (0.3.8-2)
- Flexible Input Method Framework - Scj6 table
- fcitx-table-stroke5 (0.3.8-2)
- Flexible Input Method Framework - Stroke5 table
- fcitx-table-t9 (0.3.8-2)
- Flexible Input Method Framework - T9 table
- fcitx-table-tamil-remington (0.2.4-2)
- Flexible Input Method Framework - Tamil Remington table
- fcitx-table-thai (0.2.4-2)
- Flexible Input Method Framework - Thai table
- fcitx-table-translit (0.2.4-2)
- Flexible Input Method Framework - Translit table
- fcitx-table-translit-ua (0.2.4-2)
- Flexible Input Method Framework - Ukrainian Translit table
- fcitx-table-viqr (0.2.4-2)
- Flexible Input Method Framework - Viqr table
- fcitx-table-wanfeng (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Wanfeng table
- fcitx-table-wbpy (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - WubiPinyin table
- fcitx-table-wu (0.3.8-2)
- Flexible Input Method Framework - Wu table
- fcitx-table-wubi (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Wubi table
- fcitx-table-wubi-large (0.3.8-2)
- Flexible Input Method Framework - Wubi-Large table
- fcitx-table-yawerty (0.2.4-2)
- Flexible Input Method Framework - Yawerty table
- fcitx-table-zhengma (0.3.8-2)
- Flexible Input Method Framework - Zhengma table
- fcitx-table-zhengma-large (0.3.8-2)
- Flexible Input Method Framework - Zhengma-Large table
- fcitx-table-ziranma (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Ziranma table
- fcitx-tools (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - various tools
- fcitx-ui-classic (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Classic user interface
- fcitx-ui-kimpanel
- virtueel pakket geboden door fcitx-module-kimpanel
- fcitx-ui-light (0.1.3-3)
- light weight xlibs and xft based UI for Fcitx
- fcitx-ui-qimpanel (2.1.3-2)
- Flexible Input Method Framework - Qt IMPanel user interface
- fcitx-unikey (0.2.7-1)
- Fcitx wrapper for Unikey engine
- fcitx5 (0~20181128+ds1-1)
- Next generation of Fcitx Input Method Framework
- fcitx5-data (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (common data files)
- fcitx5-module-dbus (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (dbus module)
- fcitx5-module-ibus (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (ibus module)
- fcitx5-module-kimpanel (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (kimpanel module)
- fcitx5-module-quickphrase (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (quickphrase module)
- fcitx5-module-quickphrase-editor (1.2.3-2+b1)
- Flexible Input Method Framework - Quick Phrase editor module
- fcitx5-module-wayland (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (wayland modules)
- fcitx5-module-xorg (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (xorg modules)
- fcitx5-modules (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (core modules)
- fcitx5-modules-dev (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (input modules dev files)
- fcm (2017.10.0-3)
- Flexible Configuration Manager
- fcml (1.1.3-3)
- single-line assembler and disassembler
- fcode-utils (1.0.2-7+b1)
- OpenBIOS FCode utilities
- fcoe-utils (1.0.31+git20160622.5dfd3e4-5)
- Fibre Channel over Ethernet utilities
- fcrackzip (1.0-9)
- password cracker for zip archives
- fd-find (7.2.0-2)
- Simple, fast and user-friendly alternative to find
- fdclone (3.01h-1)
- console-base lightweight file manager
- fdflush (1.0.1.3)
- Flush out-of-date disk buffers
- fdisk (2.33.1-0.1+deb10u1) [security]
- collection of partitioning utilities
- fdisk-udeb (2.33.1-0.1)
- Manually partition a hard drive (fdisk)
- fdkaac (0.6.3-1) [contrib]
- command line encoder frontend for libfdk-aac
- fdm (1.9+git20181219-1)
- fetching, filtering and delivering emails
- fdm-materials (3.3.0-1)
- 3D printing material definitions for Cura
- fdpowermon (1.18)
- simple battery power monitor for laptops with ACPI
- fdpowermon-icons (1.18)
- icons for fdpowermon
- fdroidcl (0.5.0-1)
- F-Droid desktop client
- fdroidserver (1.1.7-1~deb10u1)
- F-Droid build server and repository tools for Android
- fdupes (1:1.6.1-2)
- identifies duplicate files within given directories
- fdutils (5.5-20060227-8)
- Linux floppy utilities
- feathernotes (0.4.6-1)
- Hierarchical notes-manager (binaries)
- feathernotes-l10n (0.4.6-1)
- Hierarchical notes-manager (localization)
- featherpad (0.9.4-2)
- Lightweight Qt5 plain-text editor
- feature-check (0.2.2-3+deb10u1)
- tool to query a program for supported features
- feed2exec (0.14.0)
- programmable feed reader
- feed2exec-doc (0.14.0)
- programmable feed reader - documentation files
- feed2imap (1.2.5-1)
- feed aggregator (RSS/Atom) which puts items on a IMAP mail server
- feedgnuplot (1.51-1)
- Pipe-oriented frontend to Gnuplot
- feedreader (2.7.1-1)
- simple client for online RSS services like tt-rss and others
- feersum (1.406-3)
- PSGI engine for Perl based on EV/libev
- feh (3.1.3-1)
- Afbeeldingsviewer gebaseerd op imlib2
- felix-latin (2.0-11)
- Félix Gaffiot's Latin-French dictionary - viewer
- felix-latin-data (2.0-11)
- Félix Gaffiot's Latin-French dictionary - data
- felix-main (5.0.0-5)
- Execution environment for OSGi Felix Framework
- fence-agents (4.3.3-2+deb10u1)
- Fence Agents for Red Hat Cluster
- fenics (1:2018.1.0.6)
- Automated Solution of Differential Equations
- fenix (0.92a.dfsg1-12)
- development environment for making 2D games
- fenix-dev (0.92a.dfsg1-12)
- development environment for making 2D games - header files
- fenix-plugin-mpeg (0.0.20070803-8)
- mpeg plugin for the Fenix Game Development System
- fenix-plugins (0.0.20070803-8)
- plugins for the Fenix Game Development System
- fenix-plugins-system (0.0.20070803-8)
- system plugins for the Fenix Game Development System
- fenrir (1.9.3-2)
- Userland console (TTY) screen reader written in python
- ferm (2.4-1)
- maintain and setup complicated firewall rules
- ferret (0.7-2)
- CASE tool for data model editing
- ferret-datasets (7.4.4-2)
- Datasets for use with Ferret Visualisation and analysis suite
- ferret-vis (7.4.4-2)
- Interactive data visualization and analysis environment
- festival (1:2.5.0-3)
- General multi-lingual speech synthesis system
- festival-ca (3.0.6-1)
- Catalan support for Festival speech synthesis system
- festival-catalan-voice
- virtueel pakket geboden door festvox-ca-ona-hts
- festival-czech (0.3-4)
- Czech support for Festival speech synthesis system
- festival-dev (1:2.5.0-3)
- Development kit for the Festival speech synthesis system
- festival-doc (1:2.5.0-3)
- Documentation for Festival
- festival-freebsoft-utils (0.10-7)
- Festival extensions and utilities
- festival-hi (0.1-9)
- festival text to speech synthesizer for Hindi language
- festival-mr (0.1-9)
- festival text to speech synthesizer for Marathi language
- festival-te (0.3.3-4)
- festival text to speech synthesizer for Telugu (te) language
- festival-voice
- virtueel pakket geboden door festvox-suopuhe-mv, festvox-us1, festvox-kdlpc16k, festvox-italp16k, festvox-te-nsk, festvox-ru, festvox-us-slt-hts, festvox-suopuhe-lj, festvox-us2, festvox-don, festvox-itapc16k, festvox-kallpc8k, festvox-hi-nsk, festvox-rablpc16k, festvox-en1, festvox-kdlpc8k, festvox-mr-nsk, festvox-us3, festvox-kallpc16k, festvox-rablpc8k
- festlex-cmu (2.4-1)
- CMU dictionary for Festival
- festlex-ifd (2.0+debian0-4)
- Italian support for Festival
- festlex-oald (2.4-1) [non-free]
- Festival lexicon from Oxford Advanced Learners' Dictionary
- festlex-poslex (2.4-1)
- Part of speech lexicons and ngram from English
- festvox-16k
- virtueel pakket geboden door festvox-rablpc16k
- festvox-8k
- virtueel pakket geboden door festvox-rablpc8k
- festvox-ca-ona-hts (1.3-2)
- Catalan female speaker for festival, 16kHz HTS
- festvox-czech-dita (1.0.0-4)
- Czech adult female speaker "dita" for Festival
- festvox-czech-krb (1.0.0-4)
- Czech child male speaker "krb" for Festival
- festvox-czech-machac (1.0.0-4)
- Czech adult male speaker "machac" for Festival
- festvox-czech-ph (0.1-5)
- Czech male speaker for Festival
- festvox-don (1.4.0-5) [contrib]
- minimal British English male speaker for festival
- festvox-ellpc11k (1.95-1) [non-free]
- Castilian Spanish male speaker for Festival
- festvox-en1 (1.95-2) [contrib]
- mbrola-en1 voice support for festival
- festvox-hi-nsk (0.1-9)
- Hindi male speaker for festival
- festvox-italp16k (2.0+debian0-4)
- Italian female speaker for Festival
- festvox-itapc16k (2.0+debian0-4)
- Italian male speaker for Festival
- festvox-kallpc16k (2.4-1)
- American English male speaker for festival, 16khz sample rate
- festvox-kallpc8k (1.4.0-5)
- American English male speaker for festival, 8khz sample rate
- festvox-kdlpc16k (1.4.0-6)
- American English male speaker for festival, 16khz sample rate
- festvox-kdlpc8k (1.4.0-6)
- American English male speaker for festival, 8khz sample rate
- festvox-mr-nsk (0.1-9)
- Marathi male speaker for festival
- festvox-rablpc16k (2.4-1) [contrib]
- British English male speaker for festival, 16khz sample rate
- festvox-rablpc8k (1.4.0-3) [contrib]
- British English male speaker for festival, 8khz sample rate
- festvox-ru (0.5+dfsg-4)
- Russian male speaker for Festival
- festvox-suopuhe-common (1.0g-20051204-5)
- Common files for Festival Finnish speakers
- festvox-suopuhe-lj (1.0g-20051204-5)
- Finnish female speaker for Festival
- festvox-suopuhe-mv (20041119-3)
- Finnish male speaker for festival
- festvox-te-nsk (0.3.3-4)
- Telugu (te) male speaker for festival
- festvox-us-slt-hts (0.2010.10.25-2)
- US English voice for Festival. 32kHz sample rate, HTS
- festvox-us1 (1.95-2) [contrib]
- mbrola-us1 voice support for festival
- festvox-us2 (1.95-2) [contrib]
- mbrola-us2 voice support for festival
- festvox-us3 (1.95-2) [contrib]
- mbrola-us3 voice support for festival
- fet (5.37.5-1)
- timetable generator
- fet-data (5.37.5-1)
- timetable generator - documentation and examples
- fetch-crl (3.0.19-2)
- Downloads Certificate Revocation Lists
- fetchmail (6.4.0~beta4-3+deb10u1)
- SSL enabled POP3, APOP, IMAP mail gatherer/forwarder
- fetchmail-ssl
- virtueel pakket geboden door fetchmail
- fetchmailconf (6.4.0~beta4-3+deb10u1)
- fetchmail configurator
- fetchyahoo (2.14.7-1)
- Retrieve mail from Yahoo!'s webmail service
- fever (1.0.5-2)
- fast, extensible, versatile event router for Suricata's EVE-JSON format
- fex (20160919-2~deb10u1) [non-free]
- web service for transferring very large files
- fex-utils (20160919-2~deb10u1) [non-free]
- web service for transferring very large files (utils)
- feynmf (1.08-11)
- set of LaTeX macros for creating Feynman diagrams
- ffado-dbus-server (2.4.1-0.1)
- FFADO D-Bus server
- ffado-mixer-qt4 (2.4.1-0.1)
- FFADO D-Bus mixer applets
- ffado-tools (2.4.1-0.1)
- FFADO debugging and firmware tools
- ffcvt (1.3.1-1)
- ffmpeg convert wrapper tool
- ffdiaporama (2.1+dfsg-1+b1)
- Movie creator from photos and video clips
- ffdiaporama-data (2.1+dfsg-1)
- Data files for ffDiaporama
- ffe (0.3.8-1)
- Tool for parsing flat and CSV files and converting them to different formats
- ffindex (0.9.9.9-2)
- simple index/database for huge amounts of small files
- ffjson (0.0~git20181028.e517b90-1)
- faster JSON serialization for Go
- fflas-ffpack (2.3.2-3)
- Finite field linear algebra subroutines/package
- fflas-ffpack-common (2.3.2-3)
- Finite field linear algebra subroutines/package - common files
- fflas-ffpack-dev-doc (2.3.2-3)
- FFLAS-FFPACK Developer Documentation
- fflas-ffpack-user-doc (2.3.2-3)
- FFLAS-FFPACK User Documentation
- ffmpeg (7:4.1.11-0+deb10u1) [security]
- Tools for transcoding, streaming and playing of multimedia files
- ffmpeg-doc (7:4.1.11-0+deb10u1) [security]
- Documentation of the FFmpeg multimedia framework
- ffmpeg2theora (0.30-1+b3)
- Theora video encoder using ffmpeg
- ffmpegthumbnailer (2.1.1-0.2+b1)
- fast and lightweight video thumbnailer
- ffmpegthumbnailer-dbg (2.1.1-0.2+b1)
- debugging information for ffmpegthumbnailer
- ffmpegthumbs (4:18.12.0-1)
- video thumbnail generator using ffmpeg
- ffmsindex (2.23-4)
- Command line tool to create ffms2 index files
- ffproxy (1.6-12)
- Light and customizable http(s) proxy server with ipv6 support
- ffrenzy (1.0.2~svn20150731-1+b1)
- multiplayer platform game with dwarfs fighting with/for food
- fftw-dev (2.1.5-4.2+b1)
- library for computing Fast Fourier Transforms
- fftw-docs (2.1.5-4.2)
- documentation for fftw
- fftw-double-dev
- virtueel pakket geboden door fftw-dev
- fftw-single-dev
- virtueel pakket geboden door sfftw-dev
- fftw2 (2.1.5-4.2+b1)
- library for computing Fast Fourier Transforms
- fftw2-double
- virtueel pakket geboden door fftw2
- fftw2-single
- virtueel pakket geboden door sfftw2
- fftw3
- virtueel pakket geboden door libfftw3-3
- fftw3-dev
- virtueel pakket geboden door libfftw3-dev
- fftw3-doc
- virtueel pakket geboden door libfftw3-doc
- fgallery (1.8.2-2)
- static HTML+JavaScript photo album generator
- fgetty (0.7-5)
- very small, efficient, console-only getty and login
- fh2odg (0.9.6-2)
- Freehand to OpenDocument converter
- fheroes2
- virtueel pakket geboden door fheroes2-pkg
- fheroes2-pkg (0+svn20150122r3274-2-2) [contrib]
- fheroes2 package downloader and builder
- fhist (1.18-2)
- File history, comparison and merge utilities
- fiche (0.9.1-1)
- Receiver for command line output pastebin
- field3d-doc (1.7.2-1)
- documentation for Field3D
- field3d-tools (1.7.2-1+b5)
- command-line tools for Field3D
- fig2dev (1:3.2.7a-5+deb10u5) [security]
- Utilities for converting XFig figure files
- fig2ps (1.5-1)
- Converts xfig files into ps, eps or pdf files using LaTeX for processing text
- fig2sxd (0.20-1+b2)
- convert XFig files to OpenOffice.org format
- figlet (2.2.5-3)
- Make large character ASCII banners out of ordinary text
- figtoipe (1:7.2.7.2-1+b1)
- convert FIG files to XML files for ipe
- figtree (1.4.4-3)
- graphical phylogenetic tree viewer
- fil-plugins (0.3.0-6)
- parametric equalizer LADSPA plugin
- file (1:5.35-4+deb10u2)
- Recognize the type of data in a file using "magic" numbers
- file-kanji (1.1-16+b2)
- kanji code checker
- file-preseed (1.102)
- load debconf preseed file
- file-roller (3.30.1-2+deb10u1)
- archive manager for GNOME
- filelight (4:18.04.1-1.1)
- show where your diskspace is being used
- filepp (1.8.0-5)
- generic perl-based file pre-processor for text files
- fileschanged (0.6.5-2)
- command-line utility that reports when files have been altered
- filetea (0.1.18-1)
- Web-based file sharing system
- filetraq (0.3)
- Small utility to keep track of changes in config files
- filezilla (3.39.0-2+deb10u1)
- Uitgebreide grafische FTP/FTPS/SFTP-client
- filezilla-common (3.39.0-2+deb10u1)
- Architecture independent files for filezilla
- filler (1.02-6.2)
- simple game where two players try to capture half the board
- fillets-ng (1.0.1-4+b1)
- puzzle game about witty fish saving the world sokoban-style
- fillets-ng-data (1.0.1-1)
- docs, graphics, music and international sounds for fillets-ng
- fillets-ng-data-cs (1.0.1-1)
- add-on sounds for Czech language spoken dialogs for fillets-ng
- fillets-ng-data-nl (1.0.1-1)
- add-on sounds for Dutch language spoken dialogs for fillets-ng
- filo
- virtueel pakket geboden door bedtools
- filter (2.6.3+ds1-3)
- program that filters local email via forward/pipe
- filtergen (0.12.8-1)
- packet filter generator for various firewall systems
- filters (2.55-3)
- collected filters, including B1FF and the Swedish Chef
- fim (0.5~rc3-4)
- scriptable frame buffer, X.org and ascii art image viewer
- finch (2.13.0-2+b1)
- text-based multi-protocol instant messaging client
- finch-dev (2.13.0-2)
- text-based multi-protocol instant messaging client - development
- findbugs (3.1.0~preview2-3)
- Static java code analyzer to find bugs
- findent (2.8.2-1)
- indents/converts Fortran sources
- findimagedupes (2.18-7)
- Finds visually similar or duplicate images
- findutils (4.6.0+git+20190209-2)
- programma's om bestanden te zoeken-- find, xargs
- finger (0.17-15.2)
- programma voor het opzoeken van gebruikersinformatie
- finger-server
- virtueel pakket geboden door cfingerd
- fingerd (0.17-15.2)
- server voor gebruikersinformatie op afstand
- finish-install (2.100)
- Finish the installation and reboot
- fio (3.12-2)
- flexible I/O tester
- fiona (1.8.4-1+b1)
- Command line tool for reading/writing vector geospatial data
- fiona-doc (1.8.4-1)
- Python API for reading/writing vector geospatial data (docs)
- firebird-dev (3.0.5.33100.ds4-2)
- Development files for Firebird
- firebird-server
- virtueel pakket geboden door firebird3.0-server
- firebird-utils
- virtueel pakket geboden door firebird3.0-utils
- firebird3.0-common (3.0.5.33100.ds4-2)
- common files for firebird 3.0 server, client and utilities
- firebird3.0-common-doc (3.0.5.33100.ds4-2)
- copyright, licensing and changelogs of firebird3.0
- firebird3.0-doc (3.0.5.33100.ds4-2)
- Documentation files for firebird database version 3.0
- firebird3.0-examples (3.0.5.33100.ds4-2)
- Examples for Firebird database
- firebird3.0-server (3.0.5.33100.ds4-2)
- Firebird Server - an RDBMS based on InterBase 6.0 code
- firebird3.0-server-core (3.0.5.33100.ds4-2)
- Firebird engine core
- firebird3.0-utils (3.0.5.33100.ds4-2)
- Firebird command line utilities
- firefox-esr (115.11.0esr-1~deb10u1) [security]
- Mozilla Firefox web browser - Extended Support Release (ESR)
- firefox-esr-l10n-ach (115.11.0esr-1~deb10u1) [security]
- Acoli language package for Firefox ESR
- firefox-esr-l10n-af (115.11.0esr-1~deb10u1) [security]
- Afrikaans language package for Firefox ESR
- firefox-esr-l10n-all (115.11.0esr-1~deb10u1) [security]
- All language packages for Firefox ESR (meta)
- firefox-esr-l10n-an (115.11.0esr-1~deb10u1) [security]
- Aragonese language package for Firefox ESR
- firefox-esr-l10n-ar (115.11.0esr-1~deb10u1) [security]
- Arabic language package for Firefox ESR
- firefox-esr-l10n-ast (115.11.0esr-1~deb10u1) [security]
- Asturian language package for Firefox ESR
- firefox-esr-l10n-az (115.11.0esr-1~deb10u1) [security]
- Azerbaijani language package for Firefox ESR
- firefox-esr-l10n-be (115.11.0esr-1~deb10u1) [security]
- Belarusian language package for Firefox ESR
- firefox-esr-l10n-bg (115.11.0esr-1~deb10u1) [security]
- Bulgarian language package for Firefox ESR
- firefox-esr-l10n-bn (115.11.0esr-1~deb10u1) [security]
- Bengali language package for Firefox ESR
- firefox-esr-l10n-bn-bd
- virtueel pakket geboden door firefox-esr-l10n-bn
- firefox-esr-l10n-bn-in
- virtueel pakket geboden door firefox-esr-l10n-bn
- firefox-esr-l10n-br (115.11.0esr-1~deb10u1) [security]
- Breton language package for Firefox ESR
- firefox-esr-l10n-bs (115.11.0esr-1~deb10u1) [security]
- Bosnian language package for Firefox ESR
- firefox-esr-l10n-ca (115.11.0esr-1~deb10u1) [security]
- Catalan language package for Firefox ESR
- firefox-esr-l10n-ca-valencia (115.11.0esr-1~deb10u1) [security]
- Catalan (Valencia) language package for Firefox ESR
- firefox-esr-l10n-cak (115.11.0esr-1~deb10u1) [security]
- Kaqchikel language package for Firefox ESR
- firefox-esr-l10n-cs (115.11.0esr-1~deb10u1) [security]
- Czech language package for Firefox ESR
- firefox-esr-l10n-cy (115.11.0esr-1~deb10u1) [security]
- Welsh language package for Firefox ESR
- firefox-esr-l10n-da (115.11.0esr-1~deb10u1) [security]
- Danish language package for Firefox ESR
- firefox-esr-l10n-de (115.11.0esr-1~deb10u1) [security]
- German language package for Firefox ESR
- firefox-esr-l10n-dsb (115.11.0esr-1~deb10u1) [security]
- Lower Sorbian language package for Firefox ESR
- firefox-esr-l10n-el (115.11.0esr-1~deb10u1) [security]
- Modern Greek language package for Firefox ESR
- firefox-esr-l10n-en-ca (115.11.0esr-1~deb10u1) [security]
- English (Canada) language package for Firefox ESR
- firefox-esr-l10n-en-gb (115.11.0esr-1~deb10u1) [security]
- English (United Kingdom) language package for Firefox ESR
- firefox-esr-l10n-eo (115.11.0esr-1~deb10u1) [security]
- Esperanto language package for Firefox ESR
- firefox-esr-l10n-es-ar (115.11.0esr-1~deb10u1) [security]
- Spanish (Argentina) language package for Firefox ESR
- firefox-esr-l10n-es-cl (115.11.0esr-1~deb10u1) [security]
- Spanish (Chile) language package for Firefox ESR
- firefox-esr-l10n-es-es (115.11.0esr-1~deb10u1) [security]
- Spanish (Spain) language package for Firefox ESR
- firefox-esr-l10n-es-mx (115.11.0esr-1~deb10u1) [security]
- Spanish (Mexico) language package for Firefox ESR
- firefox-esr-l10n-et (115.11.0esr-1~deb10u1) [security]
- Estonian language package for Firefox ESR
- firefox-esr-l10n-eu (115.11.0esr-1~deb10u1) [security]
- Basque language package for Firefox ESR
- firefox-esr-l10n-fa (115.11.0esr-1~deb10u1) [security]
- Persian language package for Firefox ESR
- firefox-esr-l10n-ff (115.11.0esr-1~deb10u1) [security]
- Fulah language package for Firefox ESR
- firefox-esr-l10n-fi (115.11.0esr-1~deb10u1) [security]
- Finnish language package for Firefox ESR
- firefox-esr-l10n-fr (115.11.0esr-1~deb10u1) [security]
- French language package for Firefox ESR
- firefox-esr-l10n-fur (115.11.0esr-1~deb10u1) [security]
- Friulian language package for Firefox ESR
- firefox-esr-l10n-fy-nl (115.11.0esr-1~deb10u1) [security]
- Western Frisian (Netherlands) language package for Firefox ESR
- firefox-esr-l10n-ga-ie (115.11.0esr-1~deb10u1) [security]
- Irish (Ireland) language package for Firefox ESR
- firefox-esr-l10n-gd (115.11.0esr-1~deb10u1) [security]
- Scottish Gaelic language package for Firefox ESR
- firefox-esr-l10n-gl (115.11.0esr-1~deb10u1) [security]
- Galician language package for Firefox ESR
- firefox-esr-l10n-gn (115.11.0esr-1~deb10u1) [security]
- Guarani language package for Firefox ESR
- firefox-esr-l10n-gu-in (115.11.0esr-1~deb10u1) [security]
- Gujarati (India) language package for Firefox ESR
- firefox-esr-l10n-he (115.11.0esr-1~deb10u1) [security]
- Hebrew language package for Firefox ESR
- firefox-esr-l10n-hi-in (115.11.0esr-1~deb10u1) [security]
- Hindi (India) language package for Firefox ESR
- firefox-esr-l10n-hr (115.11.0esr-1~deb10u1) [security]
- Croatian language package for Firefox ESR
- firefox-esr-l10n-hsb (115.11.0esr-1~deb10u1) [security]
- Upper Sorbian language package for Firefox ESR
- firefox-esr-l10n-hu (115.11.0esr-1~deb10u1) [security]
- Hungarian language package for Firefox ESR
- firefox-esr-l10n-hy-am (115.11.0esr-1~deb10u1) [security]
- Armenian (Armenia) language package for Firefox ESR
- firefox-esr-l10n-ia (115.11.0esr-1~deb10u1) [security]
- Interlingua language package for Firefox ESR
- firefox-esr-l10n-id (115.11.0esr-1~deb10u1) [security]
- Indonesian language package for Firefox ESR
- firefox-esr-l10n-is (115.11.0esr-1~deb10u1) [security]
- Icelandic language package for Firefox ESR
- firefox-esr-l10n-it (115.11.0esr-1~deb10u1) [security]
- Italian language package for Firefox ESR
- firefox-esr-l10n-ja (115.11.0esr-1~deb10u1) [security]
- Japanese language package for Firefox ESR
- firefox-esr-l10n-ka (115.11.0esr-1~deb10u1) [security]
- Georgian language package for Firefox ESR
- firefox-esr-l10n-kab (115.11.0esr-1~deb10u1) [security]
- Kabyle language package for Firefox ESR
- firefox-esr-l10n-kk (115.11.0esr-1~deb10u1) [security]
- Kazakh language package for Firefox ESR
- firefox-esr-l10n-km (115.11.0esr-1~deb10u1) [security]
- Central Khmer language package for Firefox ESR
- firefox-esr-l10n-kn (115.11.0esr-1~deb10u1) [security]
- Kannada language package for Firefox ESR
- firefox-esr-l10n-ko (115.11.0esr-1~deb10u1) [security]
- Korean language package for Firefox ESR
- firefox-esr-l10n-lij (115.11.0esr-1~deb10u1) [security]
- Ligurian language package for Firefox ESR
- firefox-esr-l10n-lt (115.11.0esr-1~deb10u1) [security]
- Lithuanian language package for Firefox ESR
- firefox-esr-l10n-lv (115.11.0esr-1~deb10u1) [security]
- Latvian language package for Firefox ESR
- firefox-esr-l10n-mk (115.11.0esr-1~deb10u1) [security]
- Macedonian language package for Firefox ESR
- firefox-esr-l10n-mr (115.11.0esr-1~deb10u1) [security]
- Marathi language package for Firefox ESR
- firefox-esr-l10n-ms (115.11.0esr-1~deb10u1) [security]
- Malay language package for Firefox ESR
- firefox-esr-l10n-my (115.11.0esr-1~deb10u1) [security]
- Burmese language package for Firefox ESR
- firefox-esr-l10n-nb-no (115.11.0esr-1~deb10u1) [security]
- Norwegian Bokmål (Norway) language package for Firefox ESR
- firefox-esr-l10n-ne-np (115.11.0esr-1~deb10u1) [security]
- Nepali (Nepal) language package for Firefox ESR
- firefox-esr-l10n-nl (115.11.0esr-1~deb10u1) [security]
- Dutch language package for Firefox ESR
- firefox-esr-l10n-nn-no (115.11.0esr-1~deb10u1) [security]
- Norwegian Nynorsk (Norway) language package for Firefox ESR
- firefox-esr-l10n-oc (115.11.0esr-1~deb10u1) [security]
- Occitan language package for Firefox ESR
- firefox-esr-l10n-pa-in (115.11.0esr-1~deb10u1) [security]
- Panjabi (India) language package for Firefox ESR
- firefox-esr-l10n-pl (115.11.0esr-1~deb10u1) [security]
- Polish language package for Firefox ESR
- firefox-esr-l10n-pt-br (115.11.0esr-1~deb10u1) [security]
- Portuguese (Brazil) language package for Firefox ESR
- firefox-esr-l10n-pt-pt (115.11.0esr-1~deb10u1) [security]
- Portuguese (Portugal) language package for Firefox ESR
- firefox-esr-l10n-rm (115.11.0esr-1~deb10u1) [security]
- Romansh language package for Firefox ESR
- firefox-esr-l10n-ro (115.11.0esr-1~deb10u1) [security]
- Romanian language package for Firefox ESR
- firefox-esr-l10n-ru (115.11.0esr-1~deb10u1) [security]
- Russian language package for Firefox ESR
- firefox-esr-l10n-sc (115.11.0esr-1~deb10u1) [security]
- Sardinian language package for Firefox ESR
- firefox-esr-l10n-sco (115.11.0esr-1~deb10u1) [security]
- Scots language package for Firefox ESR
- firefox-esr-l10n-si (115.11.0esr-1~deb10u1) [security]
- Sinhala language package for Firefox ESR
- firefox-esr-l10n-sk (115.11.0esr-1~deb10u1) [security]
- Slovak language package for Firefox ESR
- firefox-esr-l10n-sl (115.11.0esr-1~deb10u1) [security]
- Slovenian language package for Firefox ESR
- firefox-esr-l10n-son (115.11.0esr-1~deb10u1) [security]
- Songhai languages language package for Firefox ESR
- firefox-esr-l10n-sq (115.11.0esr-1~deb10u1) [security]
- Albanian language package for Firefox ESR
- firefox-esr-l10n-sr (115.11.0esr-1~deb10u1) [security]
- Serbian language package for Firefox ESR
- firefox-esr-l10n-sv-se (115.11.0esr-1~deb10u1) [security]
- Swedish (Sweden) language package for Firefox ESR
- firefox-esr-l10n-szl (115.11.0esr-1~deb10u1) [security]
- Silesian language package for Firefox ESR
- firefox-esr-l10n-ta (115.11.0esr-1~deb10u1) [security]
- Tamil language package for Firefox ESR
- firefox-esr-l10n-te (115.11.0esr-1~deb10u1) [security]
- Telugu language package for Firefox ESR
- firefox-esr-l10n-tg (115.11.0esr-1~deb10u1) [security]
- Tajik language package for Firefox ESR
- firefox-esr-l10n-th (115.11.0esr-1~deb10u1) [security]
- Thai language package for Firefox ESR
- firefox-esr-l10n-tl (115.11.0esr-1~deb10u1) [security]
- Tagalog language package for Firefox ESR
- firefox-esr-l10n-tr (115.11.0esr-1~deb10u1) [security]
- Turkish language package for Firefox ESR
- firefox-esr-l10n-trs (115.11.0esr-1~deb10u1) [security]
- Chicahuaxtla Triqui language package for Firefox ESR
- firefox-esr-l10n-uk (115.11.0esr-1~deb10u1) [security]
- Ukrainian language package for Firefox ESR
- firefox-esr-l10n-ur (115.11.0esr-1~deb10u1) [security]
- Urdu language package for Firefox ESR
- firefox-esr-l10n-uz (115.11.0esr-1~deb10u1) [security]
- Uzbek language package for Firefox ESR
- firefox-esr-l10n-vi (115.11.0esr-1~deb10u1) [security]
- Vietnamese language package for Firefox ESR
- firefox-esr-l10n-xh (115.11.0esr-1~deb10u1) [security]
- Xhosa language package for Firefox ESR
- firefox-esr-l10n-zh-cn (115.11.0esr-1~deb10u1) [security]
- Chinese (China) language package for Firefox ESR
- firefox-esr-l10n-zh-tw (115.11.0esr-1~deb10u1) [security]
- Chinese (Taiwan) language package for Firefox ESR
- firefox-esr-noscript (= 10.1.9.6-2)
- virtueel pakket geboden door webext-noscript
- firefox-esr-tree-style-tab (= 2.7.23-1)
- virtueel pakket geboden door webext-treestyletab
- firefox-esr-umatrix (= 1.3.16+dfsg-2)
- virtueel pakket geboden door webext-umatrix
- firefox-form-history-control
- virtueel pakket geboden door webext-form-history-control
- firefox-lightbeam
- virtueel pakket geboden door webext-lightbeam
- firefox-noscript (= 10.1.9.6-2)
- virtueel pakket geboden door webext-noscript
- firefox-tree-style-tab (= 2.7.23-1)
- virtueel pakket geboden door webext-treestyletab
- firefox-umatrix (= 1.3.16+dfsg-2)
- virtueel pakket geboden door webext-umatrix
- firehol (3.1.6+ds-8)
- easy to use but powerful iptables stateful firewall (program)
- firehol-common (3.1.6+ds-8)
- easy to use but powerful traffic suite (common library)
- firehol-doc (3.1.6+ds-8)
- easy to use but powerful iptables stateful firewall (docs)
- firehol-tools (3.1.6+ds-8)
- easy to use but powerful traffic suite (extra tools)
- firehol-tools-doc (3.1.6+ds-8)
- easy to use but powerful traffic suite (extra tools docs)
- firejail (0.9.58.2-2+deb10u3)
- sandbox to restrict the application environment
- firejail-profiles (0.9.58.2-2+deb10u3)
- profiles for the firejail application sandbox
- fireqos (3.1.6+ds-8)
- easy to use but powerful traffic shaping tool (program)
- fireqos-doc (3.1.6+ds-8)
- easy to use but powerful traffic shaping tool (docs)
- firetools (0.9.58-1)
- Qt frontend for the Firejail application sandbox
- firewall-applet (0.6.3-5)
- panel applet providing status information of firewalld
- firewall-config (0.6.3-5)
- graphical configuration tool to change the firewall settings
- firewalld (0.6.3-5)
- dynamically managed firewall with support for network zones
- firewire-core-modules
- virtueel pakket geboden door firewire-core-modules-4.19.0-21-amd64-di, firewire-core-modules-4.19.0-20-686-pae-di, firewire-core-modules-4.19.0-20-686-di, firewire-core-modules-4.19.0-21-686-pae-di, firewire-core-modules-4.19.0-20-amd64-di, firewire-core-modules-4.19.0-21-686-di
- firewire-core-modules-4.19.0-20-686-di (4.19.235-1)
- Core FireWire drivers
- firewire-core-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Core FireWire drivers
- firewire-core-modules-4.19.0-20-amd64-di (4.19.235-1)
- Core FireWire drivers
- firewire-core-modules-4.19.0-21-686-di (4.19.249-2)
- Core FireWire drivers
- firewire-core-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Core FireWire drivers
- firewire-core-modules-4.19.0-21-amd64-di (4.19.249-2)
- Core FireWire drivers
- firmware-adi (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Analog Devices Inc. DSL modem chips (dummmy package)
- firmware-adi
- virtueel pakket geboden door firmware-misc-nonfree
- firmware-amd-graphics (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for AMD/ATI graphics chips
- firmware-ath9k-htc (1.4.0-97-g75b3e59+dfsg-3)
- QCA ath9k-htc Firmware
- firmware-ath9k-htc-dbgsym (1.4.0-97-g75b3e59+dfsg-3)
- QCA ath9k-htc Firmware ELF file
- firmware-atheros (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Qualcomm Atheros wireless cards
- firmware-b43-installer (1:019-4+deb10u1) [contrib]
- firmware installer for the b43 driver
- firmware-b43legacy-installer (1:019-4+deb10u1) [contrib]
- firmware installer for the b43legacy driver
- firmware-bnx2 (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Broadcom NetXtremeII
- firmware-bnx2x (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Broadcom NetXtreme II 10Gb
- firmware-brcm80211 (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Broadcom/Cypress 802.11 wireless cards
- firmware-cavium (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Cavium Ethernet adapters
- firmware-intel-sound (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Intel sound DSPs
- firmware-intelwimax (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Intel WiMAX Connection
- firmware-ipw2x00 (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Intel Pro Wireless 2100, 2200 and 2915
- firmware-ivtv (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for iTVC15-family MPEG codecs (ivtv and pvrusb2 drivers)
- firmware-iwlwifi (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Intel Wireless cards
- firmware-libertas (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Marvell wireless cards
- firmware-linux (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for various drivers in the Linux kernel (metapackage)
- firmware-linux-free (3.4)
- Binary firmware for various drivers in the Linux kernel
- firmware-linux-nonfree (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for various drivers in the Linux kernel (metapackage)
- firmware-microbit-micropython (1.0.1-1)
- MicroPython runtime for the BBC micro:bit
- firmware-microbit-micropython-dl (1.2.4+dfsg-1+deb10u1) [contrib]
- micro:bit MicroPython runtime downloader
- firmware-microbit-micropython-doc (1.0.1-1)
- MicroPython runtime for the BBC micro:bit (documentation)
- firmware-misc-nonfree (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for various drivers in the Linux kernel
- firmware-myricom (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Myri-10G Ethernet adapters
- firmware-netronome (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Netronome network adapters
- firmware-netxen (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for QLogic Intelligent Ethernet (3000 and 3100 Series)
- firmware-qcom-media (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Qualcomm SoCs
- firmware-qlogic (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for QLogic HBAs
- firmware-ralink (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Ralink wireless cards (dummmy package)
- firmware-ralink
- virtueel pakket geboden door firmware-misc-nonfree
- firmware-realtek (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Realtek wired/wifi/BT adapters
- firmware-samsung (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Samsung MFC video codecs
- firmware-siano (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for Siano MDTV receivers
- firmware-ti-connectivity (20190114+really20220913-0+deb10u2) [non-free] [security]
- Binary firmware for TI Connectivity wifi and BT/FM/GPS adapters
- firmware-zd1211 (1:1.5-6) [non-free]
- binary firmware for the zd1211rw wireless driver
- fis-gtm (6.3-007-1)
- metapackage for the latest version of FIS-GT.M database
- fis-gtm-6.3-007 (6.3-007-1)
- package for FIS-GT.M database
- fische (3.2.2-4+b1)
- stand-alone sound visualisation for Linux
- fish (3.0.2-2+deb10u1)
- friendly interactive shell
- fish-common (3.0.2-2+deb10u1)
- friendly interactive shell (architecture-independent files)
- fishpoke (0.1.7-1)
- client for the Fishpoll server
- fishpolld (0.1.7-1)
- daemon that allows remote script's execution when triggered from the network
- fitgcp (0.0.20150429-2)
- fitting genome coverage distributions with mixture models
- fitscut (1.4.4-4+b4)
- Extract cutouts from FITS image format files
- fitsh (0.9.2-1+b1)
- Software package for astronomical image processing
- fitspng (1.4-1)
- FITS to PNG converter
- fitsverify (4.19-1+b1)
- FITS File Format-Verification Tool
- fityk (1.3.1-3)
- general-purpose nonlinear curve fitting and data analysis
- fiu-utils (0.98-2)
- userspace fault injection framework (utilities)
- five-or-more (1:3.30.0-2)
- make color lines of five or more length
- fixincludes (1:8.3.0-6)
- Fix non-ANSI header files
- fizmo
- virtueel pakket geboden door fizmo-sdl2, fizmo-console, fizmo-ncursesw
- fizmo-console (0.7.13-2)
- Console-based Z-machine interpreter for Infocom/Inform games
- fizmo-ncursesw (0.7.14-2+b1)
- Ncurses-based Z-machine interpreter for Infocom/Inform games
- fizmo-sdl2 (0.8.5-2)
- SDL2-based Z-machine interpreter for Infocom/Inform games
- fizsh (1.0.9-1)
- Friendly Interactive ZSHell
- fl-cow (0.6-4.2)
- copy-on-write utility
- flac (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - command line tools
- flactag (2.0.4-5+b2 [amd64, armhf, i386], 2.0.4-5+b1 [arm64])
- Tagger for whole-album FLAC files using data from MusicBrainz
- flake (0.11-3)
- Alternative encoder for the Free Lossless Audio Codec
- flake8 (3.6.0-1)
- code checker using pycodestyle and pyflakes
- flam3 (3.1.1-1)
- render and animate FLAM3s and manipulate their genomes
- flamerobin (0.9.3~+20160512.c75f8618-2+b1)
- graphical database administration tool for Firebird DBMS
- flameshot (0.6.0-11)
- Powerful yet simple-to-use screenshot software
- flamethrower (0.1.8-4)
- Multicast file distribution utility
- flamp (2.2.04-1)
- ham radio Amateur Multicast Protocol application
- flang-7 (20181226-2)
- Fortran compiler front-end for LLVM
- flann-doc (1.9.1+dfsg-7)
- Fast Library for Approximate Nearest Neighbors - documentation
- flare (0.19-1)
- Meta package to deal with package name changes in Flare 0.19
- flare-data (0.19-1)
- Meta package to deal with package name changes in Flare 0.19
- flare-engine (1.09.01-1)
- game engine for single-player 2D action role-playing games
- flare-game (1.09.01-1)
- fantasy single-player 2D action role-playing game
- flash-kernel (3.99)
- utility to make certain embedded devices bootable
- flash-kernel-installer (3.99)
- Make the system bootable
- flashbake (0.27.1-0.1)
- automated snapshots with git
- flashbench (62-1+b1)
- identify flash storage properties
- flashproxy-client (1.7-4)
- Pluggable transport to circumvent IP address blocking - client transport plugin
- flashproxy-common (1.7-4)
- Pluggable transport to circumvent IP address blocking - common library
- flashproxy-facilitator (1.7-4)
- Pluggable transport to circumvent IP address blocking - facilitator
- flashproxy-proxy (1.7-4)
- Pluggable transport to circumvent IP address blocking - browser proxy
- flashrom (1.0-1)
- Identify, read, write, erase, and verify BIOS/ROM/flash chips
- flasm (1.62-10)
- assembler and disassembler for Flash (SWF) bytecode
- flatlatex (0.8-1)
- Python3 LaTeX math converter to unicode text - binaries
- flatpak (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps
- flatpak-builder (1.0.5-1)
- Flatpak application building helper
- flatpak-builder-tests (1.0.5-1)
- Application deployment framework for desktop apps (tests)
- flatpak-tests (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps (tests)
- flatpak-xdg-utils (1.0.0-1)
- xdg-open and xdg-email reimplementation for containerized apps
- flatpak-xdg-utils-tests (1.0.0-1)
- as-installed tests for flatpak-xdg-utils
- flatzinc (6.1.0-2)
- constraint problem modelling language
- flawfinder (1.31-1)
- examines source code and looks for security weaknesses
- fldiff (1.1+0-5)
- graphical diff program
- fldigi (4.1.01-1)
- digital modem program for hamradio operators
- flent (1.2.2-1)
- FLExible Network Tester for bufferbloat testing and more
- flex (2.6.4-6.2)
- fast lexical analyzer generator
- flex-doc (2.6.4-6.2)
- Documentation for flex (a fast lexical analyzer generator)
- flex-old (2.5.4a-10+b1 [armhf], 2.5.4a-10 [amd64, arm64, i386])
- Old version of the fast lexical analyzer generator
- flex-old-doc (2.5.4a-10)
- Documentation for an old flex (a fast lexical analyzer generator)
- flexbackup (1.2.1-6.4)
- Flexible backup tool for small to medium sized installations
- flexbar (1:3.4.0-2)
- flexible barcode and adapter removal for sequencing platforms
- flexc++ (2.07.03-1)
- Flex-style scanner generator for C++
- flexloader (0.03-3+b1)
- utility to configure SRAM based ALTERA devices
- flexmem
- virtueel pakket geboden door obexftp
- flexml (1.9.6-5+b1)
- Fast validating XML processors and applications generator
- flexpart (9.02-21)
- Particle Dispersion model for tracing air transport phenomena
- (5.0-12)
- Trajectory model for tracing air transport phenomena
- flickcurl-doc (1.26-4)
- utilities to call the Flickr API from command line - documentation
- flickcurl-utils (1.26-4)
- utilities to call the Flickr API from command line
- flight-of-the-amazon-queen (1.0.0-8)
- classic 2D point and click fantasy adventure game
- flightcrew (0.7.2+dfsg-13+deb10u1)
- C++ epub validator
- flightgear (1:2018.3.2+dfsg-2+b1)
- Flight Gear Flight Simulator
- flightgear-data-ai (1:2018.3.2+dfsg-1)
- FlightGear Flight Simulator -- standard AI data
- flightgear-data-all (1:2018.3.2+dfsg-1)
- FlightGear Flight Simulator - virtual package
- flightgear-data-base (1:2018.3.2+dfsg-1)
- FlightGear Flight Simulator -- base files
- flightgear-data-models (1:2018.3.2+dfsg-1)
- FlightGear Flight Simulator -- standard models
- flightgear-phi (2018.1.1+dfsg1-1.1)
- FlightGear Flight Simulator -- Phi webfrontend
- flim (1:1.14.9+0.20120428-21)
- library about internet message for emacsen
- flintqs (1:1.0-3)
- Program using quadratic sieve to factor integers
- flip (1.20-4)
- convert text file line endings between Unix and DOS formats
- flite (2.1-release-3)
- Small run-time speech synthesis engine
- flite-dev
- virtueel pakket geboden door flite1-dev
- flite1-dev (2.1-release-3)
- Small run-time speech synthesis engine - development files
- flmsg (4.0.8.04-1)
- amateur radio forms management editor
- floatbg (1.0-28+b2)
- slowly modify the color of the X root window
- flobopuyo (0.20-5+b2)
- Clone of the PuyoPuyo game
- flog (1.8+orig-2)
- dump STDIN to file and reopen on SIGHUP
- floppyd (4.0.23-1)
- Daemon for remote access to floppy drives
- florence (0.6.3-1.2)
- extensible and scalable virtual keyboard for X
- flow-tools (1:0.68-12.5+b3)
- collects and processes NetFlow data
- flow-tools-dev (1:0.68-12.5+b3)
- development files for flow-tools
- flowblade (2.0-1)
- non-linear video editor
- flowgrind (0.8.0-1+b2)
- Distributed network performance measurement tool
- flowgrind-dbg (0.8.0-1+b2)
- Debugging version of flowgrind
- flowscan (1.006-13.2)
- flow-based IP traffic analysis and visualization tool
- flowscan-cuflow (1.7-9)
- Flowscan module combining CampusIO and SubNetIO
- flowscan-cugrapher (1.7-9)
- CGI interface for flowscan-cuflow
- flpsed (0.7.3-4)
- WYSIWYG pseudo PostScript editor
- flpsed-data (0.7.3-4)
- WYSIWYG pseudo PostScript editor - data files
- flrig (1.3.42-1)
- ham radio transceiver control program
- fltk1.1-doc (1.1.10-26)
- Fast Light Toolkit - documentation
- fltk1.1-games (1.1.10-26)
- Fast Light Toolkit - example games: checkers, sudoku
- fltk1.3-doc (1.3.4-9)
- Fast Light Toolkit - documentation
- fltk1.3-games (1.3.4-9)
- Fast Light Toolkit - example games: checkers, sudoku
- fluid (1.3.4-9)
- Fast Light Toolkit - user interface designer
- fluid-soundfont-gm (3.1-5.1)
- Fluid (R3) General MIDI SoundFont (GM)
- fluid-soundfont-gs (3.1-5.1)
- Fluid (R3) General MIDI SoundFont (GS)
- fluidr3mono-gm-soundfont (2.315-5)
- Fluid (R3) Mono GM SoundFont from MuseScore
- fluidsynth (1.1.11-1+deb10u1)
- Real-time MIDI software synthesizer
- fluidsynth-dssi (1.0.0-6+b2)
- DSSI wrapper for the FluidSynth SoundFont-playing synthesizer
- fluxbox (1.3.5-2+b2)
- Highly configurable and low resource X11 Window manager
- flvmeta (1.2.1-1)
- Metadata injector for FLV video files
- flvstreamer (2.1c1-1+b2)
- command-line RTMP client
- flwm (1.02+git2015.10.03+7dbb30-6)
- Fast Light Window Manager
- flwrap (1.3.5-1)
- amateur radio file encapsulation/compression utility
- flycheck-doc (31-3)
- modern on-the-fly syntax checking for Emacs - documentation
- flydraw (1:4.15d~dfsg1-3)
- command-line drawing tool
- fml-asm (0.1-5)
- tool for assembling Illumina short reads in small regions
- fmtools (2.0.7+b1)
- FM radio tuner
- fnfx-client (0.3-16)
- Client for customize fnfxd hot-keys
- fnfxd (0.3-16)
- ACPI and hotkey daemon for Toshiba laptops
- fnotifystat (0.02.01-1)
- file activity monitoring tool
- fntsample (5.3-1)
- program for making font samples
- focalinux-html (2010-09-3)
- A full GNU/Linux Portuguese guide (html format)
- focalinux-text (2010-09-3)
- A full GNU/Linux Portuguese guide (text format)
- focuswriter (1.7.1-1)
- Fullscreen distraction-free writing program
- foiltex (2.1.4b-4) [non-free]
- collection of LaTeX files for making foils and slides
- folks-common (0.11.4-1)
- library to aggregates people into metacontacts (common files)
- folks-tools (0.11.4-1+b2)
- Telepathy backend for libfolks - database and import tools
- foma-bin (0.9.18+r243-1+b3)
- Xerox-compatible finite-state compiler - library
- fomp (1.0.0~dfsg0-3)
- collection of LV2 audio plugins
- fondu (0.0.20060102-4.1)
- convert between Mac and UNIX font formats
- font-hosny-amiri
- virtueel pakket geboden door fonts-hosny-amiri
- font-manager (0.7.3-1.1)
- font management application for the GNOME desktop
- fontconfig (2.13.1-2)
- generic font configuration library - support binaries
- fontconfig-config (2.13.1-2)
- generic font configuration library - configuration
- fontconfig-udeb (2.13.1-2)
- generic font configuration library - minimal runtime
- fontcustom (2.0.0+ds4-5)
- custom icon webfonts from the comfort of the command line
- fontforge (1:20170731~dfsg-1+deb10u1) [security]
- font editor
- fontforge-common (1:20170731~dfsg-1+deb10u1) [security]
- font editor (common files)
- fontforge-dbg (1:20170731~dfsg-1+deb10u1) [security]
- debugging symbols for fontforge
- fontforge-doc (1:20170731~dfsg-1+deb10u1) [security]
- documentation for fontforge
- (0.3-4)
- Additional data and utilities for FontForge
- fontforge-nox (1:20170731~dfsg-1+deb10u1) [security]
- font editor - non-X version
- fontmake (1.8.0-1)
- Compile fonts from UFO or Glyphs to OTF/TTF
- fontmanager.app (0.1-1+b3)
- Font manager for GNUstep
- fonts-3270 (2.0.0-1)
- monospaced font based on IBM 3270 terminals
- fonts-adf-accanthis (0.20110505-3)
- Accanthis font of the Arkandis Digital Foundry
- fonts-adf-baskervald (0.20110505-3)
- Baskervald font of the Arkandis Digital Foundry
- fonts-adf-berenis (0.20110505-3)
- Berenis font of the Arkandis Digital Foundry
- fonts-adf-gillius (0.20110505-3)
- Gillius font of the Arkandis Digital Foundry
- fonts-adf-ikarius (0.20110505-3)
- Ikarius font of the Arkandis Digital Foundry
- fonts-adf-irianis (0.20110505-3)
- Irianis font of the Arkandis Digital Foundry
- fonts-adf-libris (0.20110505-3)
- Libris font of the Arkandis Digital Foundry
- fonts-adf-mekanus (0.20110505-3)
- Mekanus font of the Arkandis Digital Foundry
- fonts-adf-oldania (0.20110505-3)
- Oldania font of the Arkandis Digital Foundry
- fonts-adf-romande (0.20110505-3)
- Romande font of the Arkandis Digital Foundry
- fonts-adf-switzera (0.20110505-3)
- Switzera font of the Arkandis Digital Foundry
- fonts-adf-tribun (0.20110505-3)
- Tribun font of the Arkandis Digital Foundry
- fonts-adf-universalis (0.20110505-3)
- Universalis font of the Arkandis Digital Foundry
- fonts-adf-verana (0.20110505-3)
- Verana font of the Arkandis Digital Foundry
- fonts-aksharyogini2 (1.0-1)
- aksharyogini2 devanagari normal style font
- fonts-alee (13.3)
- free Hangul TrueType fonts
- fonts-alegreya-sans (2.008-1)
- Humanist Sans Serif family, part of Alegreya fonts
- fonts-allerta (2.01+dfsg1-1)
- Signage font
- fonts-ancient-scripts (2.60-1)
- Unicode Fonts for Ancient Scripts
- fonts-android-udeb (1:6.0.1r16-1.1)
- sans-serif font family released with Android Ice Cream Sandwich
- fonts-aoyagi-kouzan-t (20160404-4)
- Brush-style Japanese font
- fonts-aoyagi-soseki (20070207-16)
- Brush-style Japanese font, Aoyagi-Soseki
- fonts-arabeyes (2.1-6)
- Arabeyes GPL TrueType Arabic fonts
- fonts-arkpandora (2.04-1)
- Replacement fonts for Microsoft's Arial, Times, and Verdana fonts
- fonts-arphic-bkai00mp (2.10-18)
- "AR PL KaitiM Big5" Chinese TrueType font by Arphic Technology
- fonts-arphic-bsmi00lp (2.10-17)
- "AR PL Mingti2L Big5" Chinese TrueType font by Arphic Technology
- fonts-arphic-gbsn00lp (2.11-15)
- "AR PL SungtiL GB" Chinese TrueType font by Arphic Technology
- fonts-arphic-gkai00mp (2.11-15)
- "AR PL KaitiM GB" Chinese TrueType font by Arphic Technology
- fonts-arphic-ukai (0.2.20080216.2-4)
- "AR PL UKai" Chinese Unicode TrueType font collection Kaiti style
- fonts-arphic-uming (0.2.20080216.2-10)
- "AR PL UMing" Chinese Unicode TrueType font collection Mingti style
- fonts-atarismall (2.2-4)
- Very small 4 x 8 font
- fonts-averia-gwf (1.00-3)
- Avería GWF font family
- fonts-averia-sans-gwf (1.00-2)
- Avería Sans GWF font family
- fonts-averia-serif-gwf (1.00-2)
- Avería Serif GWF font family
- fonts-b612 (1.003+git20180121-1)
- legible font designed to be used on aircraft cockpit screens
- fonts-babelstone-han (11.0.2-1)
- BabelStone Fonts for Han
- fonts-babelstone-modern (6.002-1)
- BabelStone latin modern font
- fonts-baekmuk (2.2-13)
- Baekmuk series Korean fonts
- fonts-bebas-neue (3.0-1)
- Flat, rounded style font designed by Ryoichi Tsunekawa
- fonts-beng (2:1.2)
- Metapackage to install Bengali and Assamese fonts
- (1.0-6)
- TrueType fonts for Bengali language
- fonts-beteckna (0.5-2)
- geometric Futura-like sans-serif TrueType font
- fonts-blankenburg (0.1-2)
- Modern blackletter font
- fonts-bpg-georgian (2012-4)
- BPG Georgian fonts
- fonts-breip (1:0.5.1-1)
- informal handwriting font
- fonts-cabin (1.5-2)
- humanist sans serif font
- fonts-cabinsketch (1.02-2)
- playful sister of the Cabin font family
- fonts-cantarell (0.111-2)
- sans serif font family designed for on-screen readability
- fonts-cardo (1.04-3)
- font for scholarly use in classical and medieval languages
- fonts-century-catalogue (001.001-6)
- century-style font revival
- fonts-circos-symbols (0.69.6+dfsg-2)
- plotter for visualizing data - standard fonts
- fonts-cmu (0.7.0-3)
- sets the computer modern unicode fonts
- fonts-cns11643-kai (103.1+20181001-1)
- Chinese TrueType font, TW-Kai
- fonts-cns11643-pixmaps (103.1+20181001-1)
- Chinese TrueType font, PNG 24x24 pixmaps
- fonts-cns11643-sung (103.1+20181001-1)
- Chinese TrueType font, TW-Sung
- fonts-comfortaa (3.001-2)
- stylish, modern true type font
- fonts-comic-neue (2.4-2)
- less horrible remake of Comic Sans
- fonts-courier-prime (0+git20190115-2)
- redesign of the Courier font
- fonts-croscore (20181227-1)
- width-compatible fonts for improved on-screen readability
- (20130214-2)
- Serif font metric-compatible with the Cambria font
- (20130920-1)
- Sans-serif font metric-compatible with Calibri font
- fonts-cwtex-docs (1.0-3)
- TrueType Font from cwTeX - example documents
- fonts-cwtex-fs (1.0-3)
- TrueType Font from cwTeX - FangSong
- fonts-cwtex-heib (1.0-3)
- TrueType Font from cwTeX - HeiBold
- fonts-cwtex-kai (1.0-3)
- TrueType Font from cwTeX - Kai
- fonts-cwtex-ming (1.0-3)
- TrueType Font from cwTeX - Ming
- fonts-cwtex-yen (1.0-3)
- TrueType Font from cwTeX - Yen
- fonts-dancingscript (1.2-1)
- lively casual script with bouncing letters and size changes
- fonts-dclfonts (7.3.3-1)
- GFD-DENNOU Club Library (DCL) - font files
- fonts-ddc-uchen (1.0-1)
- ddc Dzongkha Development Commission free OpenType Tibetan font
- fonts-dejavu (2.37-1)
- metapackage to pull in fonts-dejavu-core and fonts-dejavu-extra
- fonts-dejavu-core (2.37-1)
- Vera font family derivate with additional characters
- (2.37-1)
- Vera font family derivate with additional characters (extra variants)
- fonts-dejavu-mono-udeb (2.37-1)
- Monospace Vera font family derivate with additional characters
- fonts-dejavu-udeb (2.37-1)
- Vera font family derivate with additional characters
- fonts-dejima-mincho (227-15)
- antique-looking Japanese TrueType Mincho font
- fonts-deva (2:1.2)
- Meta package to install all Devanagari fonts
- (3.0-4)
- Free fonts for Devanagari script
- fonts-dkg-handwriting (0.16-2)
- font that imitates Daniel Kahn Gillmor's handwriting
- fonts-dosis (1.7-2)
- very simple, rounded, sans serif font family
- fonts-droid-fallback (1:6.0.1r16-1.1)
- handheld device font with extensive style and language support (fallback)
- fonts-dseg (0.44-1)
- Original 7-segment and 14-segment fonts
- fonts-dustin (20030517-13)
- various TrueType fonts from dustismo.com
- fonts-dzongkha (0.3-8)
- TrueType fonts for Dzongkha language
- fonts-ebgaramond (0.016-1)
- EB Garamond OpenType fonts
- (0.016-1)
- EB Garamond Initials and TrueType fonts
- fonts-ecolier-court (1.00-5)
- cursive roman font with small descenders
- fonts-ecolier-lignes-court (1.00-6)
- cursive roman font (with réglure Seyès and small descenders)
- fonts-eeyek (1.0-4)
- Eeyek TrueType font for Meetei Mayek script
- fonts-elusive-icons (2.0.0-4)
- iconic font and CSS framework
- fonts-entypo (3.0.0-1)
- icon fonts of dingbat style by Daniel Bruce
- fonts-essays1743 (2.100-3)
- Essays 1743 TrueType font
- fonts-eurofurence (4.0-1)
- family of geometric rounded sans serif fonts
- fonts-evertype-conakry (0.002+source-3)
- smart Graphite font for N'Ko
- fonts-f500 (1.0-8)
- Wipeout 3 Font
- fonts-fantasque-sans (1.7.2~alpha.3~dfsg-1)
- monospaced font variant for programmers
- fonts-fanwood (1.1-5)
- font similar to the Fairfield font
- fonts-farsiweb (0.4.dfsg-12)
- free TrueType fonts for Persian language
- fonts-farsiweb-udeb (0.4.dfsg-12)
- Farsiweb TrueType fonts for the graphical installer
- fonts-femkeklaver (1.0-2)
- simple handwriting font
- fonts-firacode (1.206+dfsg1-4)
- Monospaced font with programming ligatures
- fonts-font-awesome (5.0.10+really4.7.0~dfsg-1)
- iconic font designed for use with Twitter Bootstrap
- fonts-fork-awesome (1.1.5+ds1-2)
- fork of the iconic font and CSS toolkit
- fonts-freefarsi (1.0.0~beta1-7)
- FreeFarsi TrueType Persian fonts
- fonts-freefont-otf (20120503-9)
- Freefont Serif, Sans and Mono OpenType fonts
- fonts-freefont-ttf (20120503-9)
- Freefont Serif, Sans and Mono Truetype fonts
- fonts-freefont-udeb (20120503-9)
- Freefont Sans fonts for the graphical installer
- fonts-gamaliel (0.1-1)
- black letter face
- fonts-gargi (2.0-4)
- OpenType Devanagari font
- fonts-georgewilliams (20031023-2)
- Free unicode TrueType fonts by George Williams
- fonts-gfs-artemisia (1.1-5)
- greek font (Times Greek-like)
- fonts-gfs-baskerville (1.1-5)
- ancient Greek font revival
- fonts-gfs-bodoni-classic (1.1-5)
- smart greek typeface revival
- fonts-gfs-complutum (1.1-6)
- ancient Greek font revival from the University of Alcalá, Spain
- fonts-gfs-didot (1.1-6)
- greek font family (Didot revival)
- fonts-gfs-didot-classic (1.1-5)
- greek font family (Classic Didot revival)
- fonts-gfs-gazis (1.1-5)
- ancient Greek font (Byzantine cursive hand style)
- fonts-gfs-neohellenic (1.1-6)
- modern Greek font family with matching Latin
- fonts-gfs-olga (1.1-5)
- ancient Greek oblique font revival (companion to GFS Didot)
- fonts-gfs-porson (1.1-6)
- Greek font (Porson revival)
- fonts-gfs-solomos (1.1-5)
- ancient Greek oblique font
- fonts-gfs-theokritos (1.1-5)
- decorative Greek font
- fonts-glasstty (0-2)
- VT220 terminal font
- fonts-glewlwyd (1.4.9-1)
- OAuth2 authentication server providing Json Web Tokens - font files
- fonts-glyphicons-halflings (1.009~3.4.1+dfsg-1)
- icons made for smaller graphic
- fonts-gnutypewriter (1.0-1)
- Multilingual font imitating a real typewriter
- fonts-go (0~20170330-1)
- high-quality WGL4 TrueType fonts for Go project
- fonts-goudybookletter (2010.07.03-4)
- Old style roman font
- fonts-gubbi (1.3-3)
- Gubbi free font for Kannada script
- fonts-gujr (2:1.3)
- Meta package to install all Gujarati fonts
- (1.0-7)
- Free fonts for Gujarati script
- fonts-guru (2:1.2)
- Meta package to install all Punjabi fonts
- (2.0-4)
- Free fonts for Punjabi language
- fonts-hack (3.003-2)
- Typeface designed for source code
- fonts-hack-otf (3.003-2)
- Hack OpenType fonts (transitional package for fonts-hack)
- fonts-hack-ttf (3.003-2)
- Hack TrueType fonts (transitional package for fonts-hack)
- fonts-hack-web (3.003-2)
- Hack web fonts (transitional package for fonts-hack)
- fonts-hanazono (20170904-2)
- Japanese TrueType mincho font by KAGE system and FontForge
- fonts-horai-umefont (670-3)
- Japanese TrueType font, Ume-font
- fonts-hosny-amiri (0.111-2)
- Arabic Naskh style typographically oriented font
- fonts-hosny-thabit (0.02-2)
- fixed width OpenType Arabic fonts
- fonts-humor-sans (1.0-3)
- Font that looks like handwritten text
- fonts-ibm-plex (1.2.3-2) [contrib]
- extensive typeface family designed by IBM
- fonts-inconsolata (001.010-5)
- monospace font for pretty code listings and for the terminal
- fonts-indic (2:1.3)
- Meta package to install all Indian language fonts
- fonts-installer
- virtueel pakket geboden door fonts-khmeros-udeb
- fonts-ipaexfont (00401-1)
- Japanese OpenType font, all IPAex Fonts
- fonts-ipaexfont-gothic (00401-1)
- Japanese OpenType font, IPAex Gothic Font
- fonts-ipaexfont-mincho (00401-1)
- Japanese OpenType font, IPAex Mincho Font
- fonts-ipafont (00303-18)
- Japanese OpenType font set, all IPA Fonts
- fonts-ipafont-gothic (00303-18)
- Japanese OpenType font set, IPA Gothic and IPA P Gothic Fonts
- fonts-ipafont-mincho (00303-18)
- Japanese OpenType font set, IPA Mincho and IPA P Mincho Fonts
- fonts-ipafont-nonfree-jisx0208 (1:00103-7) [non-free]
- Japanese TrueType font, IPAfont (JISX0208)
- fonts-ipafont-nonfree-uigothic (00203-26) [non-free]
- Japanese TrueType font, IPAfont UI Gothic
- fonts-ipamj-mincho (005.01-2)
- Japanese OpenType font, IPAmj Mincho Font
- fonts-isabella (1.202-1)
- Isabella free TrueType font
- fonts-japanese-gothic
- virtueel pakket geboden door fonts-ipaexfont-gothic, fonts-ipafont-gothic, fonts-ipafont-nonfree-jisx0208, fonts-vlgothic, fonts-takao-gothic, fonts-mona, fonts-umeplus-cl
- fonts-japanese-mincho
- virtueel pakket geboden door fonts-ipafont-nonfree-jisx0208, fonts-takao-mincho, fonts-ipaexfont-mincho, fonts-ipafont-mincho, fonts-umeplus-cl, fonts-hanazono
- fonts-johnsmith-induni (20101012-7)
- OTF fonts with exhaustive set of Roman characters
- fonts-jsmath (0.090709+0-3)
- TeX fonts to display jsMath pages
- fonts-junction (20110525.18de841-1)
- humanist sans-serif typeface
- fonts-junicode (1.001-2)
- Unicode font for medievalists (Latin, IPA and Runic)
- fonts-jura (5.103-1)
- monospaced, sans-serif font
- fonts-kacst (2.01+mry-14)
- KACST free TrueType Arabic fonts
- fonts-kacst-one (5.0+svn11846-9)
- TrueType font designed for Arabic language
- fonts-kalapi (1.0-3)
- Kalapi Gujarati Unicode font
- fonts-kanjistrokeorders (4.002~dfsg-1)
- font to view stroke order diagrams for Kanji, Kana and etc
- fonts-karla (20160111-1)
- Grotesque Sans Serif Latin typeface
- fonts-karmilla (016+git20181118-2)
- Grotesque Sans Serif Latin typeface, extended
- fonts-kaushanscript (1.02-2)
- script font that feels like writing quickly with an inked brush
- fonts-khmeros (5.0-7)
- KhmerOS Unicode fonts for the Khmer language of Cambodia
- fonts-khmeros-udeb (5.0-7)
- Debian-Installer font for the Khmer language of Cambodia
- fonts-kiloji (1:2.1.0-23)
- Japanese Truetype font like longhand made by "kilo"
- fonts-klaudia-berenika (2011-09-03-1)
- font family based on Celtic inscriptions
- fonts-knda (2:1.2)
- Meta package for Kannada fonts
- fonts-knda-udeb (1.3-3)
- Free fonts for Kannada language (udeb)
- fonts-komatuna (20101113-14)
- modified Konatu and M+ fonts, Komatuna
- fonts-konatu (20121218-8)
- TrueType Font originally for BeOS
- fonts-kouzan-mouhitsu (20170411-2)
- Brush-style Japanese font, Kouzan-Mouhitsu
- fonts-kristi (20100000-2)
- casual cursive script typeface with a "felt-tip pen" feel
- fonts-lao (0.0.20060226-9)
- TrueType font for Lao language
- fonts-lao-udeb (0.0.20060226-9)
- TrueType font for Lao language
- fonts-larabie-deco (1:20011216-5) [non-free]
- Decorative fonts from www.larabiefonts.com
- fonts-larabie-straight (1:20011216-5) [non-free]
- Straight fonts from www.larabiefonts.com
- fonts-larabie-uncommon (1:20011216-5) [non-free]
- Special decorative fonts from www.larabiefonts.com
- fonts-lato (2.0-2)
- sans-serif typeface family font
- fonts-ldco (1.0.0.part3-1)
- set of Hebrew fonts by Louis Davis & Co.
- fonts-league-spartan (0.2+sursly-1)
- Bold, modern, geometric sans-serif font
- fonts-leckerli-one (20110000-1)
- Leckerli One font
- fonts-levien-museum (001.002-4)
- metal Centaur fonts revival family
- fonts-levien-typoscript (000.001-4)
- classic ATF Typo Script revival font
- fonts-lexi-gulim (20090423-3)
- Lexi Gulim Korean font
- fonts-lexi-saebom (20100129-3)
- Lexi Saebom Korean font
- fonts-lg-aboriginal (1.0-6)
- unicode fonts for North-American Aboriginal languages
- fonts-liberation (1:1.07.4-9)
- Fonts with the same metrics as Times, Arial and Courier
- fonts-liberation2 (2.00.5-1)
- Fonts with the same metrics as Times, Arial and Courier (v2)
- fonts-lindenhill (1.2-5)
- Regular and italic serif font
- fonts-linex (2.2-7)
- Fonts suitable for education and institutional use
- fonts-linuxlibertine (5.3.0-4)
- Linux Libertine family of fonts
- fonts-lklug-sinhala (0.6-3)
- Unicode Sinhala font by Lanka Linux User Group
- fonts-lklug-sinhala-udeb (0.6-3)
- Unicode Sinhala font by Lanka Linux User Group
- fonts-lmodern (2.004.5-6)
- OpenType fonts based on Computer Modern
- fonts-lobster (2.0-2)
- bold condensed script with many ligatures and alternates
- fonts-lobstertwo (2.0-2)
- updated and improved family version of the Lobster font
- fonts-lohit-beng-assamese (2.91.5-1)
- Lohit TrueType font for Assamese Language
- fonts-lohit-beng-bengali (2.91.5-1)
- Lohit TrueType font for Bengali Language
- fonts-lohit-deva (2.95.4-3)
- Lohit TrueType font for Devanagari script
- fonts-lohit-deva-marathi (2.94.2-2)
- Lohit TrueType font for Marathi
- fonts-lohit-deva-nepali (2.94.2-2)
- Lohit TrueType font for Nepali
- fonts-lohit-gujr (2.92.4-3)
- Lohit TrueType font for Gujarati Language
- fonts-lohit-guru (2.91.2-1)
- Lohit TrueType font for Punjabi Language
- fonts-lohit-guru-udeb (2.91.2-1)
- Lohit TrueType font for Punjabi Language (udeb)
- fonts-lohit-knda (2.5.4-2)
- Lohit TrueType font for Kannada Language
- fonts-lohit-mlym (2.92.2-1)
- Lohit TrueType font for Malayalam Language
- fonts-lohit-orya (2.91.2-1)
- Lohit TrueType font for Oriya Language
- fonts-lohit-taml (2.91.3-1)
- Lohit TrueType font for Tamil Language
- fonts-lohit-taml-classical (2.5.4-1)
- Lohit Tamil TrueType fonts for Tamil script
- fonts-lohit-telu (2.5.5-1)
- Lohit TrueType font for Telugu Language
- fonts-lyx (2.3.2-1)
- TrueType versions of some TeX fonts used by LyX
- fonts-maitreya (7.0.7-1)
- Astrological font for Maitreya
- fonts-manchufont (2.007.svn0068-5)
- Smart OpenType font for Manchu script
- fonts-materialdesignicons-webfont (1.4.57-1)
- font containing Material Design Icons
- fonts-mathjax (2.7.4+dfsg-1)
- JavaScript display engine for LaTeX and MathML (fonts)
- (2.7.4+dfsg-1)
- JavaScript display engine for LaTeX and MathML (extra fonts)
- fonts-meera-taml (1.1-2)
- free font for Tamil
- fonts-migmix (20150712-2)
- High quality Japanese font based on M+ fonts and IPA fonts
- fonts-mikachan (9.1-11) [non-free]
- handwritten Japanese Truetype font
- fonts-misaki (20190203a-1)
- Japanese Gothic and Mincho font from "Misaki" bitmap font
- fonts-mlym (2:1.2)
- Meta package to install all Malayalam fonts
- fonts-mlym-udeb (7.0.1-1)
- Free fonts for Malayalam language (udeb)
- fonts-mmcedar (20101113a-5)
- MMCedar - combined Motoya L Cedar and M+fonts
- fonts-moe-standard-kai (20120530-2) [non-free]
- Chinese TrueType font, standard Kaiti (non-free)
- fonts-moe-standard-song (20120530-2) [non-free]
- Chinese TrueType font, standard Song (non-free)
- fonts-mona (1:2.90-1)
- Japanese TrueType font for 2ch ASCII art
- fonts-monapo (20170722-2)
- Japanese TrueType font, Monapo
- fonts-monlam (1.0-1)
- Monlam font is a popular Tibetan font created by Lobsang Monlam
- fonts-monofur (1.0-1)
- terminal font with rounded shapes
- fonts-monoid (0.61-2)
- open source coding font with bitmap-like sharpness (normal tracking)
- fonts-monoid-halfloose (0.61-2)
- open source coding font with bitmap-like sharpness (half loose tracking)
- fonts-monoid-halftight (0.61-2)
- open source coding font with bitmap-like sharpness (half tight tracking)
- fonts-monoid-loose (0.61-2)
- open source coding font with bitmap-like sharpness (loose tracking)
- fonts-monoid-tight (0.61-2)
- open source coding font with bitmap-like sharpness (tight tracking)
- fonts-mononoki (1.2-1) [contrib]
- font for programming and code review
- fonts-motoya-l-cedar (1.01-4)
- Japanese Truetype font, Motoya L Cedar
- fonts-motoya-l-maruberi (1.01-4)
- Japanese Truetype font, Motoya L Maruberi
- fonts-mph-2b-damase (001.000.dfsg.2+ds1-6)
- font with character ranges from Unicode 4.1
- fonts-mplus (063-2)
- M+ sans serif fonts with different weights
- fonts-nafees (1.2-5)
- nafees free OpenType Urdu fonts
- fonts-nakula (1.0-3)
- Free Unicode compliant Devanagari font
- fonts-nanum (20180306-1)
- Nanum Korean fonts
- fonts-nanum-coding (2.5-1)
- Nanum Coding fixed width Korean TrueType font
- fonts-nanum-eco (1.000-6)
- Nanum Eco Korean font
- (20180306-1)
- Additional Nanum Korean fonts
- fonts-naver-d2coding (1.3.2-1)
- D2Coding fixed-width Korean font
- fonts-navilu (1.2-2)
- Handwriting font for Kannada
- fonts-noto (20181227-1)
- metapackage to pull in all Noto fonts
- fonts-noto-cjk (1:20170601+repack1-3+deb10u1)
- "No Tofu" font families with large Unicode coverage (CJK regular and bold)
- (1:20170601+repack1-3+deb10u1)
- "No Tofu" font families with large Unicode coverage (CJK all weight)
- fonts-noto-color-emoji (0~20180810-1)
- color emoji font from Google
- fonts-noto-core (20181227-1)
- "No Tofu" font families with large Unicode coverage (core)
- (20181227-1)
- "No Tofu" font families with large Unicode coverage (extra)
- fonts-noto-hinted (20181227-1)
- obsolete metapackage to pull in a subset of Noto fonts
- fonts-noto-hinted-udeb (20181227-1)
- "No Tofu" font families with large Unicode coverage (d-i default)
- fonts-noto-mono (20181227-1)
- "No Tofu" monospaced font family with large Unicode coverage
- fonts-noto-ui-core (20181227-1)
- "No Tofu" font families with large Unicode coverage (UI core)
- (20181227-1)
- "No Tofu" font families with large Unicode coverage (UI extra)
- fonts-noto-unhinted (20181227-1)
- "No Tofu" font families with large Unicode coverage (unhinted)
- fonts-noto-unhinted-udeb (20181227-1)
- "No Tofu" font families with large Unicode coverage (d-i optional)
- fonts-ocr-a (1.0-9)
- ANSI font readable by the computers of the 1960s
- fonts-ocr-b (0.2~dfsg1-5)
- machine-readable typeface as used in passports
- fonts-oflb-asana-math (000.907-6)
- extended smart Unicode Math font
- fonts-oflb-euterpe (1.1-5)
- unicode musical font
- fonts-okolaks (0.6.0-1)
- decorative, sans serif font
- fonts-oldstandard (2.2really-3)
- smart font with wide range of Latin, Greek and Cyrillic characters
- fonts-open-sans (1.11-1)
- humanist sans serif typeface by Steve Matteson
- fonts-opendin (1.0-2)
- Open DIN font
- fonts-opendyslexic (20160623-2)
- font designed to increase readability for readers with dyslexia
- fonts-opensymbol (2:102.10+LibO6.1.5-3+deb10u11) [security]
- OpenSymbol TrueType font
- fonts-oradano-mincho-gsrr (0.2018-1)
- Retro style (Meiji period) Japanese font
- fonts-orya (2:1.2)
- Meta package to install all Oriya fonts
- (2.0-5)
- Free fonts for Oriya script
- fonts-oxygen (4:5.4.3-3)
- Oxygen font family
- fonts-pagul (1.0-7)
- Free TrueType font for the Sourashtra language
- fonts-paktype (0.0svn20121225-2)
- PakType free OpenType Urdu fonts
- fonts-paratype (20181108-2)
- ParaType font collection for the languages of Russia
- fonts-pecita (5.4-1)
- OpenType hand-written font whose letters are connected
- fonts-play (1.002+20111215.1+ds2-1)
- minimalistic sans serif typeface
- fonts-powerline (2.7-2)
- prompt and statusline utility (symbols font)
- fonts-prociono (2.3-5)
- font similar to the one used for the Debian logo
- fonts-quattrocento (1.1-2)
- classic, elegant, sober and strong Roman typeface
- fonts-quicksand (0.2016-2)
- sans-serif font with round attributes
- fonts-radisnoir (0.9b-3)
- font inspired by Bayer Universal and Bauhaus
- fonts-ricty-diminished (4.1.1-1)
- font based on Inconsolata and Circle M+ 1m for programming
- fonts-rit-sundar (2.0.0+20170909-1)
- ornamental font for Malayalam script
- fonts-roadgeek (3.1-2)
- Set of fonts replicating various road sign typefaces
- fonts-roboto (2:0~20170802-3)
- metapackage to pull in Roboto fonts
- fonts-roboto-fontface (0.5.0-2)
- largely geometric, friendly and open curves font
- fonts-roboto-hinted (2:0~20170802-3)
- Google's signature family of fonts (transitional package)
- fonts-roboto-slab (1.100263+20170512-1)
- Google's signature family of fonts (slab)
- fonts-roboto-unhinted (2:0~20170802-3)
- Google's signature family of fonts (unhinted)
- fonts-rufscript (010-5)
- handwriting-based font for Latin characters
- fonts-sahadeva (1.0-4)
- Free Unicode compliant Devanagari font
- fonts-sambhota-tsugring (1.0-1)
- sambhota tsugring free OpenType Tibetan font
- fonts-sambhota-yigchung (1.2-2)
- sambhota yigchung free OpenType Tibetan font
- fonts-samyak (1.2.2-4)
- Samyak TrueType fonts for Indian languages
- fonts-samyak-deva (1.2.2-4)
- Samyak TrueType font for Devanagari script
- fonts-samyak-gujr (1.2.2-4)
- Samyak TrueType font for Gujarati language
- fonts-samyak-mlym (1.2.2-4)
- Samyak TrueType font for Malayalam language
- fonts-samyak-orya (1.2.2-4)
- Samyak TrueType font for Oriya language
- fonts-samyak-taml (1.2.2-4)
- Samyak TrueType font for Tamil language
- fonts-sarai (1.0-2)
- truetype font for devanagari script
- fonts-sawarabi-gothic (20161015-3)
- gothic font for Japanese
- fonts-sawarabi-mincho (20190115-1)
- Japanese mincho font - Sawarabi Mincho font
- fonts-senamirmir-washra (4.1-10)
- collection of unicode fonts for the Ethiopic script
- fonts-seto (6.20-6)
- handwriting Japanese font including JIS X 0213 kanji
- fonts-sil-abyssinica (1.500-1)
- smart Unicode font for Ethiopian and Erythrean scripts (Amharic et al.)
- fonts-sil-abyssinica-udeb (1.500-1)
- Debian-Installer font for Ethiopian and Erythrean scripts (Amharic et al.)
- fonts-sil-alkalami (1.100-1)
- Font for Arabic-based writing systems in the Kano region
- fonts-sil-andika (5.000-3)
- extended smart Unicode Latin/Greek font family for literacy
- fonts-sil-andika-compact (5.000-2)
- Smart Unicode Latin/Greek fonts for literacy (tight spacing version)
- fonts-sil-andikanewbasic (5.500-3)
- smart Unicode Latin font family for literacy (more faces, limited charset)
- fonts-sil-annapurna (1.203-1)
- smart font for languages using Devanagari script
- fonts-sil-awami-nastaliq (1.101-2)
- Smart Unicode font for the Nastaliq script
- fonts-sil-charis (5.000-3)
- smart Unicode font family for Roman or Cyrillic-based writing systems
- fonts-sil-charis-compact (5.000-1)
- smart Unicode fonts for Latin and Cyrillic scripts (tight spacing version)
- fonts-sil-dai-banna (2.200-1)
- smart Unicode font for Dai Banna (Xishuangbanna Dai)
- fonts-sil-doulos (5.000-4)
- smart Unicode font for Latin and Cyrillic scripts
- fonts-sil-doulos-compact (5.000-1)
- smart Unicode font for Latin and Cyrillic scripts (tight spacing version)
- fonts-sil-ezra (2.51-8)
- smart Unicode font for Hebrew
- fonts-sil-galatia (2.1-5)
- font family for Latin-1 and Greek (polytonic support)
- fonts-sil-gentium (20081126:1.03-2)
- extended Unicode Latin font ("a typeface for the nations")
- fonts-sil-gentium-basic (1.102-1)
- smart Unicode font families (Basic and Book Basic) based on Gentium
- fonts-sil-gentiumplus (5.000-2)
- extended smart font family for Latin, Greek and Cyrillic
- fonts-sil-gentiumplus-compact (5.000-2)
- Smart Unicode fonts for Latin, Greek and Cyrillic (tight spacing version)
- fonts-sil-harmattan (1.001-1)
- Arabic script font for West African languages
- fonts-sil-lateef (1.001-1)
- OpenType Arabic font for Sindhi and other languages of South Asia
- fonts-sil-mondulkiri (7.100-1)
- Mondulkiri OpenType font family for Khmer script
- (5.300-1)
- Mondulkiri OpenType font family for Khmer script - additional fonts
- fonts-sil-nuosusil (2.1.1-8)
- Unicode font for Yi (a script used in southwestern China)
- fonts-sil-padauk (3.003-1)
- Burmese Unicode 6 truetype font with OT and Graphite support
- fonts-sil-padauk-udeb (3.003-1)
- Debian-Installer font for the Burmese language
- fonts-sil-scheherazade (2.100-2)
- smart Unicode font for Arabic
- fonts-sil-scheherazade-udeb (2.100-2)
- Scheherazade font for the graphical installer
- fonts-sil-sophia-nubian (1.000-6)
- smart Unicode font family for Nubian languages using Coptic
- fonts-sil-tagmukay (2.000-2)
- Tifinagh Unicode TrueType font with OT and Graphite support
- fonts-sil-taiheritagepro (2.600-1)
- typeface reflecting the traditional hand-written style of the Tai Viet script
- fonts-sil-zaghawa-beria (1.000-3)
- font for Zaghawa Beria (script used in western Sudan and eastern Chad)
- fonts-sipa-arundina (0.2.2-2)
- Thai DejaVu-compatible fonts
- fonts-smc (1:7.0)
- Metapackage for various TrueType fonts for Malayalam Language
- fonts-smc-anjalioldlipi (7.1.1-1)
- AnjaliOldLipi malayalam font
- fonts-smc-chilanka (1.3.1-1)
- Chilanka malayalam font
- fonts-smc-dyuthi (3.0.1-1)
- Dyuthi malayalam font
- fonts-smc-karumbi (1.1.1-1)
- Karumbi malayalam font
- fonts-smc-keraleeyam (3.0.1-1)
- Keraleeyam malayalam font
- fonts-smc-manjari (1.710-1)
- Manjari malayalam font
- fonts-smc-meera (7.0.1-1)
- Meera malayalam font
- fonts-smc-rachana (7.0.1-1)
- Rachana malayalam font
- fonts-smc-raghumalayalamsans (2.1.2-1)
- RaghuMalayalamSans malayalam font
- fonts-smc-suruma (3.2.2-1)
- Suruma malayalam font
- fonts-smc-uroob (2.0.1-1)
- Uroob malayalam font
- fonts-stix (1.1.1-4)
- Scientific and Technical Information eXchange fonts
- fonts-symbola (2.60-1)
- symbolic font providing emoji characters from Unicode 9.0
- fonts-takao (00303.01-2)
- Japanese TrueType font set, Takao Fonts
- fonts-takao-gothic (00303.01-2)
- Japanese TrueType font set, Takao Gothic Fonts
- fonts-takao-mincho (00303.01-2)
- Japanese TrueType font set, Takao Mincho Fonts
- fonts-taml (2:1.3)
- Meta package to install all Tamil fonts
- fonts-taml-tamu (1.0-3)
- TAMu family of non Unicode fonts for Tamil script
- fonts-taml-tscu (1.0-2)
- TSCu family of non Unicode fonts for Tamil script
- fonts-taml-udeb (1.2.2-4)
- Free TrueType fonts for Tamil language (udeb)
- fonts-telu (2:1.2)
- Meta package to install all Telugu fonts
- (2.0-4)
- Free fonts for Telugu script
- fonts-telu-udeb (2.5.5-1)
- Free fonts for Telugu script (udeb)
- fonts-teluguvijayam (1.0-2)
- TrueType fonts for Telugu script (te)
- fonts-texgyre (20180621-3)
- OpenType fonts based on URW Fonts
- fonts-thai-tlwg (1:0.7.1-1)
- Thai fonts maintained by TLWG (metapackage)
- fonts-thai-tlwg-otf (1:0.7.1-1)
- Thai OpenType fonts maintained by TLWG (metapackage)
- fonts-thai-tlwg-ttf (1:0.7.1-1)
- Thai TrueType fonts maintained by TLWG (metapackage)
- fonts-thai-tlwg-udeb (1:0.7.1-1)
- Thai fonts in TrueType format for D-I use
- fonts-thai-tlwg-web (1:0.7.1-1)
- Thai web fonts maintained by TLWG
- fonts-tibetan-machine (1.901b-5)
- font for Tibetan, Dzongkha and Ladakhi (OpenType Unicode)
- fonts-tibetan-machine-udeb (1.901b-5)
- font for Tibetan, Dzongkha and Ladakhi (OpenType Unicode)
- fonts-tiresias (0.1-5)
- Fonts for the visually impaired
- fonts-tlwg-garuda (1:0.7.1-1)
- Thai Garuda font (dependency package)
- fonts-tlwg-garuda-otf (1:0.7.1-1)
- Thai Garuda OpenType font
- fonts-tlwg-garuda-ttf (1:0.7.1-1)
- Thai Garuda TrueType font
- fonts-tlwg-kinnari (1:0.7.1-1)
- Thai Kinnari font (dependency package)
- fonts-tlwg-kinnari-otf (1:0.7.1-1)
- Thai Kinnari OpenType font
- fonts-tlwg-kinnari-ttf (1:0.7.1-1)
- Thai Kinnari TrueType font
- fonts-tlwg-laksaman (1:0.7.1-1)
- Thai Laksaman font (dependency package)
- fonts-tlwg-laksaman-otf (1:0.7.1-1)
- Thai Laksaman OpenType font
- fonts-tlwg-laksaman-ttf (1:0.7.1-1)
- Thai Laksaman TrueType font
- fonts-tlwg-loma (1:0.7.1-1)
- Thai Loma font (dependency package)
- fonts-tlwg-loma-otf (1:0.7.1-1)
- Thai Loma OpenType font
- fonts-tlwg-loma-ttf (1:0.7.1-1)
- Thai Loma TrueType font
- fonts-tlwg-mono (1:0.7.1-1)
- Thai TlwgMono font (dependency package)
- fonts-tlwg-mono-otf (1:0.7.1-1)
- Thai TlwgMono OpenType font
- fonts-tlwg-mono-ttf (1:0.7.1-1)
- Thai TlwgMono TrueType font
- fonts-tlwg-norasi (1:0.7.1-1)
- Thai Norasi font (dependency package)
- fonts-tlwg-norasi-otf (1:0.7.1-1)
- Thai Norasi OpenType font
- fonts-tlwg-norasi-ttf (1:0.7.1-1)
- Thai Norasi TrueType font
- fonts-tlwg-purisa (1:0.7.1-1)
- Thai Purisa font (dependency package)
- fonts-tlwg-purisa-otf (1:0.7.1-1)
- Thai Purisa OpenType font
- fonts-tlwg-purisa-ttf (1:0.7.1-1)
- Thai Purisa TrueType font
- fonts-tlwg-sawasdee (1:0.7.1-1)
- Thai Sawasdee font (dependency package)
- fonts-tlwg-sawasdee-otf (1:0.7.1-1)
- Thai Sawasdee OpenType font
- fonts-tlwg-sawasdee-ttf (1:0.7.1-1)
- Thai Sawasdee TrueType font
- fonts-tlwg-typewriter (1:0.7.1-1)
- Thai TlwgTypewriter font (dependency package)
- fonts-tlwg-typewriter-otf (1:0.7.1-1)
- Thai TlwgTypewriter OpenType font
- fonts-tlwg-typewriter-ttf (1:0.7.1-1)
- Thai TlwgTypewriter TrueType font
- fonts-tlwg-typist (1:0.7.1-1)
- Thai TlwgTypist font (dependency package)
- fonts-tlwg-typist-otf (1:0.7.1-1)
- Thai TlwgTypist OpenType font
- fonts-tlwg-typist-ttf (1:0.7.1-1)
- Thai TlwgTypist TrueType font
- fonts-tlwg-typo (1:0.7.1-1)
- Thai TlwgTypo font (dependency package)
- fonts-tlwg-typo-otf (1:0.7.1-1)
- Thai TlwgTypo OpenType font
- fonts-tlwg-typo-ttf (1:0.7.1-1)
- Thai TlwgTypo TrueType font
- fonts-tlwg-umpush (1:0.7.1-1)
- Thai Umpush font (dependency package)
- fonts-tlwg-umpush-otf (1:0.7.1-1)
- Thai Umpush OpenType font
- fonts-tlwg-umpush-ttf (1:0.7.1-1)
- Thai Umpush TrueType font
- fonts-tlwg-waree (1:0.7.1-1)
- Thai Waree font (dependency package)
- fonts-tlwg-waree-otf (1:0.7.1-1)
- Thai Waree OpenType font
- fonts-tlwg-waree-ttf (1:0.7.1-1)
- Thai Waree TrueType font
- fonts-tomsontalks (1.1-8)
- comic lettering font
- fonts-triod-postnaja (20130809-2)
- Latin+Cyrillic font based on Old Church Slavonic service books
- fonts-tuffy (20120614-2)
- The Tuffy Truetype Font Family
- fonts-ubuntu (0.83-4) [non-free]
- sans-serif font set from Ubuntu
- fonts-ubuntu-console (0.83-4) [non-free]
- console version of the Ubuntu Mono font
- fonts-ubuntu-font-family-console (1:0.83-4) [non-free]
- console version of the Ubuntu Mono font (transitional package)
- fonts-ubuntu-title (1:0.3-2)
- font used to create the Ubuntu logo (2004‒2010)
- fonts-ukij-uyghur (20110217-3)
- fonts for Uyghur language
- fonts-ukij-uyghur-udeb (20110217-3)
- uyghur font for the graphical installer (UKIJEkran)
- fonts-umeplus (20180604-1)
- Japanese TrueType gothic fonts, based on Umefont and M+Font
- fonts-umeplus-cl (20180604-1)
- Japanese TrueType font, based on Ume Gothic Classic and M+ fonts
- fonts-unfonts-core (1:1.0.2-080608-16)
- Un series Korean TrueType fonts
- (1:1.0.2-080608+dfsg-9)
- Un series Korean TrueType fonts (extra)
- fonts-unikurdweb (1.0-5)
- Unikurd Web free Kurdish font
- fonts-uralic (0.0.20040829-6)
- Truetype fonts for Cyrillic-based Uralic languages
- fonts-urw-base35 (20170801.1-2)
- font set metric-compatible with the 35 PostScript Level 2 Base Fonts
- fonts-vlgothic (20141206-5)
- Japanese TrueType font from Vine Linux
- fonts-vollkorn (4.105-1)
- serif body text type
- fonts-wine (4.0-2)
- Windows API implementation - fonts
- fonts-woowa-bm (20171009-1)
- Baedal-Minjok decorative Korean font
- fonts-woowa-hanna (1.000-2)
- Baedal-Minjok Hanna Korean font
- fonts-wqy-microhei (0.2.0-beta-3)
- Sans-serif style CJK font derived from Droid
- fonts-wqy-zenhei (0.9.45-7)
- "WenQuanYi Zen Hei" A Hei-Ti Style (sans-serif) Chinese font
- fonts-yanone-kaffeesatz (0.20151005-2)
- Font in four weights, reminiscent of 1920s coffee house typography
- fonts-yozvox-yozfont (14.04-1)
- Japanese proportional Handwriting OpenType font
- fonts-yozvox-yozfont-antique (14.04-1)
- Japanese proportional Handwriting OpenType font (antique kana)
- fonts-yozvox-yozfont-cute (14.04-1)
- Japanese proportional Handwriting OpenType font (cute kana)
- fonts-yozvox-yozfont-edu (14.04-1)
- Japanese proportional Handwriting OpenType font (educational cana)
- fonts-yozvox-yozfont-new-kana (14.04-1)
- Japanese proportional Handwriting OpenType font (new kana)
- fonts-yozvox-yozfont-standard-kana (14.04-1)
- Japanese proportional Handwriting OpenType font (standard kana)
- fonts-yrsa-rasa (1.002-2)
- Open-source, libre fonts for Latin + Gujarati
- fonttools (3.35.1-1)
- Converts OpenType and TrueType fonts to and from XML (Executables)
- fonty-rg (0.7-1)
- Linux console fonts in various encodings
- foo-yc20 (1.3.0-6+b1)
- YC-20 organ emulation
- foobillardplus (3.43~svn170+dfsg-5)
- 3D OpenGL Billiard Game
- foobillardplus-data (3.43~svn170+dfsg-5)
- 3D OpenGL Billiard Game -- data files
- fookb (4.0-1)
- Xkb state indicator
- fookb-plainx (4.0-1)
- Xkb state indicator -- plain X version (transitional package)
- fookb-wmaker (4.0-1)
- Xkb state indicator -- WindowMaker version (transitional package)
- fookebox (0.7.2-3)
- web-based jukebox frontend to mpd
- foomatic-db (20181217-2)
- OpenPrinting printer support - database
- foomatic-db
- virtueel pakket geboden door foomatic-db-compressed-ppds
- foomatic-db-compressed-ppds (20181217-2)
- OpenPrinting printer support - Compressed PPDs derived from the database
- foomatic-db-engine (4.0.13-3)
- OpenPrinting printer support - programs
- foomatic-filters (4.0.17-11)
- OpenPrinting printer support - filters
- foomatic-filters
- virtueel pakket geboden door cups-filters
- foomatic-filters-beh (4.0.17-11)
- Openprinting Backend error handler
- foomatic-filters-beh
- virtueel pakket geboden door cups-filters
- fop (1:2.3-2)
- XML formatter driven by XSL Formatting Objects (XSL-FO.) - app
- fop-doc (1:2.3-2)
- XML formatter driven by XSL Formatting Objects (doc) - doc
- foremancli (1.0-3)
- commandline search interface to Foreman
- foremost (1.5.7-8)
- forensic program to recover lost files
- forensic-artifacts (20190113-1)
- knowledge base of forensic artifacts (data files)
- forensics-all (3.7)
- Debian Forensics Environment - essential components (metapackage)
- forensics-all-gui (3.7)
- Debian Forensics Environment - GUI components (metapackage)
- forensics-colorize (1.1-3)
- show differences between files using color graphics
- (2.8)
- Forensics Environment - extra console components (metapackage)
- (2.8)
- Forensics Environment - extra GUI components (metapackage)
- forensics-full (2.8)
- Full forensics station (metapackage)
- forg (0.5.1-7.2)
- Graphical Gopher Browser
- forge-doc (1.0.1-3)
- documentation for forge
- forked-daapd (26.4+dfsg1-1)
- DAAP/DACP (iTunes) server, support for AirPlay and Roku devices
- forkstat (0.02.09-1)
- process fork/exec/exit monitoring tool
- form (4.2.1-1)
- Symbolic manipulation system
- form-doc (4.2.1-1)
- Documentation for symbolic manipulation system
- formiko (1.3.0-1)
- reStructuredText and MarkDown editor and live previewer
- fort77 (1.15-11)
- Invoke f2c like a real compiler
- fortran-compiler
- virtueel pakket geboden door gfortran, flang-7
- fortran77-compiler
- virtueel pakket geboden door fort77
- fortran95-compiler
- virtueel pakket geboden door gfortran-8, gfortran-7
- fortune
- virtueel pakket geboden door fortune-mod
- fortune-anarchism (1.2-1)
- anarchist quotes for fortune
- fortune-cookie-db
- virtueel pakket geboden door fortunes-fr, fortunes-pl, fortunes-it-off, fortunes-min, fortunes-bg, fortunes-ru, fortunes-br, fortunes-de, fortunes-eo-iso3, fortunes-it, fortunes-off, fortunes-es-off, fortunes-debian-hints, fortunes-ga, fortunes-cs, fortunes-eo-ascii, fortunes-es, fortunes-eo, fortunes, fortunes-mario, fortunes-bofh-excuses
- fortune-mod (1:1.99.1-7+b1)
- provides fortune cookies on demand
- fortunes (1:1.99.1-7)
- Data files containing fortune cookies
- fortunes-bg (1.3)
- Bulgarian data files for fortune
- fortunes-bofh-excuses (1.2-2)
- BOFH excuses for fortune
- fortunes-br (20160820)
- Data files with fortune cookies in Portuguese
- fortunes-cs (2.0.9-1)
- Czech and Slovak data files for fortune
- fortunes-de (0.34-1)
- German data files for fortune
- fortunes-debian-hints (2.01.2)
- Debian Hints for fortune
- fortunes-eo (20020729b-1)
- Collection of esperanto fortunes.
- fortunes-eo-ascii (20020729b-1)
- Collection of esperanto fortunes (ascii encoding).
- fortunes-eo-iso3 (20020729b-1)
- Collection of esperanto fortunes (ISO3 encoding).
- fortunes-es (1.35)
- Spanish fortune database
- fortunes-es-off (1.35)
- Spanish fortune cookies (Offensive section)
- fortunes-fr (0.65+nmu2)
- French fortunes cookies
- fortunes-ga (0.10)
- Irish (Gaelige) data files for fortune
- fortunes-it (1.99-4)
- Data files containing Italian fortune cookies
- fortunes-it-off (1.99-4)
- Data files containing Italian fortune cookies, offensive section
- fortunes-mario (0.21-1)
- Fortunes files from Mario
- fortunes-min (1:1.99.1-7)
- Data files containing selected fortune cookies
- fortunes-off (1:1.99.1-7)
- Data files containing offensive fortune cookies
- fortunes-pl (0.0.20130525-2)
- Polish data files for fortune
- fortunes-ru (1.52-3)
- Russian data files for fortune
- fortunes-zh (2.95)
- Chinese Data files for fortune
- fosfat (0.4.0-13-ged091bb-3)
- FUSE library to access Smaky formatted disk (ro)
- fosfat-dev (0.4.0-13-ged091bb-3)
- FUSE library to access Smaky formatted disk, development files
- fossil (1:2.8-1)
- DSCM with built-in wiki, http interface and server, tickets database
- fotoxx (18.07.2-1)
- easy-to-use digital photo editor
- fotoxx-common (18.07.2-1)
- easy-to-use digital photo editor - common files
- four-in-a-row (1:3.28.0-2)
- Four in a Row game for GNOME
- foxeye (0.12.0-1)
- Universal modular network agent - binary package
- foxeye-dbg (0.12.0-1)
- Universal modular network agent - debugging symbols
- foxeye-dev (0.12.0-1)
- Universal modular network agent - development package
- foxtrotgps (1.2.1-1+deb10u1)
- GTK+ mapping and GPS application
- fp-compiler (3.0.4+dfsg-22)
- Free Pascal - compiler dependency package
- fp-compiler
- virtueel pakket geboden door fp-compiler-3.0.4
- fp-compiler-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - compiler
- fp-docs (3.0.4+dfsg-22)
- Free Pascal - documentation dependency package
- fp-docs
- virtueel pakket geboden door fp-docs-3.0.4
- fp-docs-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - documentation
- fp-ide (3.0.4+dfsg-22)
- Free Pascal - IDE dependency package
- fp-ide
- virtueel pakket geboden door fp-ide-3.0.4
- fp-ide-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - IDE
- fp-units-base (3.0.4+dfsg-22)
- Free Pascal - base units dependency package
- fp-units-base
- virtueel pakket geboden door fp-units-base-3.0.4
- fp-units-base-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - base units
- fp-units-castle-game-engine (6.4+dfsg1-2)
- Castle Game Engine - 3D game engine for FreePascal / Lazarus
- fp-units-db (3.0.4+dfsg-22)
- Free Pascal - database-library units dependency package
- fp-units-db
- virtueel pakket geboden door fp-units-db-3.0.4
- fp-units-db-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - database-library units
- fp-units-fcl (3.0.4+dfsg-22)
- Free Pascal - Free Component Library dependency package
- fp-units-fcl
- virtueel pakket geboden door fp-units-fcl-3.0.4
- fp-units-fcl-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - Free Component Library
- fp-units-fv (3.0.4+dfsg-22)
- Free Pascal - Free Vision units dependency package
- fp-units-fv
- virtueel pakket geboden door fp-units-fv-3.0.4
- fp-units-fv-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - Free Vision units
- fp-units-gfx (3.0.4+dfsg-22)
- Free Pascal - graphics-library units dependency package
- fp-units-gfx
- virtueel pakket geboden door fp-units-gfx-3.0.4
- fp-units-gfx-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - graphics-library units
- fp-units-gtk2 (3.0.4+dfsg-22)
- Free Pascal - GTK+ 2.x units dependency package
- fp-units-gtk2
- virtueel pakket geboden door fp-units-gtk2-3.0.4
- fp-units-gtk2-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - GTK+ 2.x units
- fp-units-i386 (3.0.4+dfsg-22)
- Free Pascal - Kylix compatibility units dependency package
- fp-units-i386
- virtueel pakket geboden door fp-units-i386-3.0.4
- fp-units-i386-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - Kylix compatibility units
- fp-units-math (3.0.4+dfsg-22)
- Free Pascal - math units dependency package
- fp-units-math
- virtueel pakket geboden door fp-units-math-3.0.4
- fp-units-math-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - math units
- fp-units-misc (3.0.4+dfsg-22)
- Free Pascal - miscellaneous units dependency package
- fp-units-misc
- virtueel pakket geboden door fp-units-misc-3.0.4
- fp-units-misc-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - miscellaneous units
- fp-units-multimedia (3.0.4+dfsg-22)
- Free Pascal - multimedia units dependency package
- fp-units-multimedia
- virtueel pakket geboden door fp-units-multimedia-3.0.4
- fp-units-multimedia-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - multimedia units
- fp-units-net (3.0.4+dfsg-22)
- Free Pascal - networking units dependency package
- fp-units-net
- virtueel pakket geboden door fp-units-net-3.0.4
- fp-units-net-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - networking units
- fp-units-rtl (3.0.4+dfsg-22)
- Free Pascal - runtime libraries dependency package
- fp-units-rtl
- virtueel pakket geboden door fp-units-rtl-3.0.4
- fp-units-rtl-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - runtime libraries
- fp-utils (3.0.4+dfsg-22)
- Free Pascal - utilities dependency package
- fp-utils
- virtueel pakket geboden door fp-utils-3.0.4
- fp-utils-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - utilities
- fpart (0.9.2-1+b1)
- sort file trees and pack them into bags
- fpc (3.0.4+dfsg-22)
- Free Pascal - SDK suite dependency package
- fpc
- virtueel pakket geboden door fpc-3.0.4
- fpc-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - SDK-3.0.4 suite
- fpc-abi-3.0.4
- virtueel pakket geboden door fp-units-rtl-3.0.4
- fpc-source (3.0.4+dfsg-22)
- Free Pascal - SDK source code dependency package
- fpc-source
- virtueel pakket geboden door fpc-source-3.0.4
- fpc-source-3.0.4 (3.0.4+dfsg-22)
- Free Pascal - SDK source code
- fpdns (20190131-1)
- remotely determine DNS server version
- fped (0.1+201210-1.1)
- Footprint editor
- fpga-icestorm (0~20181109git9671b76-1)
- Tools to handle the bitstream format of Lattice iCE40 FPGAs
- fpga-icestorm-chipdb (0~20181109git9671b76-1)
- Chip database files for fpga-icestorm
- fpgatools (0.0+201212-1+b2)
- tool to program field-programmable gate arrays
- fping (4.2-1)
- sends ICMP ECHO_REQUEST packets to network hosts
- fplll-tools (5.2.1-2)
- Tools to compute LLL-reduction of Euclidian lattices
- fprint-demo (20080303git-7)
- simple GTK+ testing libfprint's functions
- fprintd (0.8.1-1)
- D-Bus daemon for fingerprint reader access
- fprintd-doc (0.8.1-1)
- development documentation for fprintd
- fprobe (1.1-8)
- export captured traffic to remote NetFlow Collector
- fqterm (0.9.9-2)
- BBS client written in Qt
- fracplanet (0.5.1-3)
- Fractal planet generator
- fractalnow (0.8.2-2)
- Fast, advanced fractal generator
- fractgen (2.1.4-1)
- Extensible Fractal Generator
- fragmaster (1.7-8)
- use of psfrag constructs with pdflatex
- frama-c-base (20171101+sulfur+dfsg-2)
- Platform dedicated to the analysis of source code written in C (without gui)
- frame-tools (2.5.0-3+b2)
- Touch Frame Library - test tools
- frameworkintegration (5.54.0-1)
- KF5 cross-framework integration plugins
- francine (0.99.8+orig-2+b1)
- feature rich ansi console login engine
- fraqtive (0.4.8-10)
- draws Mandelbrot and Julia fractals
- freealchemist (0.5-1)
- simpler figure block game
- freebayes (1.2.0-2)
- Bayesian haplotype-based polymorphism discovery and genotyping
- freebirth (0.3.2-9.2)
- Bass synthesizer/sample player/sequencer
- freebirth-data (0.3.2-9.2)
- Bass synthesizer/sample player/sequencer -- sound samples
- freebsd-buildutils (10.3~svn296373-7)
- Utilities for building FreeBSD sources
- freebsd-glue (0.2.22)
- Emulate a FreeBSD build environment
- freebsd-manpages (12.0-1)
- Manual pages for a GNU/kFreeBSD system
- freebsd-mk (10.3~svn296373-7)
- FreeBSD makefile templates for bmake
- freebsd6-buildutils
- virtueel pakket geboden door freebsd-buildutils
- freebsd7-buildutils
- virtueel pakket geboden door freebsd-buildutils
- freecad (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program
- freecad-common (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - common files
- freecad-python2 (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - Python 2 binaries
- freecad-python3 (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - Python 3 binaries
- freecad-runtime (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - runtime files
- freecdb (0.75+b1 [armhf], 0.75 [amd64, arm64, i386])
- creating and reading constant databases
- freecell-solver-bin (5.0.0-2)
- Library for solving Freecell games
- freeciv (2.6.0-2)
- Civilization turn based strategy game
- (2.6.0-2)
- Civilization turn based strategy game (miscellaneous extras)
- freeciv-client-gtk (2.6.0-2)
- Civilization turn based strategy game (GTK+ client)
- freeciv-client-gtk3 (2.6.0-2)
- Civilization turn based strategy game (GTK 3 client)
- freeciv-client-qt (2.6.0-2)
- Civilization turn based strategy game (Qt client)
- freeciv-client-sdl (2.6.0-2)
- Civilization turn based strategy game (SDL client)
- freeciv-data (2.6.0-2)
- Civilization turn based strategy game (data)
- freeciv-server (2.6.0-2)
- Civilization turn based strategy game (server files)
- freeciv-sound-standard (2.6.0-2)
- Civilization turn based strategy game (standard sound pack)
- freecol (0.11.6+dfsg2-2+deb10u1)
- open source remake of the old Colonization
- freecontact (1.0.21-7+b1)
- fast protein contact predictor
- freediameter (1.2.1-7+deb10u1)
- Implementation of the freeDiameter protocol - metapackage
- freediameter-extensions (1.2.1-7+deb10u1)
- Extensions for the Diameter protocol
- freediameterd (1.2.1-7+deb10u1)
- Daemon for the Diameter protocol
- freediams (0.9.4-2)
- pharmaceutical drug prescription and interaction manager
- freediams-doc
- virtueel pakket geboden door freediams-doc-en, freediams-doc-fr
- freediams-doc-en (0.9.4-2)
- FreeDiams English documentation
- freediams-doc-fr (0.9.4-2)
- FreeDiams French documentation
- freedict-tools (0.5.0~beta.4-1)
- Development files for the FreeDict dictionary packages
- freedink (109.6-1)
- humorous top-down adventure and role-playing game
- freedink-data (1.08.20190120-1)
- adventure and role-playing game (assets)
- freedink-dfarc (3.14-1)
- frontend and .dmod installer for GNU FreeDink
- freedink-dfarc-dbg (3.14-1)
- debugging symbols for dfarc
- freedink-engine (109.6-1)
- humorous top-down adventure and role-playing game (engine)
- freedm (0.11.3-1)
- multiplayer deathmatch game for Doom-compatible engines
- freedom-maker (0.23)
- FreedomBox image builder
- freedombox (19.1+deb10u2)
- easy to manage, privacy oriented home server
- freedombox-setup (0.13.1)
- Configure FreedomBox (transitional package)
- freedoom (0.11.3-1)
- two single player campaigns for Doom-compatible engines
- freedroid (1.0.2+cvs040112-6)
- Clone of Paradroid - a strategic shoot-em up
- freedroid-data (1.0.2+cvs040112-6)
- Data files for freedroid - a strategic shoot-em up
- freedroidrpg (0.16.1-4)
- Isometric RPG influenced by Paradroid
- freedroidrpg-data (0.16.1-4)
- Data files for freedroidrpg
- freedv (1.3.1-3)
- Software Defined Radio (SDR)
- freefem (3.5.8-7)
- PDE oriented language using Finite Element Method
- freefem++ (3.61.1+dfsg1-4)
- Provides the binaries of the FreeFem++ FE suite
- freefem++-doc (3.61.1+dfsg1-4)
- Provides the documentation of the FreeFem++ FE suite
- freefem-doc (3.5.8-7)
- Documentation for FreeFEM (html and pdf)
- freefem-examples (3.5.8-7)
- Example files for FreeFEM
- freegish (1.53+git20140221+dfsg-1+b2)
- physics based arcade game
- freegish-data (1.53+git20140221+dfsg-1)
- data for the FreeGish arcade game
- freegish-dbg (1.53+git20140221+dfsg-1+b2)
- arcade game (debugging symbols)
- freeglut3 (2.8.1-3)
- OpenGL Utility Toolkit
- freeglut3-dev (2.8.1-3)
- OpenGL Utility Toolkit development files
- freehdl (0.0.8-2.2)
- VHDL simulator for Linux
- freeipa-admintools (4.7.2-3+deb10u1) [security]
- transitional dummy package
- freeipa-admintools
- virtueel pakket geboden door freeipa-client
- freeipa-client (4.7.2-3+deb10u1) [security]
- FreeIPA centralized identity framework -- client
- freeipa-common (4.7.2-3+deb10u1) [security]
- FreeIPA centralized identity framework -- common files
- freeipmi (1.6.3-1.1)
- GNU implementation of the IPMI protocol
- freeipmi-bmc-watchdog (1.6.3-1.1)
- GNU implementation of the IPMI protocol - BMC watchdog
- freeipmi-common (1.6.3-1.1)
- GNU implementation of the IPMI protocol - common files
- freeipmi-ipmidetect (1.6.3-1.1)
- GNU IPMI - IPMI node detection tool
- freeipmi-ipmiseld (1.6.3-1.1)
- GNU IPMI - IPMI node detection tool
- freeipmi-tools (1.6.3-1.1)
- GNU implementation of the IPMI protocol - tools
- freemat (4.2+dfsg1-6+b3)
- mathematics framework (mostly matlab compatible)
- freemat-data (4.2+dfsg1-6)
- freemat data files
- freemat-help (4.2+dfsg1-6)
- freemat help files
- freemedforms-common-resources (0.9.4-2)
- common data for the FreeMedForms project applications
- freemedforms-emr (0.9.4-2)
- electronic medical record manager
- freemedforms-emr-doc
- virtueel pakket geboden door freemedforms-emr-doc-en, freemedforms-emr-doc-fr
- freemedforms-emr-doc-en (0.9.4-2)
- FreeMedForms EMR English documentation
- freemedforms-emr-doc-fr (0.9.4-2)
- FreeMedForms EMR French documentation
- freemedforms-emr-resources (0.9.4-2)
- data for the FreeMedForms EMR
- freemedforms-freedata (0.9.4-2)
- free extra-data for the FreeMedForms project
- freemedforms-i18n (0.9.4-2)
- translations of the FreeMedForms project
- freemedforms-libs (0.9.4-2)
- common libs for the FreeMedForms project
- freemedforms-project (0.9.4-2)
- set of medical applications for health professionals
- freemedforms-theme (0.9.4-2)
- theme for the FreeMedForms project
- freenect (1:0.5.3-1+b2)
- library for accessing Kinect device -- metapackage
- freeorion (0.4.8-1+deb10u1)
- turn-based space empire and galactic conquest game
- freeorion-data (0.4.8-1+deb10u1)
- turn-based space empire and galactic conquest game -- data
- freepats (20060219-1)
- Free patch set for MIDI audio synthesis
- freeplane (1.7.5-1)
- Java program for working with Mind Maps
- freeplane-scripting-api (1.7.5-1)
- Java program for working with Mind Maps (groovy scripting API)
- freepwing (1.5-2)
- EB to JIS X 4081 converter
- freeradius (3.0.17+dfsg-1.1+deb10u2) [security]
- high-performance and highly configurable RADIUS server
- freeradius-common (3.0.17+dfsg-1.1+deb10u2) [security]
- FreeRADIUS common files
- freeradius-config (3.0.17+dfsg-1.1+deb10u2) [security]
- FreeRADIUS default config files
- freeradius-dhcp (3.0.17+dfsg-1.1+deb10u2) [security]
- DHCP module for FreeRADIUS server
- freeradius-iodbc (3.0.17+dfsg-1.1+deb10u2) [security]
- iODBC module for FreeRADIUS server
- freeradius-krb5 (3.0.17+dfsg-1.1+deb10u2) [security]
- kerberos module for FreeRADIUS server
- freeradius-ldap (3.0.17+dfsg-1.1+deb10u2) [security]
- LDAP module for FreeRADIUS server
- freeradius-memcached (3.0.17+dfsg-1.1+deb10u2) [security]
- Memcached module for FreeRADIUS server
- freeradius-mysql (3.0.17+dfsg-1.1+deb10u2) [security]
- MySQL module for FreeRADIUS server
- freeradius-postgresql (3.0.17+dfsg-1.1+deb10u2) [security]
- PostgreSQL module for FreeRADIUS server
- freeradius-python2 (3.0.17+dfsg-1.1+deb10u2) [security]
- Python 2 module for FreeRADIUS server
- freeradius-redis (3.0.17+dfsg-1.1+deb10u2) [security]
- Redis module for FreeRADIUS server
- freeradius-rest (3.0.17+dfsg-1.1+deb10u2) [security]
- REST module for FreeRADIUS server
- freeradius-utils (3.0.17+dfsg-1.1+deb10u2) [security]
- FreeRADIUS client utilities
- freeradius-yubikey (3.0.17+dfsg-1.1+deb10u2) [security]
- Yubikey module for FreeRADIUS server
- freerdp
- virtueel pakket geboden door freerdp2-shadow-x11, freerdp2-x11
- freerdp2-dev (2.3.0+dfsg1-2+deb10u4) [security]
- Free Remote Desktop Protocol library (development files)
- freerdp2-shadow-x11 (2.3.0+dfsg1-2+deb10u4) [security]
- FreeRDP x11 shadowing server
- freerdp2-wayland (2.3.0+dfsg1-2+deb10u4) [security]
- RDP client for Windows Terminal Services (wayland client)
- freerdp2-x11 (2.3.0+dfsg1-2+deb10u4) [security]
- RDP client for Windows Terminal Services (X11 client)
- freespace2 (3.7.4+repack-1) [non-free]
- open implementation of the Freespace 2 game engine
- freespace2-launcher
- virtueel pakket geboden door freespace2-launcher-wxlauncher
- freespace2-launcher-wxlauncher (0.11.0+dfsg-2) [contrib]
- launcher for the Freespace 2 Source Code Project
- freesweep (1.0.1-1)
- text-based minesweeper
- freetable (2.3-4.2)
- Facilitates production of HTML tables
- freetds-bin (1.00.104-1+deb10u1)
- FreeTDS command-line utilities
- freetds-common (1.00.104-1+deb10u1)
- configuration files for FreeTDS SQL client libraries
- freetds-dev (1.00.104-1+deb10u1)
- MS SQL and Sybase client library (static libs and headers)
- freetennis (0.4.8-10+b3)
- Free Tennis - simulation game
- freetennis-common (0.4.8-10)
- Free Tennis - simulation game
- freetts (1.2.2-6)
- speech synthesis system
- freeture (1.3.0-1)
- Free software to capTure meteors
- freetuxtv (0.6.8~dfsg1-1+b2)
- Internet television and radio player
- freetype2-demos (2.9.1-3+deb10u3)
- FreeType 2 demonstration programs
- freetype2-doc (2.9.1-3+deb10u3)
- FreeType 2 font engine, development documentation
- freevial (1.3-2.1)
- trivia platform for community events
- freewheeling (0.6.4-1)
- live looping musical instrument
- freewnn-common (1.1.1~a021+cvs20130302-7)
- Files shared among the FreeWnn packages
- freewnn-cserver (1.1.1~a021+cvs20130302-7+b1)
- Chinese input system
- freewnn-jserver (1.1.1~a021+cvs20130302-7+b1)
- Japanese input system
- freewnn-kserver (1.1.1~a021+cvs20130302-7+b1)
- Korean input system
- frei0r-plugins (1.6.1-2)
- minimalistic plugin API for video effects, plugins collection
- frei0r-plugins-dev (1.6.1-2)
- minimalistic plugin API for video effects, header files
- frei0r-plugins-doc (1.6.1-2)
- minimalistic plugin API for video effects, API documentation
- frescobaldi (3.0.0+ds1-2)
- LilyPond sheet music text editor
- fretsonfire (1.3.110.dfsg2-5)
- game of musical skill and fast fingers
- fretsonfire-game (1.3.110.dfsg2-5)
- game of musical skill and fast fingers - Game files
- fretsonfire-songs-muldjord (2.dfsg-2)
- game of musical skill and fast fingers - Songs Package
- fretsonfire-songs-sectoid (1.dfsg-3)
- game of musical skill and fast fingers - Songs Package
- friendly-recovery (0.2.39)
- Make recovery boot mode more user-friendly
- fritzing (0.9.3b+dfsg-9)
- Easy-to-use electronic design software
- fritzing-data (0.9.3b+dfsg-9)
- Easy-to-use electronic design software (data files)
- fritzing-parts (0.9.3b-3)
- Easy-to-use electronic design software (parts files)
- frobby (0.9.0-5+b11)
- Computations with monomial ideals
- frog (0.15-1)
- tagger and parser for natural languages (runtime)
- frogatto (1.3.1+dfsg-4+b2) [contrib]
- 2D platformer game starring a quixotic frog
- frogatto-data (1.3.1+dfsg-1) [non-free]
- 2D platformer game starring a quixotic frog (data files)
- frogdata (0.16-1)
- Data files for Frog
- frogr (1.5-1)
- Flickr Remote Organizer for GNOME
- frogr-data (1.5-1)
- Flickr Remote Organizer for GNOME - data files
- frotz (2.44-1+b1)
- interpreter of Z-code story-files
- frozen-bubble (2.212-9+b1)
- cool game where you pop out the bubbles!
- frozen-bubble-data (2.212-9)
- Data files for Frozen-Bubble game
- frr (7.5.1-1.1+deb10u2) [security]
- FRRouting suite of internet protocols (BGP, OSPF, IS-IS, ...)
- frr-doc (7.5.1-1.1+deb10u2) [security]
- FRRouting suite - user manual
- frr-pythontools (7.5.1-1.1+deb10u2) [security]
- FRRouting suite - Python tools
- frr-rpki-rtrlib (7.5.1-1.1+deb10u2) [security]
- FRRouting suite - BGP RPKI support (rtrlib)
- frr-snmp (7.5.1-1.1+deb10u2) [security]
- FRRouting suite - SNMP support
- fruit (2.1.dfsg-7)
- chess engine, to calculate chess moves
- fs-uae (2.8.4+dfsg-2)
- Cross-platform Amiga emulator based on UAE/WinUAE
- fs-uae-arcade (2.8.4+dfsg-2)
- Fullscreen game browser for FS-UAE
- fs-uae-launcher (2.8.4+dfsg-2)
- Launcher and configuration program for FS-UAE
- fs-uae-netplay-server (2.8.4+dfsg-2)
- Netplay server for FS-UAE online play
- fsa (1.15.9+dfsg-4)
- Fast Statistical Alignment of protein, RNA or DNA sequences
- fsarchiver (0.8.5-2)
- file system archiver
- fsck-backend
- virtueel pakket geboden door xfsprogs
- fscrypt (0.2.4-2+deb10u1) [security]
- Tool for managing Linux filesystem encryption
- fsl (5.0.8-6) [non-free]
- transitional dummy package
- fsl
- virtueel pakket geboden door fsl-5.0-core
- fsl-5.0 (5.0.8-6) [non-free]
- transitional dummy package
- fsl-5.0-core (5.0.8-6) [non-free]
- analysis tools for FMRI, MRI and DTI brain imaging
- fsl-core (5.0.8-6) [non-free]
- metapackage for the latest version of FSL
- fslint (2.46-1)
- Utility collection to find and fix common errors in file storage
- fsm-lite (1.0-3)
- frequency-based string mining (lite)
- fsmark (3.3-3)
- benchmark for simulating synchronous write workloads
- fspanel (0.7-14)
- minimalist panel for X
- fsprotect (1.0.7)
- Helper scripts to make filesystems immutable
- fspy (0.1.1-2)
- filesystem activity monitoring tool
- fssync (1.6-1)
- File system synchronization tool (1-way, over SSH)
- fstl (0.9.3-1)
- Viewer for .stl files
- fstransform (0.9.3-4)
- Tool for in-place filesystem conversion
- fstrcmp (0.7.D001-1.1+b2)
- fuzzy comparison of strings
- fstrcmp-doc (0.7.D001-1.1)
- fuzzy string compare library - documentation
- fstrm-bin (0.4.0-1)
- Frame Streams (fstrm) library (utilities)
- fsviewer-icons (1.0-7)
- icons for fsviewer to make it look more like the NeXT FileViewer
- fsvs (1.2.7-1+b2)
- Full system versioning with metadata support
- fswatch (1.14.0+repack-8)
- file change monitor based on inotify
- fswebcam (20140113-2)
- Tiny and flexible webcam program
- ftdi-eeprom (1.4-1+b2)
- Tool for reading/erasing/flashing FTDI USB chip EEPROMs
- fte (0.50.2b6-20110708-2)
- Text editor for programmers - base package
- fte-console (0.50.2b6-20110708-2)
- Text editor for programmers - console edition, no I18N support
- fte-docs (0.50.2b6-20110708-2)
- Documentation and examples for the FTE editor
- fte-terminal (0.50.2b6-20110708-2)
- Text editor for programmers - version for terminals
- fte-xwindow (0.50.2b6-20110708-2)
- Text editor for programmers - X Window System edition with I18N support
- fteproxy (0.2.19-3)
- programmable proxy for censorship circumvention
- fteqcc (3343+svn3400-3+b2)
- FTE QuakeC compiler
- ftjam (2.5.2-1.1+b2)
- FreeType version of Jam, a replacement for make
- ftnchek (3.3.1-5+b1)
- Semantic checker for Fortran 77 programs
- ftools-fv (5.5+dfsg-2)
- Tool for viewing and editing FITS format files
- ftools-pow (5.5+dfsg-2)
- Curve plotting and image display interface tool
- ftp (0.17-34.1)
- classical file transfer client
- ftp-cloudfs (0.25.2+20140217+git2a90c1a2eb-1)
- FTP interface to Rackspace Cloud Files and OpenStack Object Storage
- ftp-proxy (1.9.2.4-10+b1)
- application level proxy for the FTP protocol
- ftp-proxy-doc (1.9.2.4-10)
- documentation for ftp-proxy
- ftp-server
- virtueel pakket geboden door pure-ftpd, proftpd-basic, inetutils-ftpd, pure-ftpd-ldap, pure-ftpd-postgresql, vsftpd, twoftpd-run, pure-ftpd-mysql
- ftp-upload (1.6-1)
- put files with FTP from a script
- ftp.app (0.6-2+b1)
- File transfer protocol application for GNUstep
- ftpcopy (0.6.7-4)
- FTP clients collection
- ftpgrab (0.1.5-6)
- file mirroring utility
- ftplib-dev
- virtueel pakket geboden door libftp-dev
- ftpmirror (1.96+dfsg-16+b1)
- Mirroring directory hierarchy using FTP protocol
- ftpsync (20180513)
- Debian archive mirror tool
- ftpwatch (1.23+nmu1)
- Notifies you of changes on remote ftp servers
- fts (1.1-2)
- Modular TFTP/Fuse supplicant
- fts-clacks (1.1-2)
- Clacks module for the TFTP/Fuse supplicant
- fts-fai-ldap (1.1-2)
- LDAP FAI module for the TFTP/Fuse supplicant
- fts-ltsp-ldap (1.1-2)
- LDAP LTSP module for the TFTP/Fuse supplicant
- fts-opsi (1.1-2)
- LDAP LTSP module for the TFTP/Fuse supplicant
- fullquottel (0.1.3-1+b2)
- tool for recognizing top-posted e-mail and usenet replies
- funcoeszz (15.5-1.1)
- script with 170+ useful mini applications
- funguloids (1.06-13+b1)
- ruimte-vliegende-champion-plukker-simulatiespel
- funguloids-data (1.06-13)
- space-flying-mushroom-picking-simulator game (data files)
- funkload (1.17.1-2)
- web testing tool
- funkload-doc (1.17.1-2)
- web testing tool (documentation package)
- funnelweb (3.2-5+b1)
- literate-programming tool
- funnelweb-doc (3.2d-4)
- Documentation for funnelweb
- funnyboat (1.5-10)
- side scrolling arcade shooter game on a steamboat
- funtools (1.4.7-4)
- Minimal buy-in FITS utility package
- furiusisomount (0.11.3.1~repack1-1)
- ISO, IMG, BIN, MDF and NRG image management utility
- fuse (2.9.9-1+deb10u1)
- Filesystem in Userspace
- fuse
- virtueel pakket geboden door fuse3
- fuse-convmvfs (0.2.6-2+b2)
- mirrors a whole filesystem tree from one charset to another
- fuse-emulator-common (1.5.7+dfsg1-2~deb10u1)
- The Free Unix Spectrum Emulator (common files)
- fuse-emulator-gtk (1.5.7+dfsg1-2~deb10u1)
- The Free Unix Spectrum Emulator (GTK+ version)
- fuse-emulator-sdl (1.5.7+dfsg1-2~deb10u1)
- The Free Unix Spectrum Emulator (SDL version)
- fuse-emulator-utils (1.4.3-1)
- The Free Unix Spectrum Emulator - Utilities
- fuse-modules
- virtueel pakket geboden door fuse-modules-4.19.0-20-armmp-di, fuse-modules-4.19.0-20-686-di, fuse-modules-4.19.0-21-armmp-di, fuse-modules-4.19.0-21-686-di, fuse-modules-4.19.0-21-amd64-di, fuse-modules-4.19.0-21-arm64-di, fuse-modules-4.19.0-20-arm64-di, fuse-modules-4.19.0-20-686-pae-di, fuse-modules-4.19.0-21-686-pae-di, fuse-modules-4.19.0-20-amd64-di
- fuse-modules-4.19.0-20-686-di (4.19.235-1)
- FUSE modules
- fuse-modules-4.19.0-20-686-pae-di (4.19.235-1)
- FUSE modules
- fuse-modules-4.19.0-20-amd64-di (4.19.235-1)
- FUSE modules
- fuse-modules-4.19.0-20-arm64-di (4.19.235-1)
- FUSE modules
- fuse-modules-4.19.0-20-armmp-di (4.19.235-1)
- FUSE modules
- fuse-modules-4.19.0-21-686-di (4.19.249-2)
- FUSE modules
- fuse-modules-4.19.0-21-686-pae-di (4.19.249-2)
- FUSE modules
- fuse-modules-4.19.0-21-amd64-di (4.19.249-2)
- FUSE modules
- fuse-modules-4.19.0-21-arm64-di (4.19.249-2)
- FUSE modules
- fuse-modules-4.19.0-21-armmp-di (4.19.249-2)
- FUSE modules
- fuse-overlayfs (0.3-1)
- Implementation of overlay+shiftfs in FUSE for rootless containers.
- fuse-posixovl (1.2.20120215+gitf5bfe35-1+b1 [armhf], 1.2.20120215+gitf5bfe35-1 [amd64, arm64, i386])
- FUSE file system that provides POSIX functionality
- fuse-udeb (2.9.9-1+deb10u1)
- Filesystem in Userspace
- fuse-zip (0.5.0-1)
- ZIP archive mounter based on FUSE
- fuse2fs (1.44.5-1+deb10u3)
- ext2 / ext3 / ext4 file system driver for FUSE
- fuse3 (3.4.1-1+deb10u1)
- Filesystem in Userspace (3.x version)
- fuse3-udeb (3.4.1-1+deb10u1)
- Filesystem in Userspace (3.x version)
- fuseext2 (0.4-1.2)
- File System in User Space - Module for ext2
- fusefat (0.1a-1.2)
- File System in User Space - Module for FAT
- fuseiso (20070708-3.2+b1)
- FUSE module to mount ISO filesystem images
- fuseiso9660 (0.3-1.3)
- File System in User Space - Module for ISO9660
- fusesmb (0.8.7-1.4)
- filesystem client based on the SMB file transfer protocol
- fusil (1.5-1)
- Fuzzing program to test applications
- fusion-icon (0.2.4-2)
- tray icon to launch and manage Compiz
- fusiondirectory (1.2.3-4+deb10u2) [security]
- Web Based LDAP Administration Program
- fusiondirectory-plugin-alias (1.2.3-4+deb10u2) [security]
- alias plugin for FusionDirectory
- fusiondirectory-plugin-alias-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory alias plugin
- fusiondirectory-plugin-applications (1.2.3-4+deb10u2) [security]
- Applications management plugin for FusionDirectory
- fusiondirectory-plugin-applications-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory application management plugin
- fusiondirectory-plugin-argonaut (1.2.3-4+deb10u2) [security]
- Argonaut plugin for FusionDirectory
- fusiondirectory-plugin-argonaut-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory Argonaut plugin
- fusiondirectory-plugin-audit (1.2.3-4+deb10u2) [security]
- audit plugin for FusionDirectory
- fusiondirectory-plugin-audit-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory audit plugin
- fusiondirectory-plugin-autofs (1.2.3-4+deb10u2) [security]
- autofs plugin for FusionDirectory
- fusiondirectory-plugin-autofs-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory autofs plugin
- fusiondirectory-plugin-certificates (1.2.3-4+deb10u2) [security]
- certificates plugin for FusionDirectory
- (1.2.3-4+deb10u2) [security]
- community plugin for FusionDirectory
- (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory community plugin
- fusiondirectory-plugin-cyrus (1.2.3-4+deb10u2) [security]
- cyrus plugin for FusionDirectory
- fusiondirectory-plugin-cyrus-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory cyrus plugin
- fusiondirectory-plugin-debconf (1.2.3-4+deb10u2) [security]
- Debconf plugin for FusionDirectory
- fusiondirectory-plugin-debconf-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory Debconf Plugin
- fusiondirectory-plugin-developers (1.2.3-4+deb10u2) [security]
- Provide doc and tools for FusionDirectory development
- fusiondirectory-plugin-dhcp (1.2.3-4+deb10u2) [security]
- dhcp plugin for FusionDirectory
- fusiondirectory-plugin-dhcp-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory dhcp plugin
- fusiondirectory-plugin-dns (1.2.3-4+deb10u2) [security]
- dns plugin for FusionDirectory
- fusiondirectory-plugin-dns-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory dns plugin
- fusiondirectory-plugin-dovecot (1.2.3-4+deb10u2) [security]
- dovecot plugin for FusionDirectory
- fusiondirectory-plugin-dovecot-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory dovecot plugin
- fusiondirectory-plugin-dsa (1.2.3-4+deb10u2) [security]
- dsa plugin for FusionDirectory
- fusiondirectory-plugin-dsa-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory dsa plugin
- fusiondirectory-plugin-ejbca (1.2.3-4+deb10u2) [security]
- ejbca plugin for FusionDirectory
- fusiondirectory-plugin-ejbca-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory ejbca plugin
- fusiondirectory-plugin-fai (1.2.3-4+deb10u2) [security]
- fai plugin for FusionDirectory
- fusiondirectory-plugin-fai-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory fai plugin
- fusiondirectory-plugin-freeradius (1.2.3-4+deb10u2) [security]
- freeradius plugin for FusionDirectory
- fusiondirectory-plugin-freeradius-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory freeradius plugin
- fusiondirectory-plugin-fusioninventory (1.2.3-4+deb10u2) [security]
- FusionInventory plugin for FusionDirectory
- fusiondirectory-plugin-fusioninventory-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory fusioninventory plugin
- fusiondirectory-plugin-gpg (1.2.3-4+deb10u2) [security]
- gpg plugin for FusionDirectory
- fusiondirectory-plugin-gpg-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory gpg plugin
- fusiondirectory-plugin-ipmi (1.2.3-4+deb10u2) [security]
- ipmi plugin for FusionDirectory
- fusiondirectory-plugin-ipmi-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory ipmi plugin
- fusiondirectory-plugin-ldapdump (1.2.3-4+deb10u2) [security]
- ldapdump plugin for FusionDirectory
- fusiondirectory-plugin-ldapmanager (1.2.3-4+deb10u2) [security]
- ldapmanager plugin for FusionDirectory
- fusiondirectory-plugin-mail (1.2.3-4+deb10u2) [security]
- base mail plugin for FusionDirectory
- fusiondirectory-plugin-mail-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory mail plugin
- fusiondirectory-plugin-mixedgroups (1.2.3-4+deb10u2) [security]
- plugin to manage groups mixing memberuid and member
- fusiondirectory-plugin-nagios (1.2.3-4+deb10u2) [security]
- nagios plugin for FusionDirectory
- fusiondirectory-plugin-nagios-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory nagios plugin
- fusiondirectory-plugin-netgroups (1.2.3-4+deb10u2) [security]
- netgroup plugin for FusionDirectory
- fusiondirectory-plugin-netgroups-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory netgroups plugin
- fusiondirectory-plugin-newsletter (1.2.3-4+deb10u2) [security]
- newsletter plugin for FusionDirectory
- fusiondirectory-plugin-newsletter-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory newsletter plugin
- fusiondirectory-plugin-opsi (1.2.3-4+deb10u2) [security]
- opsi plugin for FusionDirectory
- fusiondirectory-plugin-opsi-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory opsi plugin
- fusiondirectory-plugin-personal (1.2.3-4+deb10u2) [security]
- Personal plugin for FusionDirectory
- fusiondirectory-plugin-personal-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory personal Plugin
- fusiondirectory-plugin-posix (1.2.3-4+deb10u2) [security]
- posix account and group plugin for FusionDirectory
- fusiondirectory-plugin-postfix (1.2.3-4+deb10u2) [security]
- postfix service plugin for FusionDirectory
- fusiondirectory-plugin-postfix-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory postfix plugin
- fusiondirectory-plugin-ppolicy (1.2.3-4+deb10u2) [security]
- ppolicy overlay module plugin for FusionDirectory
- fusiondirectory-plugin-ppolicy-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory ppolicy Plugin
- fusiondirectory-plugin-puppet (1.2.3-4+deb10u2) [security]
- Puppet plugin for FusionDirectory
- fusiondirectory-plugin-puppet-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory puppet Plugin
- fusiondirectory-plugin-pureftpd (1.2.3-4+deb10u2) [security]
- pureftpd plugin for FusionDirectory
- fusiondirectory-plugin-pureftpd-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory pureftpd plugin
- fusiondirectory-plugin-quota (1.2.3-4+deb10u2) [security]
- quota plugin for FusionDirectory
- fusiondirectory-plugin-quota-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory quota plugin
- fusiondirectory-plugin-renater-partage (1.2.3-4+deb10u2) [security]
- Renater partage integration plugin for FusionDirectory
- fusiondirectory-plugin-renater-partage-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory renater partage plugin
- fusiondirectory-plugin-repository (1.2.3-4+deb10u2) [security]
- repository plugin for FusionDirectory
- fusiondirectory-plugin-repository-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory repository plugin
- fusiondirectory-plugin-samba (1.2.3-4+deb10u2) [security]
- samba3 plugin for FusionDirectory
- fusiondirectory-plugin-samba-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory samba plugin
- fusiondirectory-plugin-sogo (1.2.3-4+deb10u2) [security]
- SOGo plugin for FusionDirectory
- fusiondirectory-plugin-sogo-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory SOgo plugin
- fusiondirectory-plugin-spamassassin (1.2.3-4+deb10u2) [security]
- spamassassin plugin for FusionDirectory
- fusiondirectory-plugin-spamassassin-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory spamassassin plugin
- fusiondirectory-plugin-squid (1.2.3-4+deb10u2) [security]
- squid plugin for FusionDirectory
- fusiondirectory-plugin-squid-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory squid plugin
- fusiondirectory-plugin-ssh (1.2.3-4+deb10u2) [security]
- ssh plugin for FusionDirectory
- fusiondirectory-plugin-ssh-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory ssh plugin
- fusiondirectory-plugin-subcontracting (1.2.3-4+deb10u2) [security]
- subcontracting plugin for FusionDirectory
- fusiondirectory-plugin-subcontracting-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory subcontracting plugin
- fusiondirectory-plugin-sudo (1.2.3-4+deb10u2) [security]
- sudo plugin for FusionDirectory
- fusiondirectory-plugin-sudo-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory sudo plugin
- fusiondirectory-plugin-supann (1.2.3-4+deb10u2) [security]
- supann plugin for FusionDirectory
- fusiondirectory-plugin-supann-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory supann plugin
- fusiondirectory-plugin-sympa (1.2.3-4+deb10u2) [security]
- sympa plugin for FusionDirectory
- fusiondirectory-plugin-sympa-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory sympa plugin
- fusiondirectory-plugin-systems (1.2.3-4+deb10u2) [security]
- systems plugin for FusionDirectory
- fusiondirectory-plugin-systems-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory systems plugin
- fusiondirectory-plugin-user-reminder (1.2.3-4+deb10u2) [security]
- user reminder plugin for FusionDirectory
- fusiondirectory-plugin-user-reminder-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory user reminder plugin
- fusiondirectory-plugin-weblink (1.2.3-4+deb10u2) [security]
- weblink plugin for FusionDirectory
- fusiondirectory-plugin-weblink-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory weblink plugin
- fusiondirectory-plugin-webservice (1.2.3-4+deb10u2) [security]
- webservice plugin for FusionDirectory
- fusiondirectory-plugin-webservice-schema (1.2.3-4+deb10u2) [security]
- schema for the webservice plugin for FusionDirectory
- fusiondirectory-schema (1.2.3-4+deb10u2) [security]
- LDAP schema for FusionDirectory
- fusiondirectory-smarty3-acl-render (1.2.3-4+deb10u2) [security]
- Provide FusionDirectory ACL based rendering for Smarty3
- fusiondirectory-theme-oxygen (1.2.3-4+deb10u2) [security]
- Icon theme Oxygen for FusionDirectory
- fusiondirectory-webservice-shell (1.2.3-4+deb10u2) [security]
- webservice shell for FusionDirectory
- fusioninventory-agent (1:2.4.2-1)
- hardware and software inventory tool (client)
- fusioninventory-agent-task-collect (1:2.4.2-1)
- collect task support for FusionInventory
- fusioninventory-agent-task-deploy (1:2.4.2-1)
- software deployment support for FusionInventory
- fusioninventory-agent-task-esx (1:2.4.2-1)
- ESX and ESXi inventory for FusionInventory Agent
- fusioninventory-agent-task-network (1:2.4.2-1)
- network device discovery for FusionInventory Agent
- futatabi (1.8.4-1+buster1)
- multicamera slow motion video server
- fuzz (0.6-15)
- stress-test programs by giving them random input
- fuzzylite (6.0+dfsg-2)
- fuzzy logic control binary
- fuzzyocr (3.6.0-12)
- spamassassin plugin to check image attachments
- fvwm (1:2.6.8-1)
- F(?) Virtual Window Manager
- fvwm-crystal (3.4.1+dfsg-1)
- Pretty Desktop Environment based on FVWM
- fvwm-icons (20070101-4)
- icon *.xpm files from fvwm development site
- fvwm1 (1.24r-56+b1)
- Old version of the F(?) Virtual Window Manager
- fw4spl (17.2.0-2)
- FrameWork for Software Production Line
- fwanalog (0.6.9-8)
- firewall log-file report generator (using analog)
- fwbuilder (5.3.7-3)
- Firewall administration tool GUI
- fwbuilder-common (5.3.7-3)
- Firewall administration tool GUI (common files)
- fwbuilder-doc (5.3.7-3)
- Firewall administration tool GUI documentation
- fwbuilder-frontend
- virtueel pakket geboden door fwbuilder
- fweb (1.62-13+b1)
- literate-programming tool for C/C++/Fortran/Ratfor
- fweb-doc (1.62-13)
- Documentation for literate-programming tool Fweb
- fwknop-apparmor-profile (2.6.10-2)
- FireWall KNock OPerator - Apparmor profile
- fwknop-client (2.6.10-2)
- FireWall KNock OPerator client side - C version
- fwknop-gui (1.3+dfsg-1+b2)
- FireWall KNock OPerator Graphical User Interface
- fwknop-server (2.6.10-2)
- FireWall KNock OPerator server side - C version
- fwlogwatch (1.4-1)
- Firewall log analyzer
- fwsnort (1.6.7-3)
- Snort-to-iptables rule translator
- fwupd (1.2.14-1~deb10u1)
- Firmware update daemon
- fwupd-amd64-signed (1.2.14+1~deb10u1)
- Tools to manage UEFI firmware updates (signed)
- fwupd-amd64-signed-template (1.2.14-1~deb10u1)
- Template for signed fwupd package
- fwupd-arm64-signed (1.2.14+1~deb10u1)
- Tools to manage UEFI firmware updates (signed)
- fwupd-arm64-signed-template (1.2.14-1~deb10u1)
- Template for signed fwupd package
- fwupd-armhf-signed (1.2.14+1~deb10u1)
- Tools to manage UEFI firmware updates (signed)
- fwupd-armhf-signed-template (1.2.14-1~deb10u1)
- Template for signed fwupd package
- fwupd-doc (1.2.14-1~deb10u1)
- Firmware update daemon documentation (HTML format)
- fwupd-i386-signed (1.2.14+1~deb10u1)
- Tools to manage UEFI firmware updates (signed)
- fwupd-i386-signed-template (1.2.14-1~deb10u1)
- Template for signed fwupd package
- fwupd-signed
- virtueel pakket geboden door fwupd-amd64-signed, fwupd-i386-signed, fwupd-arm64-signed, fwupd-armhf-signed
- fwupd-tests (1.2.14-1~deb10u1)
- Test suite for firmware update daemon
- fwupdate (12-4+deb10u4)
- Tools to manage UEFI firmware updates
- fwupdate-amd64-signed (12+4+deb10u4)
- Tools to manage UEFI firmware updates (signed)
- fwupdate-amd64-signed-template (12-4+deb10u4)
- Template for signed fwupdate package
- fwupdate-arm64-signed (12+4+deb10u4)
- Tools to manage UEFI firmware updates (signed)
- fwupdate-arm64-signed-template (12-4+deb10u4)
- Template for signed fwupdate package
- fwupdate-armhf-signed (12+4+deb10u4)
- Tools to manage UEFI firmware updates (signed)
- fwupdate-armhf-signed-template (12-4+deb10u4)
- Template for signed fwupdate package
- fwupdate-i386-signed (12+4+deb10u4)
- Tools to manage UEFI firmware updates (signed)
- fwupdate-i386-signed-template (12-4+deb10u4)
- Template for signed fwupdate package
- fwupdate-signed
- virtueel pakket geboden door fwupdate-arm64-signed, fwupdate-i386-signed, fwupdate-armhf-signed, fwupdate-amd64-signed
- fxload (0.0.20081013-1+b2)
- Firmware download to EZ-USB devices
- fxt-tools (0.3.8-2)
- Multithreaded tracing library
- fyre (1.0.1-6)
- interactively renders Peter de Jong maps (chaotic functions)
- fzf (0.17.5-2+b10)
- general-purpose command-line fuzzy finder
- fzy (1.0-1)
- fast, simple fuzzy text selector
- g++ (4:8.3.0-1)
- GNU C++ compiler
- g++-7 (7.4.0-6)
- GNU C++ compiler
- g++-7-multilib (7.4.0-6)
- GNU C++ compiler (multilib support)
- g++-8 (8.3.0-6)
- GNU C++ compiler
- g++-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-i686-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-mips-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-multilib (8.3.0-6)
- GNU C++ compiler (multilib support)
- g++-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler (multilib support)
- g++-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU C++ compiler (multilib support)
- g++-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU C++ compiler
- g++-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU C++ compiler
- g++-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU C++ compiler
- g++-aarch64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the arm64 architecture
- g++-aarch64-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door g++
- g++-alpha-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the alpha architecture
- g++-arm-linux-gnueabi (4:8.3.0-1)
- GNU C++ compiler for the armel architecture
- g++-arm-linux-gnueabihf (4:8.3.0-1)
- GNU C++ compiler for the armhf architecture
- g++-arm-linux-gnueabihf (= 4:8.3.0-1)
- virtueel pakket geboden door g++
- g++-hppa-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the hppa architecture
- g++-i686-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the i386 architecture
- g++-i686-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door g++
- g++-m68k-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the m68k architecture
- g++-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU C++ compiler for MinGW-w64
- g++-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU C++ compiler for MinGW-w64 targeting Win32
- g++-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU C++ compiler for MinGW-w64 targeting Win64
- g++-mips-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the mips architecture
- g++-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64 architecture
- g++-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU C++ compiler for the mips64el architecture
- g++-mipsel-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the mipsel architecture
- g++-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU C++ compiler for the mipsr6 architecture
- g++-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU C++ compiler for the mipsr6el architecture
- g++-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64r6 architecture
- g++-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64r6el architecture
- g++-multilib (4:8.3.0-1)
- GNU C++ compiler (multilib files)
- g++-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the i386 architecture
- g++-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the mips architecture
- g++-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64 architecture
- g++-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU C++ compiler for the mips64el architecture
- g++-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the mipsel architecture
- g++-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU C++ compiler for the mipsr6 architecture
- g++-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU C++ compiler for the mipsr6el architecture
- g++-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64r6 architecture
- g++-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C++ compiler for the mips64r6el architecture
- g++-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the powerpc architecture
- g++-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the ppc64 architecture
- g++-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the s390x architecture
- g++-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the sparc64 architecture
- g++-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the amd64 architecture
- g++-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU C++ compiler for the x32 architecture
- g++-powerpc-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the powerpc architecture
- g++-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU C++ compiler for the powerpcspe architecture
- g++-powerpc64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the ppc64 architecture
- g++-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the ppc64el architecture
- g++-riscv64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the riscv64 architecture
- g++-s390x-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the s390x architecture
- g++-sh4-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the sh4 architecture
- g++-sparc64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the sparc64 architecture
- g++-x86-64-linux-gnu (4:8.3.0-1)
- GNU C++ compiler for the amd64 architecture
- g++-x86-64-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door g++
- g++-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU C++ compiler for the x32 architecture
- g-wrap (1.9.15-3)
- scripting interface generator for C
- g10k (0.5.7-1+deb10u1) [security]
- Puppet environment and module deployment
- g2p-sk (0.4.2-3)
- Phonetic transcription for Slovak language
- g3data (1:1.5.3-2.1+b1)
- extract data from scanned graphs
- g3dviewer (0.2.99.5~svn130-7)
- 3D model viewer for GTK+
- g810-led (0.3.3-2+deb10u1) [security]
- LED configuration tool for Logitech Gx10 keyboards
- gabedit (2.4.8-3+b2)
- graphical user interface to Ab Initio packages
- gadfly (1.0.0-16)
- Server and interactive shell for Gadfly SQL database
- gadmin-bind (0.2.5-2+b2)
- GTK+ configuration tool for bind9
- gadmin-bind-dbg (0.2.5-2+b2)
- GTK+ configuration tool for bind9 (debug)
- gadmin-openvpn-client (0.1.9-1)
- GTK+ configuration tool for openvpn (client)
- gadmin-openvpn-server (0.1.5-3.1+b2)
- GTK+ configuration tool for openvpn (server)
- gadmin-openvpn-server-dbg (0.1.5-3.1+b2)
- GTK+ configuration tool for openvpn (debug for server)
- gadmin-proftpd (1:0.4.2-1+b2)
- GTK+ configuration tool for proftpd
- gadmin-proftpd-dbg (1:0.4.2-1+b2)
- GTK+ configuration tool for proftpd debug package
- gadmin-rsync (0.1.7-1+b2)
- GTK+ configuration tool for rsync
- gadmin-rsync-dbg (0.1.7-1+b2)
- GTK+ configuration tool for rsync (debug)
- gadmin-samba (0.2.9-3+b2)
- GTK+ configuration tool for samba
- gadmin-samba-dbg (0.2.9-3+b2)
- GTK+ configuration tool for samba (debug)
- gadmintools (11)
- GTK+ server administration tools (meta-package)
- gaduhistory (0.5-4)
- EKG history viewer
- gaffitter (0.6.0-2+b2)
- File subsets extractor based on genetic algorithms
- gaiksaurus (1.2.1+dev-0.12-6.3)
- graphical interface to the Aiksaurus toolkit (GTK+ frontend)
- gaim-librvp
- virtueel pakket geboden door pidgin-librvp
- gajim (1.1.2-2)
- GTK+-based Jabber client
- gajim-antispam (1.4.21-2)
- Gajim plugin to block some incoming messages
- gajim-appindicatorintegration (1.1.20-1)
- integrates Gajim with the appindicator
- gajim-httpupload
- virtueel pakket geboden door gajim
- gajim-omemo (2.6.27-1+deb10u1)
- Gajim plugin for OMEMO Multi-End Message and Object Encryption
- gajim-pgp (1.2.24-1)
- Gajim plugin for PGP encryption
- gajim-plugininstaller (1.1.4-1)
- Gajim plugin to install plugins from ftp.gajim.org
- gajim-rostertweaks (1.1.0-1)
- tweak Gajim roster window appearance
- gajim-triggers (1.1.2-1)
- configure Gajim's behaviour for each contact
- gajim-urlimagepreview (2.3.23-1)
- displays images inside Gajim chat window
- galax (1.1-15+b7 [armhf], 1.1-15+b6 [amd64, arm64, i386])
- XQuery implementation with static typing - command line front-ends
- galax-doc (1.1-15)
- XQuery implementation with static typing - documentation
- (1.1-15+b7 [armhf], 1.1-15+b6 [amd64, arm64, i386])
- XQuery implementation with static typing - utilities
- galaxd (1.1-15+b7 [armhf], 1.1-15+b6 [amd64, arm64, i386])
- XQuery implementation with static typing - network servers
- galculator (2.1.4-1+b1)
- scientific calculator
- galera
- virtueel pakket geboden door galera-3
- galera-3 (25.3.25-2)
- Replication framework for transactional applications
- galera-arbitrator-3 (25.3.25-2)
- Galera arbitrator daemon
- galera3
- virtueel pakket geboden door galera-3
- galileo (0.5.1-6)
- Utility to securely synchronize a Fitbit device with the Fitbit web service
- galileo-daemon (0.5.1-6)
- Utility to securely synchronize a Fitbit device - daemon
- galleta (1.0+20040505-10)
- Internet Explorer cookie forensic analysis tool
- galois (0.6-1)
- falling blocks game with blocks of various shapes and sizes
- galternatives (1.0.4)
- graphical setup tool for the alternatives system
- gamazons (0.83-9)
- Amazons boardgame for GNOME
- gambas3 (3.12.2-1)
- Complete visual development environment for Gambas
- gambas3-dev (3.12.2-1)
- Gambas compilation tools
- gambas3-examples (3.12.2-1)
- Gambas examples
- gambas3-gb-args (3.12.2-1)
- Gambas arguments parser
- gambas3-gb-cairo (3.12.2-1)
- Gambas bindings for cairo
- gambas3-gb-chart (3.12.2-1)
- Gambas charting component
- gambas3-gb-clipper (3.12.2-1)
- Gambas Clipper component
- gambas3-gb-complex (3.12.2-1)
- Gambas Complex component
- gambas3-gb-compress (3.12.2-1)
- Gambas compression component
- gambas3-gb-compress-bzlib2 (3.12.2-1)
- Gambas bzlib2 component
- gambas3-gb-compress-zlib (3.12.2-1)
- Gambas zlib compression component
- gambas3-gb-crypt (3.12.2-1)
- Gambas crypt encription component
- gambas3-gb-data (3.12.2-1)
- Gambas abstract datatypes component
- gambas3-gb-db (3.12.2-1)
- Gambas database access common libraries
- gambas3-gb-db-form (3.12.2-1)
- Gambas database bound controls
- gambas3-gb-db-mysql (3.12.2-1)
- MySQL driver for the Gambas database
- gambas3-gb-db-odbc (3.12.2-1)
- ODBC driver for the Gambas database
- gambas3-gb-db-postgresql (3.12.2-1)
- PostgreSQL driver for the Gambas database
- gambas3-gb-db-sqlite3 (3.12.2-1)
- Gambas sqlite3 driver database
- gambas3-gb-dbus (3.12.2-1)
- Gambas bindings for DBUS
- gambas3-gb-dbus-trayicon (3.12.2-1)
- System tray icon management for Gambas
- gambas3-gb-desktop (3.12.2-1)
- Gambas Portland project compatibility component
- gambas3-gb-desktop-x11 (3.12.2-1)
- Gambas Portland project compatibility component for X11
- gambas3-gb-eval-highlight (3.12.2-1)
- Gambas syntax highlighting component
- gambas3-gb-form (3.12.2-1)
- Gambas native form component
- gambas3-gb-form-dialog (3.12.2-1)
- Gambas native dialog form component
- gambas3-gb-form-editor (3.12.2-1)
- Gambas native editor form component
- gambas3-gb-form-mdi (3.12.2-1)
- Gambas native mdi form component
- gambas3-gb-form-stock (3.12.2-1)
- Gambas form stock icons
- gambas3-gb-form-terminal (3.12.2-1)
- Gambas terminal form component
- gambas3-gb-gmp (3.12.2-1)
- Gambas GMP component
- gambas3-gb-gsl (3.12.2-1)
- Gambas GNU Scientific Library component
- gambas3-gb-gtk (3.12.2-1)
- Gambas GTK+ component
- gambas3-gb-gtk-opengl (3.12.2-1)
- Gambas GTK+ OpenGL component
- gambas3-gb-gtk3 (3.12.2-1)
- Gambas GTK+3 component
- gambas3-gb-gui (3.12.2-1)
- Gambas graphical toolkit selector
- gambas3-gb-gui-opengl (3.12.2-1)
- transitional package
- gambas3-gb-gui-opengl
- virtueel pakket geboden door gambas3-runtime
- gambas3-gb-gui-qt (3.12.2-1)
- transitional package
- gambas3-gb-gui-qt
- virtueel pakket geboden door gambas3-runtime
- gambas3-gb-gui-qt-webkit (3.12.2-1)
- transitional package
- gambas3-gb-gui-qt-webkit
- virtueel pakket geboden door gambas3-runtime
- gambas3-gb-gui-trayicon (3.12.2-1)
- transitional package
- gambas3-gb-gui-trayicon
- virtueel pakket geboden door gambas3-runtime
- gambas3-gb-httpd (3.12.2-1)
- Gambas HTTP server
- gambas3-gb-image (3.12.2-1)
- Gambas image effects
- gambas3-gb-image-effect (3.12.2-1)
- Gambas image effects: effects
- gambas3-gb-image-imlib (3.12.2-1)
- Gambas image effects: IMLIB bindings
- gambas3-gb-image-io (3.12.2-1)
- Gambas image effects: I/O
- gambas3-gb-inotify (3.12.2-1)
- Gambas filesystem events monitoring component
- gambas3-gb-jit (3.12.2-1)
- Gambas Just-In-Time compiler component
- gambas3-gb-libxml (3.12.2-1)
- Gambas libxml component
- gambas3-gb-logging (3.12.2-1)
- Gambas logging system component
- gambas3-gb-map (3.12.2-1)
- Gambas online map viewer
- gambas3-gb-markdown (3.12.2-1)
- Gambas markdown convert component
- gambas3-gb-media (3.12.2-1)
- Gambas media component
- gambas3-gb-media-form (3.12.2-1)
- Gambas media player controls
- gambas3-gb-memcached (3.12.2-1)
- Gambas memcached client
- gambas3-gb-mime (3.12.2-1)
- Gambas MIME message management
- gambas3-gb-mysql (3.12.2-1)
- Gambas MySQL component
- gambas3-gb-ncurses (3.12.2-1)
- Gambas NCurses component
- gambas3-gb-net (3.12.2-1)
- Gambas networking component
- gambas3-gb-net-curl (3.12.2-1)
- Gambas advanced networking component
- gambas3-gb-net-pop3 (3.12.2-1)
- Gambas POP3 client implementation
- gambas3-gb-net-smtp (3.12.2-1)
- Gambas smtp protocol component
- gambas3-gb-openal (3.12.2-1)
- Gambas OpenAL component
- gambas3-gb-opengl (3.12.2-1)
- Gambas OpenGL component
- gambas3-gb-opengl-glsl (3.12.2-1)
- Gambas OpenGL component: GL Shading Language subcomponent
- gambas3-gb-opengl-glu (3.12.2-1)
- Gambas OpenGL utility
- gambas3-gb-opengl-sge (3.12.2-1)
- Gambas SDL Game Engine
- gambas3-gb-openssl (3.12.2-1)
- Gambas OpenSSL component
- gambas3-gb-option (3.12.2-1)
- Gambas option component
- gambas3-gb-pcre (3.12.2-1)
- Gambas regexp component
- gambas3-gb-pdf (3.12.2-1)
- Gambas pdf component
- gambas3-gb-qt5 (3.12.2-1)
- Gambas Qt5 GUI component
- gambas3-gb-qt5-ext (3.12.2-1)
- Gambas extended Qt GUI component
- gambas3-gb-qt5-opengl (3.12.2-1)
- Gambas OpenGL component with QT5 toolkit
- gambas3-gb-qt5-webkit (3.12.2-1)
- Gambas WebKit component
- gambas3-gb-report (3.12.2-1)
- Gambas report component
- gambas3-gb-report2 (3.12.2-1)
- Gambas report2 component
- gambas3-gb-scanner (3.12.2-1)
- Gambas scanner component
- gambas3-gb-sdl (3.12.2-1)
- Gambas SDL component
- gambas3-gb-sdl-sound (3.12.2-1)
- Gambas SDL sound component
- gambas3-gb-sdl2 (3.12.2-1)
- Gambas SDL2 component
- gambas3-gb-sdl2-audio (3.12.2-1)
- Gambas SDL2 audio component
- gambas3-gb-settings (3.12.2-1)
- Gambas utilities class
- gambas3-gb-signal (3.12.2-1)
- Gambas OS signal library
- gambas3-gb-term (3.12.2-1)
- Terminal manager for Gambas
- gambas3-gb-term-form (3.12.2-1)
- Gambas GUI terminal form component
- gambas3-gb-util (3.12.2-1)
- This component provides many useful utility methods or classes
- gambas3-gb-util-web (3.12.2-1)
- This component provides many utilities useful for web applications
- gambas3-gb-v4l (3.12.2-1)
- Gambas video for Linux component
- gambas3-gb-vb (3.12.2-1)
- Gambas Visual Basic(tm) compatibility component
- gambas3-gb-web (3.12.2-1)
- Gambas CGI for web applications
- gambas3-gb-web-feed (3.12.2-1)
- Gambas web feed parser and generator
- gambas3-gb-web-form (3.12.2-1)
- Gambas web application GUI component
- gambas3-gb-xml (3.12.2-1)
- Gambas XML component
- gambas3-gb-xml-html (3.12.2-1)
- Gambas HTML component
- gambas3-gb-xml-rpc (3.12.2-1)
- Gambas RPC component
- gambas3-gb-xml-xslt (3.12.2-1)
- Gambas XSLT component
- gambas3-ide (3.12.2-1)
- Visual development environment for the Gambas programming language
- gambas3-runtime (3.12.2-1)
- Gambas runtime interpreter
- gambas3-script (3.12.2-1)
- Gambas scripter
- gambas3-templates (3.12.2-1)
- transitional package
- gambas3-templates
- virtueel pakket geboden door gambas3-runtime
- gambc (4.8.8-3.1)
- Gambit Scheme interpreter and compiler
- gambc-doc (4.8.8-3.1)
- documentation for the Gambit interpreter and compiler
- game-data-packager (63) [contrib]
- Installer for game data files
- game-data-packager-runtime (63) [contrib]
- Launcher for proprietary games
- gameclock (5.1)
- simple chess clock to track time in real life games
- gameconqueror (0.17-2)
- locate and modify a variable in a running process (GUI)
- gamemode (1.2-6)
- Optimise Linux system performance on demand
- gamera-doc (1:3.4.3-1)
- documentation for the Gamera framework
- gamera-gui (1:3.4.3-1)
- GUI for the Gamera framework
- games-adventure (3)
- Debian's adventure games
- games-all (3)
- Default selection of tasks for Debian Games
- games-arcade (3)
- Debian's arcade games
- games-board (3)
- Debian's board games
- games-c++-dev (3)
- development of games in C/C++/D
- games-card (3)
- Debian's card games
- games-chess (3)
- Debian's chess games
- games-console (3)
- Debian's console games
- games-content-dev (3)
- development of game content
- games-education (3)
- Debian's educational games
- games-emulator (3)
- Debian's emulators for games
- games-finest (3)
- Debian's finest games
- games-fps (3)
- Debian's first-person shooter games
- games-java-dev (3)
- development of games in Java
- games-minesweeper (3)
- Debian's minesweeper games
- games-mud (3)
- Debian's multi-user-dungeon games
- games-perl-dev (3)
- development of games in Perl
- games-platform (3)
- Debian's platform games
- games-programming (3)
- Debian's programming games
- games-puzzle (3)
- Debian's puzzle games
- games-python2-dev (3)
- development of games in Python 2
- games-python3-dev (3)
- development of games in Python 3
- games-racing (3)
- Debian's racing games
- games-rogue (3)
- Debian's roguelike games
- games-rpg (3)
- Debian's roleplaying games
- games-shootemup (3)
- Debian's shoot 'em up games
- games-simulation (3)
- Debian's simulation games
- games-sport (3)
- Debian's sport games
- games-strategy (3)
- Debian's strategy games
- games-tasks (3)
- Debian Games tasks for tasksel
- games-tetris (3)
- Debian's tetris-like games
- games-thumbnails (20120227)
- thumbnails of games in Debian
- games-toys (3)
- Debian's toy games
- games-typing (3)
- Debian's typing games
- gamgi (0.17.3-2)
- General Atomistic Modelling Graphic Interface (GAMGI)
- gamgi-data (0.17.3-2)
- General Atomistic Modelling Graphic Interface (data)
- gamgi-doc (0.17.3-2)
- General Atomistic Modelling Graphic Interface (documentation)
- gamin (0.1.10-5+b1)
- File and directory monitoring system
- gamine (1.6-1)
- Interactive game for young children
- gamine-data (1.6-1)
- data files for gamine game
- gaminggear-utils (0.15.1-9)
- Utilities from libgaminggear
- gammaray (2.9.0-2.1+b3)
- Tool for examining the internals of Qt application
- gammaray-dev (2.9.0-2.1+b3)
- GammaRay plugin development files
- gammaray-plugin-bluetooth (2.9.0-2.1+b3)
- QtBluetooth type support for GammaRay
- gammaray-plugin-kjobtracker (2.9.0-2.1+b3)
- KJob tracker plugin for GammaRay
- gammaray-plugin-positioning (2.9.0-2.1+b3)
- Qt5Positioning type support for GammaRay
- gammaray-plugin-quickinspector (2.9.0-2.1+b3)
- GammaRay plugin for inspecting QtQuick2 applications
- gammaray-plugin-waylandinspector (2.9.0-2.1+b3)
- Wayland compositor inspector plugin for GammaRay
- gammu (1.40.0-1)
- mobile phone management utility
- gammu-doc (1.40.0-1)
- Gammu Manual
- gammu-smsd (1.40.0-1)
- SMS message daemon
- ganeti (2.16.0-5)
- cluster virtualization manager
- ganeti-2.15 (2.15.2-15)
- cluster virtualization manager - Python components (2.15)
- ganeti-2.16 (2.16.0-5)
- cluster virtualization manager - Python components
- ganeti-doc (2.16.0-5)
- cluster virtualization manager - documentation
- ganeti-haskell-2.15 (2.15.2-15)
- cluster virtualization manager - Haskell components (2.15)
- ganeti-haskell-2.16 (2.16.0-5)
- cluster virtualization manager - Haskell components
- ganeti-htools (2.16.0-5)
- cluster virtualization manager - tools (stand-alone)
- ganeti-htools
- virtueel pakket geboden door ganeti
- ganeti-htools-2.15 (2.15.2-15)
- cluster virtualization manager - tools for Ganeti 2.15
- ganeti-htools-2.16 (2.16.0-5)
- cluster virtualization manager - tools for Ganeti 2.16
- ganeti-instance-debootstrap (0.16-6)
- debootstrap-based instance OS definition for ganeti
- ganeti-os-noop (0.2-4)
- Dummy no-op OS provider for Ganeti
- ganeti-testsuite (2.16.0-5)
- cluster virtualization manager - test suite
- ganglia-modules-linux (1.3.6-2)
- Ganglia extra modules for Linux (IO, filesystems, multicpu)
- ganglia-monitor (3.6.0-7+b2)
- cluster monitoring toolkit - node daemon
- ganglia-monitor-python (3.6.0-7)
- cluster monitoring toolkit - python modules
- ganglia-nagios-bridge (1.2.1-1)
- cluster monitoring toolkit - scalable Nagios integration
- ganglia-webfrontend (3.6.1-3)
- cluster monitoring toolkit - web front-end
- gant (1.9.11-7)
- Groovy build framework based on scripting Ant tasks
- ganyremote (7.0-3)
- GTK+ frontend for anyRemote
- gap (4r10p0-7)
- computer algebra system for Groups, Algorithms and Programming
- gap-alnuth (3.1.0-3)
- GAP Alnuth - Algebraic number theory and an interface to PARI/GP
- gap-atlasrep (1.5.1-2)
- GAP AtlasRep - A GAP Interface to the Atlas of Group Representations
- gap-autodoc (2018.09.20-1)
- GAP AutoDoc - Generate documentation from GAP source code
- gap-autpgrp (1.10-1)
- GAP AutPGrp - Computing the Automorphism Group of a p-Group
- gap-character-tables (1r2p2.dfsg.0-3)
- GAP Library of character tables
- gap-core (4r10p0-7)
- GAP computer algebra system, core components
- gap-design (1r6+ds-2)
- block designs for GAP
- gap-dev (4r10p0-7)
- GAP computer algebra system, compiler and development files
- gap-doc (4r10p0-7)
- GAP computer algebra system, documentation
- gap-factint (1.6.2+ds-1)
- advanced methods for factoring integers for GAP
- gap-float (0.9.1+ds-4)
- multi-precision floating-point computation for GAP
- gap-gapdoc (1.6.2-1)
- GAPDoc - A Meta Package for GAP Documentation
- gap-grape (4.8.1+ds-3)
- GRaph Algorithms using PErmutation groups for GAP
- gap-guava (3.14+ds-1)
- coding theory library for GAP
- gap-guava-bin (3.14+ds-1)
- coding theory library for GAP (arch-dep files)
- gap-io (4.5.4+ds-3)
- low level C library IO bindings for GAP
- gap-laguna (3.9.1+ds-1)
- LAGUNA GAP package
- gap-libs (4r10p0-7)
- GAP computer algebra system, essential GAP libraries
- gap-online-help (4r10p0-7)
- GAP computer algebra system, online help
- gap-openmath (11.4.2+ds-3)
- OpenMath phrasebook for GAP
- gap-pkg-alnuth
- virtueel pakket geboden door gap-alnuth
- gap-pkg-atlasrep
- virtueel pakket geboden door gap-atlasrep
- gap-pkg-autodoc
- virtueel pakket geboden door gap-autodoc
- gap-pkg-autpgrp
- virtueel pakket geboden door gap-autpgrp
- gap-pkg-ctbllib
- virtueel pakket geboden door gap-character-tables
- gap-pkg-design
- virtueel pakket geboden door gap-design
- gap-pkg-factint
- virtueel pakket geboden door gap-factint
- gap-pkg-float
- virtueel pakket geboden door gap-float
- gap-pkg-gapdoc
- virtueel pakket geboden door gap-gapdoc
- gap-pkg-grape
- virtueel pakket geboden door gap-grape
- gap-pkg-guava
- virtueel pakket geboden door gap-guava
- gap-pkg-guava-bin
- virtueel pakket geboden door gap-guava-bin
- gap-pkg-io
- virtueel pakket geboden door gap-io
- gap-pkg-laguna
- virtueel pakket geboden door gap-laguna
- gap-pkg-openmath
- virtueel pakket geboden door gap-openmath
- gap-pkg-polycyclic
- virtueel pakket geboden door gap-polycyclic
- gap-pkg-primgrp
- virtueel pakket geboden door gap-primgrp
- gap-pkg-radiroot
- virtueel pakket geboden door gap-radiroot
- gap-pkg-scscp
- virtueel pakket geboden door gap-scscp
- gap-pkg-smallgrp
- virtueel pakket geboden door gap-smallgrp-extra
- gap-pkg-sonata
- virtueel pakket geboden door gap-sonata
- gap-pkg-tomlib
- virtueel pakket geboden door gap-table-of-marks
- gap-pkg-toric
- virtueel pakket geboden door gap-toric
- gap-pkg-transgrp
- virtueel pakket geboden door gap-transgrp
- gap-polycyclic (2.14-1)
- GAP Polycyclic - Computation with polycyclic groups
- gap-prim
- virtueel pakket geboden door gap-prim-groups
- gap-prim-groups (4r8p5-2)
- Database of primitive groups for GAP
- gap-primgrp (3.3.2-1)
- GAP PrimGrp - GAP Primitive Permutation Groups Library
- gap-radiroot (2.8-1)
- GAP RadiRoot - Roots of a Polynomial as Radicals
- gap-scscp (2.2.3+ds-2)
- SCSCP protocol in GAP
- gap-small
- virtueel pakket geboden door gap-small-groups-extra
- gap-small-groups (4r8p5-2)
- Database of small groups for GAP
- (4r8p5-2)
- Large database of small groups for GAP
- gap-smallgrp (1.3-1)
- GAP SmallGrp - The GAP Small Groups Library
- (1.3-1)
- GAP SmallGrp - The GAP Small Groups Library
- gap-sonata (2.9.1+ds-2)
- Systems Of Nearrings And Their Applications for GAP
- gap-table-of-marks (1.2.7-2)
- GAP TomLib - The GAP Library of Tables of Marks
- gap-toric (1.9.4+ds-1)
- toric variety for GAP
- gap-trans
- virtueel pakket geboden door gap-trans-groups
- gap-trans-groups (4r8p5-2)
- Database of transitive groups for GAP
- gap-transgrp (2.0.4-1)
- GAP TransGrp - Transitive Groups Library
- garden-of-coloured-lights (1.0.9-1+b1)
- abstract vertical shooter with music elements
- garden-of-coloured-lights-data (1.0.9-1)
- abstract vertical shooter with music elements (data)
- gargoyle-free (2011.1b-1)
- graphical player for Interactive Fiction games
- garli (2.1-3)
- phylogenetic analysis of molecular sequence data using maximum-likelihood
- garli-examples (2.1-3)
- phylogenetic analysis of molecular sequence data (examples)
- garli-mpi (2.1-3)
- phylogenetic analysis of molecular sequence data using maximum-likelihood (MPI)
- garlic (1.6-3)
- visualization program for biomolecules
- garlic-doc (1.6-1.1)
- [Chemistry] a molecular visualization program - documents
- garmin-forerunner-tools (0.10repacked-11)
- retrieve data from Garmin Forerunner/Edge GPS devices
- gasic (0.0.r19-4)
- genome abundance similarity correction
- gastables (0.3-2.2)
- graphical user interface for compressible flow gas table modules
- gatb-core (1.4.1+git20181225.44d5a44+dfsg-3)
- Genome Analysis Toolbox with de-Bruijn graph
- gatb-core-testdata (1.4.1+git20181225.44d5a44+dfsg-3)
- Genome Analysis Toolbox with de-Bruijn graph (test data)
- gatos (0.0.5-19)
- ATI All-in-Wonder TV capture software
- gauche (0.9.6-10)
- Scheme script engine
- gauche-c-wrapper (0.6.1-11)
- Foreign function interface for Gauche to C libraries
- gauche-dev (0.9.6-10)
- Development files for Gauche
- gauche-doc (0.9.6-10)
- Reference manual of Gauche
- gauche-gdbm (0.9.6-10)
- gdbm binding for Gauche
- gauche-gl (0.6-4)
- Gauche bindings for OpenGL
- gauche-gtk (0.6+git20160927-3)
- Gauche bindings for GTK+ GUI Toolkit
- gauche-zlib (0.9.6-10)
- zlib binding for Gauche
- gaupol (1.5-1)
- subtitle editor for text-based subtitle files
- gausssum (3.0.2-1)
- parse and display Gaussian, GAMESS, and etc's output
- gav (0.9.0-3+b2)
- GPL Arcade Volleyball
- gav-themes (0.7.3-2.2)
- Extra themes for GPL Arcade Volleyball
- gaviotatb (0.4-2)
- Gaviota chess endgame tablebases
- gawk (1:4.2.1+dfsg-1)
- GNU awk, a pattern scanning and processing language
- gawk-doc (4.2.1-1) [non-free]
- Documentation for GNU awk
- gazebo9 (9.6.0-1+b11)
- Open Source Robotics Simulator - Binaries
- gazebo9-common (9.6.0-1)
- Open Source Robotics Simulator - Shared files
- gazebo9-doc (9.6.0-1)
- Open Source Robotics Simulator - Documentation
- gazebo9-plugin-base (9.6.0-1+b11)
- Open Source Robotics Simulator - base plug-ins
- gbase (0.5-2.2+b2)
- small numeric base converter
- gbatnav (1.0.4cvs20051004-5.1)
- networked BattleShip game
- gbdfed (1.6-4+b1)
- X11 font editor
- gbemol (0.3.2-2+b1 [amd64, armhf, i386], 0.3.2-2 [arm64])
- Graphical frontend for the Music Player Daemon (MPD)
- gbgoffice (1.4-11)
- bgoffice dictionary frontend (GTK2)
- gbirthday (0.6.10-0.1)
- birthday reminder applet
- gbonds (2.0.3-12)
- U.S. Savings Bond inventory program for GNOME
- gbonds-data (2.0.3-12)
- GBonds data files
- gbrainy (1:2.3.9-1)
- brain teaser game and trainer to have fun and to keep your brain trained
- gbrowse (2.56+dfsg-4)
- GMOD Generic Genome Browser
- gbrowse-calign (2.56+dfsg-4+b1)
- CAlign helper
- gbrowse-data (2.56+dfsg-4)
- Sample data to use GBrowse
- gbsplay (0.0.93-3)
- Gameboy sound player
- gbutils (5.7.1-1)
- utilities for command line econometrics
- gcab (1.2-3~deb10u1)
- Microsoft Cabinet file manipulation tool
- gcal (4.1-3)
- program for calculating and printing calendars
- gcal-common (4.1-3)
- gcal architecture independent files
- gcalcli (4.0.4-2)
- Google Calendar Command Line Interface
- gcap (0.1.1-2)
- YouTube closed caption retriever
- gcc (4:8.3.0-1)
- GNU C compiler
- gcc-7 (7.4.0-6)
- GNU C compiler
- gcc-7-base (7.4.0-6)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-7-hppa64-linux-gnu (7.4.0-6)
- GNU C compiler (cross compiler for hppa64)
- gcc-7-locales (7.4.0-6)
- GCC, the GNU compiler collection (native language support files)
- gcc-7-multilib (7.4.0-6)
- GNU C compiler (multilib support)
- gcc-7-offload-nvptx (7.4.0-6)
- GCC offloading compiler to NVPTX
- gcc-7-plugin-dev (7.4.0-6)
- Files for GNU GCC plugin development.
- gcc-7-source (7.4.0-6)
- Source of the GNU Compiler Collection
- gcc-7-test-results (7.4.0-6)
- Test results for the GCC test suite
- gcc-8 (8.3.0-6)
- GNU C compiler
- gcc-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-aarch64-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-alpha-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU C compiler
- gcc-8-arm-linux-gnueabi-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU C compiler
- gcc-8-arm-linux-gnueabihf-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-base (8.3.0-6)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-cross-base (8.3.0-2cross1)
- GCC, the GNU Compiler Collection (library base package)
- gcc-8-cross-base-mipsen (8.3.0-2cross2)
- GCC, the GNU Compiler Collection (library base package)
- gcc-8-cross-base-ports (8.3.0-2cross2)
- GCC, the GNU Compiler Collection (library base package)
- gcc-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-hppa-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-hppa64-linux-gnu (8.3.0-6)
- GNU C compiler (cross compiler for hppa64)
- gcc-8-i686-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-i686-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-locales (8.3.0-6)
- GCC, the GNU compiler collection (native language support files)
- gcc-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-m68k-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mips-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-mips-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler
- gcc-8-mips64-linux-gnuabi64-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU C compiler
- gcc-8-mips64el-linux-gnuabi64-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-mipsel-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-mipsisa32r6-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-mipsisa32r6el-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler
- gcc-8-mipsisa64r6-linux-gnuabi64-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler
- gcc-8-mipsisa64r6el-linux-gnuabi64-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-multilib (8.3.0-6)
- GNU C compiler (multilib support)
- gcc-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU C compiler (multilib support)
- gcc-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU C compiler (multilib support)
- gcc-8-offload-nvptx (8.3.0-6)
- GCC offloading compiler to NVPTX
- gcc-8-plugin-dev (8.3.0-6)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-aarch64-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-alpha-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-arm-linux-gnueabi (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-arm-linux-gnueabihf (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-hppa-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-i686-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-m68k-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mips-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mips64-linux-gnuabi64 (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mipsel-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-powerpc-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-powerpc-linux-gnuspe (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-powerpc64-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-powerpc64le-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-riscv64-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-s390x-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-sh4-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-sparc64-linux-gnu (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-x86-64-linux-gnu (8.3.0-2cross1)
- Files for GNU GCC plugin development.
- gcc-8-plugin-dev-x86-64-linux-gnux32 (8.3.0-2cross2)
- Files for GNU GCC plugin development.
- gcc-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-powerpc-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU C compiler
- gcc-8-powerpc-linux-gnuspe-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-powerpc64-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-powerpc64le-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-riscv64-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-s390x-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-sh4-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-source (8.3.0-6)
- Source of the GNU Compiler Collection
- gcc-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU C compiler
- gcc-8-sparc64-linux-gnu-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-test-results (8.3.0-6)
- Test results for the GCC test suite
- gcc-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU C compiler
- gcc-8-x86-64-linux-gnu-base (8.3.0-2cross1)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU C compiler
- gcc-8-x86-64-linux-gnux32-base (8.3.0-2cross2)
- GCC, de GNU Compiler Collectie (basispakket)
- gcc-aarch64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the arm64 architecture
- gcc-aarch64-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door gcc
- gcc-alpha-linux-gnu (4:8.3.0-1)
- GNU C compiler for the alpha architecture
- gcc-arm-linux-gnueabi (4:8.3.0-1)
- GNU C compiler for the armel architecture
- gcc-arm-linux-gnueabihf (4:8.3.0-1)
- GNU C compiler for the armhf architecture
- gcc-arm-linux-gnueabihf (= 4:8.3.0-1)
- virtueel pakket geboden door gcc
- gcc-arm-none-eabi (15:7-2018-q2-6)
- GCC cross compiler for ARM Cortex-R/M processors
- gcc-arm-none-eabi-source (15:7-2018-q2-6)
- GCC cross compiler for ARM Cortex-R/M processors (source)
- gcc-avr (1:5.4.0+Atmel3.6.1-2)
- GNU C compiler (cross compiler for avr)
- gcc-h8300-hms (1:3.4.6+dfsg2-4)
- GNU C compiler (cross compiler for h8300-hitachi-coff)
- gcc-hppa-linux-gnu (4:8.3.0-1)
- GNU C compiler for the hppa architecture
- gcc-hppa64-linux-gnu (4:8.3.0-1)
- GNU C compiler (cross compiler for hppa64-linux-gnu)
- gcc-i686-linux-gnu (4:8.3.0-1)
- GNU C compiler for the i386 architecture
- gcc-i686-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door gcc
- gcc-m68hc1x (1:3.3.6+3.1+dfsg-3+b2)
- GNU C compiler for the Motorola 68HC11/12 processors
- gcc-m68k-linux-gnu (4:8.3.0-1)
- GNU C compiler for the m68k architecture
- gcc-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU C compiler for MinGW-w64
- gcc-mingw-w64-base (8.3.0-6+21.3~deb10u2)
- GNU Compiler Collection for MinGW-w64 (base package)
- gcc-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU C compiler for MinGW-w64 targeting Win32
- gcc-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU C compiler for MinGW-w64 targeting Win64
- gcc-mips-linux-gnu (4:8.3.0-1)
- GNU C compiler for the mips architecture
- gcc-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64 architecture
- gcc-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU C compiler for the mips64el architecture
- gcc-mipsel-linux-gnu (4:8.3.0-1)
- GNU C compiler for the mipsel architecture
- gcc-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU C compiler for the mipsr6 architecture
- gcc-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU C compiler for the mipsr6el architecture
- gcc-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64r6 architecture
- gcc-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64r6el architecture
- gcc-multilib (4:8.3.0-1)
- GNU C compiler (multilib files)
- gcc-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU C compiler for the i386 architecture
- gcc-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU C compiler for the mips architecture
- gcc-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64 architecture
- gcc-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU C compiler for the mips64el architecture
- gcc-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU C compiler for the mipsel architecture
- gcc-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU C compiler for the mipsr6 architecture
- gcc-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU C compiler for the mipsr6el architecture
- gcc-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64r6 architecture
- gcc-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU C compiler for the mips64r6el architecture
- gcc-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU C compiler for the powerpc architecture
- gcc-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the ppc64 architecture
- gcc-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU C compiler for the s390x architecture
- gcc-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the sparc64 architecture
- gcc-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the amd64 architecture
- gcc-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU C compiler for the x32 architecture
- gcc-offload-nvptx (4:8.3.0-1)
- GCC offloading compiler to NVPTX
- gcc-powerpc-linux-gnu (4:8.3.0-1)
- GNU C compiler for the powerpc architecture
- gcc-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU C compiler for the powerpcspe architecture
- gcc-powerpc64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the ppc64 architecture
- gcc-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU C compiler for the ppc64el architecture
- gcc-python-plugin-doc (0.17-2)
- plugin for GCC to invoke Python scripts from inside the compiler
- gcc-python3-dbg-plugin (0.17-2)
- plugin for GCC to invoke Python scripts from inside the compiler
- gcc-python3-plugin (0.17-2)
- plugin for GCC to invoke Python scripts from inside the compiler
- gcc-riscv64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the riscv64 architecture
- gcc-s390x-linux-gnu (4:8.3.0-1)
- GNU C compiler for the s390x architecture
- gcc-sh4-linux-gnu (4:8.3.0-1)
- GNU C compiler for the sh4 architecture
- gcc-sparc64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the sparc64 architecture
- gcc-x86-64-linux-gnu (4:8.3.0-1)
- GNU C compiler for the amd64 architecture
- gcc-x86-64-linux-gnu (= 4:8.3.0-1)
- virtueel pakket geboden door gcc
- gcc-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU C compiler for the x32 architecture
- gcc-xtensa-lx106 (8.3.0-2+2)
- GNU C compiler for Xtensa lx106 core
- gccbrig (4:8.3.0-1)
- GNU BRIG (HSA IL) frontend
- gccbrig-7 (7.4.0-6)
- GNU BRIG (HSA IL) frontend
- gccbrig-8 (8.3.0-6)
- GNU BRIG (HSA IL) frontend
- gccbrig-8-i686-linux-gnu (8.3.0-2cross1)
- GNU BRIG (HSA IL) frontend
- gccbrig-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU BRIG (HSA IL) frontend
- gccbrig-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU BRIG (HSA IL) frontend
- gccgo (4:8.3.0-1)
- Go compiler, based on the GCC backend
- gccgo-7 (7.4.0-6)
- GNU Go compiler
- gccgo-7-multilib (7.4.0-6)
- GNU Go compiler (multilib support)
- gccgo-8 (8.3.0-6)
- GNU Go compiler
- gccgo-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-i686-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-mips-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-multilib (8.3.0-6)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Go compiler (multilib support)
- gccgo-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Go compiler (multilib support)
- gccgo-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Go compiler
- gccgo-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Go compiler
- gccgo-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Go compiler
- gccgo-aarch64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the arm64 architecture
- gccgo-alpha-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the alpha architecture
- gccgo-arm-linux-gnueabi (4:8.3.0-1)
- Go compiler (based on GCC) for the armel architecture
- gccgo-arm-linux-gnueabihf (4:8.3.0-1)
- Go compiler (based on GCC) for the armhf architecture
- gccgo-go (2:1.11~1)
- Go programming language -- gccgo
- gccgo-i686-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the i386 architecture
- gccgo-mips-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the mips architecture
- gccgo-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64 architecture
- gccgo-mips64el-linux-gnuabi64 (4:8.3.0-1)
- Go compiler (based on GCC) for the mips64el architecture
- gccgo-mipsel-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the mipsel architecture
- gccgo-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mipsr6 architecture
- gccgo-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mipsr6el architecture
- gccgo-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64r6 architecture
- gccgo-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64r6el architecture
- gccgo-multilib (4:8.3.0-1)
- Go compiler, based on the GCC backend (multilib files)
- gccgo-multilib-i686-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the i386 architecture
- gccgo-multilib-mips-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the mips architecture
- gccgo-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64 architecture
- gccgo-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- Go compiler (based on GCC) for the mips64el architecture
- gccgo-multilib-mipsel-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the mipsel architecture
- gccgo-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mipsr6 architecture
- gccgo-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mipsr6el architecture
- gccgo-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64r6 architecture
- gccgo-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- Go compiler (based on GCC) for the mips64r6el architecture
- gccgo-multilib-powerpc-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the powerpc architecture
- gccgo-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the ppc64 architecture
- gccgo-multilib-s390x-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the s390x architecture
- gccgo-multilib-sparc64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the sparc64 architecture
- gccgo-multilib-x86-64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the amd64 architecture
- gccgo-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- Go compiler (based on GCC) for the x32 architecture
- gccgo-powerpc-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the powerpc architecture
- gccgo-powerpc-linux-gnuspe (4:8.3.0-1)
- Go compiler (based on GCC) for the powerpcspe architecture
- gccgo-powerpc64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the ppc64 architecture
- gccgo-powerpc64le-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the ppc64el architecture
- gccgo-riscv64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the riscv64 architecture
- gccgo-s390x-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the s390x architecture
- gccgo-sparc64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the sparc64 architecture
- gccgo-x86-64-linux-gnu (4:8.3.0-1)
- Go compiler (based on GCC) for the amd64 architecture
- gccgo-x86-64-linux-gnux32 (4:8.3.0-1)
- Go compiler (based on GCC) for the x32 architecture
- gccintro (1.0-4) [non-free]
- Introduction to GCC by Brian J. Gough
- gchempaint (0.14.17-1.1)
- 2D chemical structures editor for the GNOME2 desktop
- gcin (2.8.8+dfsg1-1)
- GTK+ based input method for Chinese users
- gcin-anthy (2.8.8+dfsg1-1)
- support library to use Anthy in gcin
- gcin-data (2.8.8+dfsg1-1)
- icons and scripts for gcin
- gcin-gtk2-immodule (2.8.8+dfsg1-1)
- GTK2 input method module with gcin as backend
- gcin-gtk3-immodule (2.8.8+dfsg1-1)
- GTK3 input method module with gcin as backend
- gcin-qt5-immodule (2.8.8+dfsg1-1)
- Qt5 input method module with gcin as backend
- gcin-tables (2.8.8+dfsg1-1)
- input method tables for gcin
- gcin-voice (0~20170223-2)
- gcin voice data
- gcl (2.6.12-83)
- GNU Common Lisp compiler
- gcl-doc (2.6.12-83)
- Documentation for GNU Common Lisp
- gcompris (1:0.95-1)
- Educational games for small children - transitional package
- gcompris-qt (0.95-1)
- Educational games for small children - Qt rewrite
- gcompris-qt-data (0.95-1)
- data files for gcompris-qt
- gconf-defaults-service (3.2.6-5)
- GNOME configuration database system (system defaults service)
- gconf-editor (3.0.1-6)
- editor for the GConf configuration system
- gconf-gsettings-backend (3.2.6-5)
- GNOME configuration database system - GSettings back-end
- gconf-service (3.2.6-5)
- GNOME configuration database system (D-Bus service)
- gconf2 (3.2.6-5)
- GNOME configuration database system (support tools)
- gconf2-common (3.2.6-5)
- GNOME configuration database system (common files)
- gconjugue (0.8.3-5)
- GTK+ program to conjugate Brazilian verbs
- gconjugue-common (0.8.3-5)
- common files to gconjugue and conjugar
- gcovr (4.1-2)
- Manages the compilation of coverage information from gcov
- gcp (0.2.0-1)
- advanced command line file copy system
- gcpegg (5.1-14+b2)
- Global Consciousness Project EGG Software
- gcr (3.28.1-1)
- GNOME crypto services (daemon and tools)
- gcrystal (0.14.17-1.1)
- lightweight crystal structures visualizer
- gcu-bin (0.14.17-1.1)
- GNOME chemistry utils (helper applications)
- gcx (1.3-1.1+b2)
- astronomical image processing and photometry gtk+ application
- gdal-abi-2-4-0
- virtueel pakket geboden door libgdal20
- gdal-bin (2.4.0+dfsg-1+deb10u1) [security]
- Geospatial Data Abstraction Library - Utility programs
- gdal-contrib
- virtueel pakket geboden door dans-gdal-scripts
- gdal-data (2.4.0+dfsg-1+deb10u1) [security]
- Geospatial Data Abstraction Library - Data files
- gdb (8.2.1-2+b3)
- GNU Debugger
- gdb
- virtueel pakket geboden door gdb-minimal
- gdb-arm-none-eabi
- virtueel pakket geboden door gdb-multiarch
- gdb-avr (7.7-4+b12)
- GNU Debugger for avr
- gdb-doc (8.2.1-1) [non-free]
- The GNU Debugger Documentation
- gdb-mingw-w64 (8.2.1-2+10.8+b2)
- Cross-debugger for Win32 and Win64 using MinGW-w64
- gdb-mingw-w64-target (8.2.1-1+10.8)
- Cross-debugger server for Win32 and Win64 using MinGW-w64
- gdb-minimal (8.2.1-2+b3)
- GNU Debugger (minimal version)
- gdb-multiarch (8.2.1-2+b3)
- GNU Debugger (with support for multiple architectures)
- gdb-source (8.2.1-2)
- GNU Debugger (source)
- gdbm-l10n (1.18.1-4)
- GNU dbm database routines (translation files)
- gdbmtool (1.18.1-4)
- GNU dbm database routines (command line tools)
- gdbserver (8.2.1-2+b3)
- GNU Debugger (remote server)
- gdc (4:8.3.0-1)
- D compiler (language version 2), based on the GCC backend
- gdc
- virtueel pakket geboden door gdc-8, gdc-7
- gdc-7 (7.4.0-6)
- GNU D compiler (version 2)
- gdc-7-multilib (7.4.0-6)
- GNU D compiler (version 2, multilib support)
- gdc-8 (8.3.0-6)
- GNU D compiler (version 2)
- gdc-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-i686-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-mips-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-multilib (8.3.0-6)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2, multilib support)
- gdc-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU D compiler (version 2, multilib support)
- gdc-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU D compiler (version 2)
- gdc-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU D compiler (version 2)
- gdc-aarch64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the arm64 architecture
- gdc-alpha-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the alpha architecture
- gdc-arm-linux-gnueabi (4:8.3.0-1)
- GNU D compiler (based on GCC) for the armel architecture
- gdc-arm-linux-gnueabihf (4:8.3.0-1)
- GNU D compiler (based on GCC) for the armhf architecture
- gdc-hppa-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the hppa architecture
- gdc-i686-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the i386 architecture
- gdc-m68k-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the m68k architecture
- gdc-mips-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mips architecture
- gdc-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64 architecture
- gdc-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mips64el architecture
- gdc-mipsel-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mipsel architecture
- gdc-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mipsr6 architecture
- gdc-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mipsr6el architecture
- gdc-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64r6 architecture
- gdc-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64r6el architecture
- gdc-multilib (4:8.3.0-1)
- D compiler (language version 2) (multilib files)
- gdc-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the i386 architecture
- gdc-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mips architecture
- gdc-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64 architecture
- gdc-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mips64el architecture
- gdc-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the mipsel architecture
- gdc-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mipsr6 architecture
- gdc-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mipsr6el architecture
- gdc-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64r6 architecture
- gdc-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU D compiler (based on GCC) for the mips64r6el architecture
- gdc-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the powerpc architecture
- gdc-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the ppc64 architecture
- gdc-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the s390x architecture
- gdc-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the sparc64 architecture
- gdc-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the amd64 architecture
- gdc-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU D compiler (based on GCC) for the x32 architecture
- gdc-powerpc-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the powerpc architecture
- gdc-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU D compiler (based on GCC) for the powerpcspe architecture
- gdc-powerpc64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the ppc64 architecture
- gdc-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the ppc64el architecture
- gdc-riscv64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the riscv64 architecture
- gdc-s390x-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the s390x architecture
- gdc-sh4-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the sh4 architecture
- gdc-sparc64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the sparc64 architecture
- gdc-x86-64-linux-gnu (4:8.3.0-1)
- GNU D compiler (based on GCC) for the amd64 architecture
- gdc-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU D compiler (based on GCC) for the x32 architecture
- gdcm-doc (2.8.8-9)
- Grassroots DICOM documentation
- gddccontrol (0.4.4-1)
- program to control monitor parameters (graphical interface)
- gddrescue (1.23-2)
- GNU data recovery tool
- gdebi (0.9.5.7+nmu3)
- simple tool to view and install deb files - GNOME GUI
- gdebi-core (0.9.5.7+nmu3)
- simple tool to install deb files
- gdf-tools (0.1.2-2.1+b3)
- IO library for the GDF -- helper tools
- gdigi (0.4.0-1+b2)
- utility to control DigiTech effect pedals
- gdis (0.90-5+b1)
- molecular and crystal model viewer
- gdis-data (0.90-5)
- molecular and crystal model viewer (data files)
- gdisk (1.0.3-1.1)
- GPT fdisk text-mode partitioning tool
- gdl-astrolib (2018.08.10+dfsg-1)
- Low-level astronomy software for GDL
- gdl-coyote (2019.01.29-1)
- GDL library from D. Fannings IDL courses
- gdl-mpfit (1.85+2017.01.03-3)
- Robust non-linear least squares curve fitting for GDL
- gdm3 (3.30.2-3)
- GNOME Display Manager
- gdmap (0.8.1-5)
- Tool to visualize diskspace
- gdnsd (2.4.3-1)
- authoritative domain name server
- gdnsd-dev (2.4.3-1)
- authoritative domain name server (development files)
- gdpc (2.2.5-9)
- visualiser of molecular dynamic simulations
- gdpc-examples (2.2.5-9)
- example files for the gdpc program
- gdsiiconvert (0.1+ds.1-1)
- Convert GDSII geometries and report geometry statistics
- gdspy-common (1.3.1-3)
- Images used by gdspy
- gdspy-doc (1.3.1-3)
- Documentation for gdspy (Python library for GDSII handling)
- geant321 (1:3.21.14.dfsg-11)
- [Physics] Particle detector description and simulation tool
- geant321-data (1:3.21.14.dfsg-11)
- [Physics] Data for GEANT 3.21 detector simulator
- geant321-doc (1:3.21.14.dfsg-11)
- [Physics] Documentation for GEANT 3.21
- geany (1.33-1)
- fast and lightweight IDE
- geany-abi-18176
- virtueel pakket geboden door geany
- geany-api-235
- virtueel pakket geboden door geany
- geany-common (1.33-1)
- fast and lightweight IDE -- common files
- geany-plugin-addons (1.33+dfsg-1+b1)
- miscellaneous plugins for Geany
- geany-plugin-autoclose (1.33+dfsg-1+b1)
- auto-closing plugin for Geany
- geany-plugin-automark (1.33+dfsg-1+b1)
- auto-mark plugin for Geany
- geany-plugin-codenav (1.33+dfsg-1+b1)
- code navigation plugin for Geany
- geany-plugin-commander (1.33+dfsg-1+b1)
- command panel plugin for Geany
- geany-plugin-ctags (1.33+dfsg-1+b1)
- ctags plugin for Geany
- geany-plugin-defineformat (1.33+dfsg-1+b1)
- on-the-fly #define prettyprinter plugin for Geany
- geany-plugin-doc (1.33+dfsg-1+b1)
- documentation plugin for Geany
- (1.33+dfsg-1+b1)
- extra selection plugin for Geany
- geany-plugin-gendoc (1.33+dfsg-1+b1)
- documentation generation plugin for Geany
- geany-plugin-geniuspaste (1.33+dfsg-1+b1)
- GeniusPaste plugin for Geany
- geany-plugin-git-changebar (1.33+dfsg-1+b1)
- git change bar plugin for Geany
- geany-plugin-gproject (1.33+dfsg-1)
- transitional dummy package for geany-plugin-projectorganizer
- geany-plugin-insertnum (1.33+dfsg-1+b1)
- number inserting plugin for Geany
- geany-plugin-keyrecord (1.33+dfsg-1+b1)
- git change bar plugin for Geany
- geany-plugin-latex (1.33+dfsg-1+b1)
- improved LaTeX support plugin for Geany
- geany-plugin-lineoperations (1.33+dfsg-1+b1)
- Line Operations plugin for Geany
- geany-plugin-lipsum (1.33+dfsg-1+b1)
- Lorem Ipsum generator plugin for Geany
- geany-plugin-lua (1.33+dfsg-1+b1)
- Lua scripting plugin for Geany
- geany-plugin-macro (1.33+dfsg-1+b1)
- macro plugin for Geany
- geany-plugin-miniscript (1.33+dfsg-1+b1)
- Geany Mini-Script filter plugin
- geany-plugin-numberedbookmarks (1.33+dfsg-1+b1)
- numbered bookmarks plugin for Geany
- geany-plugin-overview (1.33+dfsg-1+b1)
- overview plugin for Geany
- geany-plugin-pairtaghighlighter (1.33+dfsg-1+b1)
- tag pair highlighter plugin for Geany
- geany-plugin-pg (1.33+dfsg-1+b1)
- pg plugin for Geany
- geany-plugin-pohelper (1.33+dfsg-1+b1)
- Geany plugin for improved support for GetText translation files
- geany-plugin-prettyprinter (1.33+dfsg-1+b1)
- XML pretty printer for Geany
- geany-plugin-prj (1.33+dfsg-1+b1)
- alternative project manager for Geany
- geany-plugin-projectorganizer (1.33+dfsg-1+b1)
- projectorganizer plugin for Geany
- geany-plugin-sendmail (1.33+dfsg-1+b1)
- mailer plugin for Geany
- geany-plugin-shiftcolumn (1.33+dfsg-1+b1)
- text column shifting plugin for Geany
- geany-plugin-spellcheck (1.33+dfsg-1+b1)
- spellcheck plugin for Geany
- geany-plugin-tableconvert (1.33+dfsg-1+b1)
- table convert plugin for Geany
- geany-plugin-treebrowser (1.33+dfsg-1+b1)
- tree browser plugin for Geany
- geany-plugin-updatechecker (1.33+dfsg-1+b1)
- update checker plugin for Geany
- geany-plugin-vc (1.33+dfsg-1+b1)
- VCS plugin for Geany
- geany-plugin-workbench (1.33+dfsg-1+b1)
- workbench plugin for Geany
- geany-plugin-xmlsnippets (1.33+dfsg-1+b1)
- XMLSnippets plugin for Geany
- geany-plugins (1.33+dfsg-1)
- set of plugins for Geany
- geany-plugins-common (1.33+dfsg-1+b1)
- set of plugins for Geany (translations)
- gearhead (1.310-1)
- roguelike mecha role playing game, console version
- gearhead-data (1.310-1)
- data files for gearhead
- gearhead-sdl (1.310-1)
- roguelike mecha role playing game, SDL version
- gearhead2 (0.701-1)
- roguelike mecha role playing game in space
- gearhead2-data (0.701-1)
- data files for gearhead2
- gearhead2-sdl (0.701-1)
- roguelike mecha role playing game in space
- gearman (1.1.18+ds-3)
- Distributed job queue
- gearman-job-server (1.1.18+ds-3+b3)
- Job server for the Gearman distributed job queue
- gearman-server (1.130.1-1)
- Gearman distributed job server and Perl interface
- gearman-tools (1.1.18+ds-3+b3)
- Tools for the Gearman distributed job queue
- geary (0.12.4-4)
- lightweight email client designed for the GNOME desktop
- geda (1:1.8.2-11)
- GPL EDA -- Electronics design software (metapackage)
- geda-doc (1:1.8.2-11)
- GPL EDA -- Electronics design software (documentation)
- geda-examples (1:1.8.2-11)
- GPL EDA -- Electronics design software (example designs)
- geda-gattrib (1:1.8.2-11)
- GPL EDA -- Electronics design software (attribute editor)
- geda-gnetlist (1:1.8.2-11)
- GPL EDA -- Electronics design software (netlister)
- geda-gschem (1:1.8.2-11)
- GPL EDA -- Electronics design software (schematic editor)
- geda-gsymcheck (1:1.8.2-11)
- GPL EDA -- Electronics design software (symbol checker)
- geda-symbols (1:1.8.2-11)
- GPL EDA -- Electronics design software (symbols library)
- geda-utils (1:1.8.2-11)
- GPL EDA -- Electronics design software (utilities)
- geda-xgsch2pcb (0.1.3-3)
- GPL EDA -- Electronics design software -- gschem -> PCB workflow GUI
- gedit (3.30.2-2)
- officiële tekstbewerker voor de GNOME-werkomgeving
- gedit-common (3.30.2-2)
- official text editor of the GNOME desktop environment (support files)
- gedit-dev (3.30.2-2)
- official text editor of the GNOME desktop environment (development files)
- gedit-latex-plugin (3.20.0-1)
- gedit plugin for composing and compiling LaTeX documents
- gedit-plugin-bookmarks (3.30.1-3)
- Bookmarks plugin for gedit
- gedit-plugin-bracket-completion (3.30.1-3)
- Bracket Completion plugin for gedit
- gedit-plugin-character-map (3.30.1-3)
- Character Map plugin for gedit
- (3.30.1-3)
- Code Comment plugin for gedit
- gedit-plugin-color-picker (3.30.1-3)
- Color Picker plugin for gedit
- gedit-plugin-color-schemer (3.30.1-3)
- Color Schemer plugin for gedit
- gedit-plugin-commander (3.30.1-3)
- Commander plugin for gedit
- gedit-plugin-draw-spaces (3.30.1-3)
- Draw Spaces plugin for gedit
- gedit-plugin-find-in-files (3.30.1-3)
- Find in Files plugin for gedit
- gedit-plugin-git (3.30.1-3)
- Git plugin for gedit
- gedit-plugin-join-lines (3.30.1-3)
- Join/Split Lines plugin for gedit
- gedit-plugin-multi-edit (3.30.1-3)
- Multi Edit plugin for gedit
- gedit-plugin-smart-spaces (3.30.1-3)
- Smart Spaces plugin for gedit
- gedit-plugin-synctex (3.30.1-3)
- SyncTex plugin for gedit
- gedit-plugin-terminal (3.30.1-3)
- Terminal plugin for gedit
- gedit-plugin-text-size (3.30.1-3)
- Text Size plugin for gedit
- gedit-plugin-translate (3.30.1-3)
- Translate plugin for gedit
- gedit-plugin-word-completion (3.30.1-3)
- Word Completion plugin for gedit
- gedit-plugin-zeitgeist (3.30.1-3)
- Zeitgeist plugin for gedit
- gedit-plugins (3.30.1-3)
- set of plugins for gedit
- gedit-plugins-common (3.30.1-3)
- common files for gedit-plugins
- gedit-source-code-browser-plugin (3.0.3-5.1)
- source code class and function browser plugin for Gedit
- geekcode (1.7.3-7)
- Program for generating geekcode
- geeqie (1:1.4+git20190121-2)
- Afbeeldingen bekijken GTK+
- geeqie-common (1:1.4+git20190121-2)
- data files for Geeqie
- geg (2.0.9-3)
- GTK+ Equation Grapher
- gegl (0.4.12-2)
- Generic Graphics Library Test Program
- geiser (0.8.1-4)
- Transition Package, geiser to elpa-geiser
- geiser
- virtueel pakket geboden door elpa-geiser
- geki2 (2.0.3-10)
- Xenon-like vertical shoot'em-up
- geki3 (1.0.3-8.1)
- R-Type-like horizontal shoot'em-up
- gelemental (1.2.0-12)
- Periodic Table viewer
- gem (1:0.94-1)
- Graphics Environment for Multimedia - Pure Data library
- gem-dev (1:0.94-1)
- Graphics Environment for Multimedia (development files)
- gem-doc (1:0.94-1)
- Graphics Environment for Multimedia (documentation)
- (1:0.94-1)
- Graphics Environment for Multimedia - extra objects
- gem-plugin-assimp (1:0.94-1)
- Graphics Environment for Multimedia - Assimp support
- gem-plugin-dc1394 (1:0.94-1)
- Graphics Environment for Multimedia - DC1394 support
- gem-plugin-dv4l (1:0.94-1)
- Graphics Environment for Multimedia - DV support
- gem-plugin-film
- virtueel pakket geboden door gem-plugin-lqt, gem-plugin-mpeg3, gem-plugin-gmerlin
- gem-plugin-glfw3 (1:0.94-1)
- Graphics Environment for Multimedia - GLFW3 support
- gem-plugin-glut (1:0.94-1)
- Graphics Environment for Multimedia - GLUT support
- gem-plugin-gmerlin (1:0.94-1)
- Graphics Environment for Multimedia - GMERLIN support
- gem-plugin-image
- virtueel pakket geboden door gem-plugin-jpeg, gem-plugin-tiff, gem-plugin-sgi, gem-plugin-magick
- gem-plugin-jpeg (1:0.94-1)
- Graphics Environment for Multimedia - JPEG support
- gem-plugin-lqt (1:0.94-1)
- Graphics Environment for Multimedia - LQT support
- gem-plugin-magick (1:0.94-1)
- Graphics Environment for Multimedia - ImageMagick support
- gem-plugin-model
- virtueel pakket geboden door gem-plugin-assimp
- gem-plugin-mpeg3 (1:0.94-1)
- Graphics Environment for Multimedia - MPEG support
- gem-plugin-record
- virtueel pakket geboden door gem-plugin-v4l2, gem-plugin-lqt
- gem-plugin-sdl (1:0.94-1)
- Graphics Environment for Multimedia - SDL support
- gem-plugin-sgi (1:0.94-1)
- Graphics Environment for Multimedia - SGI support
- gem-plugin-tiff (1:0.94-1)
- Graphics Environment for Multimedia - TIFF support
- gem-plugin-unicap (1:0.94-1)
- Graphics Environment for Multimedia - unicap support
- gem-plugin-v4l2 (1:0.94-1)
- Graphics Environment for Multimedia - V4L2 output support
- gem-plugin-video
- virtueel pakket geboden door gem-plugin-dc1394, gem-plugin-v4l2, gem-plugin-unicap, gem-plugin-dv4l, gem-plugin-vnc, gem-plugin-vlc
- gem-plugin-vlc (1:0.94-1)
- Graphics Environment for Multimedia - VLC support
- gem-plugin-vnc (1:0.94-1)
- Graphics Environment for Multimedia - VNC support
- gem-plugin-window
- virtueel pakket geboden door gem-plugin-sdl, gem-plugin-glfw3, gem-plugin-glut
- gem2deb (0.43)
- Debian Ruby packaging suite
- gem2deb-test-runner (0.43)
- Debian Ruby packaging suite - tool to run tests
- gemdropx (0.9-8)
- Gem Drop X is an interesting one-player puzzle game for X11
- gemma (0.98.1+dfsg-1)
- Genome-wide Efficient Mixed Model Association
- gemma-doc (0.98.1+dfsg-1)
- Example folder for GEMMA
- gemrb (0.8.5-1) [contrib]
- Open-source engine to run Baldur's Gate, Icewind Dale and Planescape: Torment
- gemrb-baldurs-gate (0.8.5-1) [contrib]
- GemRB binary and configuration for Baldur's Gate game
- gemrb-baldurs-gate-2 (0.8.5-1) [contrib]
- GemRB binary and configuration for Baldur's Gate 2 game
- gemrb-baldurs-gate-2-data (0.8.5-1) [contrib]
- GemRB data files for Baldur's Gate 2 game
- gemrb-baldurs-gate-data (0.8.5-1) [contrib]
- GemRB data files for Baldur's Gate game
- gemrb-data (0.8.5-1) [contrib]
- Common data files for GemRB
- gemrb-doc (0.8.5-1) [contrib]
- Documentation for GemRB
- gemrb-icewind-dale (0.8.5-1) [contrib]
- GemRB binary and configuration for Icewind Dale game
- gemrb-icewind-dale-2 (0.8.5-1) [contrib]
- GemRB binary and configuration for Icewind Dale 2 game
- gemrb-icewind-dale-2-data (0.8.5-1) [contrib]
- GemRB data files for Icewind Dale 2 game
- gemrb-icewind-dale-data (0.8.5-1) [contrib]
- GemRB data files for Icewind Dale game
- gemrb-planescape-torment (0.8.5-1) [contrib]
- GemRB binary and configuration for Planescape: Torment game
- gemrb-planescape-torment-data (0.8.5-1) [contrib]
- GemRB data files for Planescape: Torment game
- gems (1.1.1-2+b2)
- Shows a console session in several terminals
- gendarme (4.2-3)
- extensible rule-based checker for CLI assemblies
- genders (1.22-1+b1)
- cluster configuration management database tools
- geneagrapher (1.0c2+git20180919-1)
- Create tree from Mathematics Genealogy Project
- generator-scripting-language (4.1.5-3)
- Generator Scripting Language code generator
- generator-scripting-language-examples (4.1.5-3)
- Examples for the Generator Scripting Language code generator
- geneweb (6.08+git20181019+dfsg-2)
- genealogy software with web interface
- geneweb-gui (6.08+git20181019+dfsg-2)
- graphical user interface to Geneweb genealogy software
- genext2fs (1.4.1-4+b2)
- ext2 filesystem generator for embedded systems
- gengetopt (2.22.6+dfsg0-3)
- generator voor skelet van main.c
- genisoimage (9:1.1.11-3+b2)
- Creates ISO-9660 CD-ROM filesystem images
- genisovh (0.1-4+b1)
- Make CD-ROMs bootable for SGI MIPS machines
- genius (1.0.24-2)
- advanced general purpose calculator program (CLI frontend)
- genius-common (1.0.24-2)
- advanced general purpose calculator program (common files)
- genius-dev (1.0.24-2)
- advanced general purpose calculator program (development files)
- genometester (4.0+git20180508.a9c14a6+dfsg-1)
- toolkit for performing set operations on k-mer lists
- genometools (1.5.10+ds-3)
- versatile genome analysis toolkit
- genometools-common (1.5.10+ds-3)
- shared data files for GenomeTools
- genometools-doc (1.5.10+ds-3)
- documentation for GenomeTools
- genparse (0.9.2-1)
- command line parser generator
- genromfs (0.5.2-2+b2)
- This is the mkfs equivalent for romfs filesystem
- gentle (1.9+cvs20100605+dfsg1-7+b1)
- suite to plan genetic cloning
- gentoo (0.20.7-1)
- fully GUI-configurable, two-pane X file manager
- genwqe-tools (4.0.18-3)
- utilities for accelerated libz implementation
- genxdr (2.0.1-5+b10)
- Go XDR enc/decoder - code generation utility
- geoclue-2-demo (2.5.2-1+deb10u1)
- geoinformation service (demonstration programs)
- geoclue-2.0 (2.5.2-1+deb10u1)
- geoinformation service
- geoclue-doc (2.5.2-1+deb10u1)
- geoinformation service (D-Bus API documentation)
- geogebra (4.0.34.0+dfsg1-7)
- Dynamic mathematics software for education
- geogebra-gnome (4.0.34.0+dfsg1-7)
- GNOME integration layer for GeoGebra
- geographiclib-doc (1.49-4)
- C++ library to solve some geodesic problems -- documentation
- geographiclib-tools (1.49-4)
- C++ library to solve some geodesic problems -- tools
- geoip-bin (1.6.12-1)
- IP lookup command line tools that use the GeoIP library
- geoip-database (20181108-1)
- IP lookup command line tools that use the GeoIP library (country database)
- (20181108-1)
- IP lookup command line tools that use the GeoIP library (ASN/city database)
- geoipupdate (3.1.1-1) [contrib]
- MaxMind GeoIP/GeoIP2 database updates
- geomet (0.2.0.post2-2)
- convert GeoJSON to/from WKT/WKB
- geomview (1.9.5-2)
- interactive geometry viewing program
- geophar (18.08.2+dfsg1-2)
- Swiss army knife for the math teacher
- geotiff-bin (1.4.3-1)
- GeoTIFF (geografic enabled TIFF) library -- tools
- geotranz (3.3-2)
- GEOgraphic coordinates TRANslator
- geotranz-doc (3.3-2)
- GEOgraphic coordinates TRANslator (documentation)
- geotranz-help (3.3-2)
- GEOgraphic coordinates TRANslator (help files)
- gerbera (1.1.0+dfsg-3+b1)
- UPnP MediaServer
- gerbv (2.7.0-1+deb10u3) [security]
- Gerber file viewer (only RS 274 X format)
- germinate (2.31)
- expand dependencies in a list of seed packages
- gerris (20131206+dfsg-18+b2)
- Fluid Flow Solver
- gerstensaft (0.3-4.2)
- Frontend for Simple Asynchronous File Transfer
- gertty (1.5.0-2)
- Console-based interface to Gerrit
- ges1.0-tools (1.14.4-1)
- Tools for use with the GStreamer editing services
- gesftpserver (1~ds-1)
- sftp server submodule for OpenSSH
- gespeaker (0.8.6-1)
- GTK+ front-end for eSpeak and mbrola
- get-flash-videos (1.25.99.03-1)
- video downloader for various Flash-based video hosting sites
- getdata (0.2-3)
- management of external databases
- getdns-utils (1.5.1-1)
- modern asynchronous DNS API (utils)
- getdp (3.0.4+dfsg1-1)
- general environment for the treatment of discrete problems
- getdp-sparskit (3.0.4+dfsg1-1)
- general environment for the treatment of discrete problems
- getmail (5.13-1)
- mail retriever with support for POP3, IMAP4 and SDPS
- getmail4 (5.13-1)
- transitional dummy package
- getmail4
- virtueel pakket geboden door getmail
- getstream (20100616-1+b1)
- DVB streaming application
- gettext (0.19.8.1-9)
- GNU Internationalization utilities
- gettext-base (0.19.8.1-9)
- GNU Internationalisatie voor het basis systeem
- gettext-doc (0.19.8.1-9)
- Documentation for GNU gettext
- gettext-el (0.19.8.1-9)
- Emacs po-mode for editing gettext .po files
- gettext-lint (0.4-2.1)
- Collection of tools for checking PO and POT files
- getty-run (2.1.2-25)
- runscripts to supervise getty processes
- gexec (0.4-2)
- Small command executer with autocompletion using GTK+
- geximon (0.7.7-2.2)
- a monitor for the exim MTA
- (0.3.1-1.1)
- extracts cursors and icons from MS Windows compatible resource files
- gf-complete-tools (1.0.2+2017.04.10.git.ea75cdf-3)
- Galois Field Arithmetic - tools
- gfal2 (2.16.2-1)
- Grid file access library 2.0
- gfal2-doc (2.16.2-1)
- Documentation for gfal2
- gfal2-plugin-dcap (2.16.2-1)
- Provides dcap support for gfal2
- gfal2-plugin-file (2.16.2-1)
- Provides file support for gfal2
- gfal2-plugin-gridftp (2.16.2-1)
- Provides the gridftp support for gfal2
- gfal2-plugin-http (2.16.2-1)
- Provides HTTP and DAV support for gfal2
- gfal2-plugin-lfc (2.16.2-1)
- Provides lfc support for gfal2
- gfal2-plugin-mock (2.16.2-1)
- Provides a mock dummy protocol for gfal2
- gfal2-plugin-rfio (2.16.2-1)
- Provides rfio support for gfal2
- gfal2-plugin-sftp (2.16.2-1)
- Provides sftp support for gfal2
- gfal2-plugin-srm (2.16.2-1)
- Provides srm support for gfal2
- gfan (0.6.2-2)
- program for computing with Groebner fans
- gfarm-client (2.7.11+dfsg-1.1)
- Gfarm file system clients
- gfarm-doc (2.7.11+dfsg-1.1)
- Gfarm file system documentation
- gfarm2fs (1.2.11-1)
- FUSE program to mount the Gfarm file system
- gff2aplot (2.0-11)
- pair-wise alignment-plots for genomic sequences in PostScript
- gff2ps (0.98l-2)
- produces PostScript graphical output from GFF-files
- gfio (3.12-2)
- flexible I/O tester - gui frontend
- gfm (1.08-1)
- Texas Instruments hand-helds file manipulation program for X
- gfmd (2.7.11+dfsg-1.1)
- Gfarm file system metadata server
- gforth (0.7.3+dfsg-9)
- GNU Forth Language Environment
- gforth-common (0.7.3+dfsg-9)
- GNU Forth architecture-independent dictionaries
- gforth-lib (0.7.3+dfsg-9)
- GNU Forth Language Environment architecture-dependent files
- gfortran (4:8.3.0-1)
- GNU Fortran 95 compiler
- gfortran-7 (7.4.0-6)
- GNU Fortran compiler
- gfortran-7-multilib (7.4.0-6)
- GNU Fortran compiler (multilib support)
- gfortran-8 (8.3.0-6)
- GNU Fortran compiler
- gfortran-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-i686-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-mips-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-multilib (8.3.0-6)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler (multilib support)
- gfortran-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Fortran compiler (multilib support)
- gfortran-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Fortran compiler
- gfortran-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Fortran compiler
- gfortran-aarch64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the arm64 architecture
- gfortran-alpha-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the alpha architecture
- gfortran-arm-linux-gnueabi (4:8.3.0-1)
- GNU Fortran 95 compiler for the armel architecture
- gfortran-arm-linux-gnueabihf (4:8.3.0-1)
- GNU Fortran 95 compiler for the armhf architecture
- gfortran-hppa-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the hppa architecture
- gfortran-i686-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the i386 architecture
- gfortran-m68k-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the m68k architecture
- gfortran-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU Fortran compiler for MinGW-w64
- gfortran-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU Fortran compiler for MinGW-w64 targeting Win32
- gfortran-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU Fortran compiler for MinGW-w64 targeting Win64
- gfortran-mips-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the mips architecture
- gfortran-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64 architecture
- gfortran-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Fortran 95 compiler for the mips64el architecture
- gfortran-mipsel-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the mipsel architecture
- gfortran-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mipsr6 architecture
- gfortran-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mipsr6el architecture
- gfortran-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64r6 architecture
- gfortran-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64r6el architecture
- gfortran-mod-14
- virtueel pakket geboden door gfortran-7
- gfortran-mod-15
- virtueel pakket geboden door gfortran, gfortran-8
- gfortran-multilib (4:8.3.0-1)
- GNU Fortran 95 compiler (multilib files)
- gfortran-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the i386 architecture
- gfortran-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the mips architecture
- gfortran-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64 architecture
- gfortran-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Fortran 95 compiler for the mips64el architecture
- gfortran-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the mipsel architecture
- gfortran-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mipsr6 architecture
- gfortran-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mipsr6el architecture
- gfortran-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64r6 architecture
- gfortran-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Fortran 95 compiler for the mips64r6el architecture
- gfortran-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the powerpc architecture
- gfortran-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the ppc64 architecture
- gfortran-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the s390x architecture
- gfortran-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the sparc64 architecture
- gfortran-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the amd64 architecture
- gfortran-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Fortran 95 compiler for the x32 architecture
- gfortran-powerpc-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the powerpc architecture
- gfortran-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU Fortran 95 compiler for the powerpcspe architecture
- gfortran-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the ppc64 architecture
- gfortran-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the ppc64el architecture
- gfortran-riscv64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the riscv64 architecture
- gfortran-s390x-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the s390x architecture
- gfortran-sh4-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the sh4 architecture
- gfortran-sparc64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the sparc64 architecture
- gfortran-x86-64-linux-gnu (4:8.3.0-1)
- GNU Fortran 95 compiler for the amd64 architecture
- gfortran-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Fortran 95 compiler for the x32 architecture
- gfpoken (1-2+b1)
- Recreate a grid of mirrors from clues given by tests
- gfs2-utils (3.2.0-1)
- Global File System 2 - filesystem tools
- gfsd (2.7.11+dfsg-1.1)
- Gfarm file system daemon
- gfsview (20121130+dfsg-6)
- graphical viewer for Gerris simulation files
- gfsview-batch (20121130+dfsg-6)
- batch-version of viewer for Gerris simulation files
- gftp (2.0.19-5)
- X/GTK+ and console FTP client (metapackage)
- gftp-common (2.0.19-5)
- gedeelde bestanden voor andere gFTP-pakketten
- gftp-gtk (2.0.19-5)
- X/GTK + FTP-bestandsoverdrachtprogramma
- gftp-text (2.0.19-5)
- colored FTP client using GLib
- gfxboot (4.5.2-1.1-6)
- tool to test and create graphical boot logos (runtime)
- gfxboot-dev (4.5.2-1.1-6)
- tool to test and create graphical boot logos (development)
- gfxboot-theme
- virtueel pakket geboden door gfxboot-themes
- gfxboot-themes (4.5.2-1.1-6)
- tool to test and create graphical boot logos (themes)
- ggobi (2.1.11-2)
- Data visualization system for high-dimensional data
- ghc (8.4.4+dfsg1-3)
- The Glasgow Haskell Compilation system
- ghc-doc (8.4.4+dfsg1-3)
- Documentation for the Glasgow Haskell Compilation system
- ghc-dynamic
- virtueel pakket geboden door ghc
- ghc-ghci
- virtueel pakket geboden door ghc
- ghc-haddock
- virtueel pakket geboden door ghc
- ghc-prof (8.4.4+dfsg1-3)
- Profiling libraries for the Glasgow Haskell Compilation system
- ghc-testsuite (8.4.4-1)
- GHC testsuite results
- ghdl (0.35+git20181129+dfsg-3)
- VHDL compiler/simulator
- ghdl-gcc (0.35+git20181129+dfsg-3)
- VHDL compiler/simulator (GCC backend)
- ghdl-llvm (0.35+git20181129+dfsg-3)
- VHDL compiler/simulator (LLVM backend)
- ghdl-mcode (0.35+git20181129+dfsg-3)
- VHDL compiler/simulator (mcode backend)
- ghemical (3.0.0-4)
- GNOME molecular modelling environment
- ghex (3.18.3-5)
- GNOME Hex editor for files
- ghi (1.2.0-1)
- GitHub issue tracker command line interface (CLI)
- ghkl (5.0.0.2456-1)
- diffractometer computation control application
- ghmm (0.9~rc3-2)
- General Hidden-Markov-Model library - tools
- ghostess (20120105-1+b2)
- A graphical DSSI plugin host
- ghostscript (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF
- ghostscript-cups
- virtueel pakket geboden door cups-filters
- ghostscript-dbg (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - Debug symbols
- ghostscript-doc (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - Documentation
- ghostscript-x (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - X11 support
- ghostwriter (1.7.4-2)
- Distraction-free, themeable Markdown editor
- ghp-import (0.5.5-1)
- Easily import docs to your gh-pages branch
- giac-doc (1.4.9.69+dfsg1-2)
- Computer Algebra System - documentation
- giada (0.15.2+ds1-2)
- Hardcore Loop Machine
- giblib-dev (1.2.4-12)
- headers for giblib
- giblib1 (1.2.4-12)
- wrapper library for imlib2, and other stuff
- giella-core (0.1.1~r129227+svn121148-2)
- GTCORE files for building Giellatekno language packages
- giella-sme (0.0.20150917~r121176-3)
- Giellatekno single language data for North Saami
- giella-sme-dev (0.0.20150917~r121176-3)
- Giellatekno single language data for North Saami (dev extras)
- gif2apng (1.9+srconly-2+deb10u1)
- tool for converting animated GIF images to APNG format
- giflib-tools (5.1.4-3+deb10u1) [security]
- library for GIF images (utilities)
- gifshuffle (2.0-1)
- Steganography program to gif images
- gifsicle (1.91-5)
- Tool for manipulating GIF images
- gifti-bin (1.0.9-3)
- tools shipped with the GIFTI library
- giftrans (1.12.2-19)
- Convert any GIF file into a GIF89a
- gigalomania (1.0+ds1-1)
- Mega-Lo-Mania-like god game
- gigalomania-data (1.0+ds1-1)
- Mega-Lo-Mania-like god game (data files)
- giggle (0.7-3)
- GTK+ frontend for the git directory tracker
- giggle-personal-details-plugin (0.7-3)
- GTK+ frontend for the git directory tracker - personal details plugin
- giggle-terminal-view-plugin (0.7-3)
- GTK+ frontend for the git directory tracker - terminal plugin
- gigolo (0.4.2-3)
- frontend om verbindingen met bestandssystemen op afstand met GIO/GVfs te beheren
- gigtools (4.1.0~repack-2)
- command line tools for Gigasampler and DLS Level 1/2 files
- giira (0.0.20140625-2)
- RNA-Seq driven gene finding incorporating ambiguous reads
- gimagereader (3.3.0-1)
- Graphical GTK+ front-end to tesseract-ocr
- gimp (2.10.8-2+deb10u1) [security]
- GNU Image Manipulation Program
- gimp-cbmplugs (1.2.2-1+b2)
- plugins for The GIMP to import/export Commodore 64 files
- gimp-data (2.10.8-2+deb10u1) [security]
- Databestanden voor GIMP
- (1:2.0.2-1)
- Extra brushes and patterns for GIMP
- gimp-dcraw (1.32-1+b1)
- GIMP plug-in for loading RAW digital photos
- gimp-dds (3.0.1-1+deb10u1) [security]
- DDS (DirectDraw Surface) plugin for GIMP
- gimp-gap (2.6.0+dfsg-5+b2)
- animation package for the GIMP
- gimp-gluas (0.1.20-1.1+b1)
- Lua environment plug-in for GIMP
- gimp-gmic (2.4.5-1)
- GREYC's Magic for Image Computing - GIMP Plugin
- gimp-gutenprint (5.3.1-7)
- print plugin for the GIMP
- gimp-help
- virtueel pakket geboden door gimp-help-sv, gimp-help-pt, gimp-help-it, gimp-help-ja, gimp-help-ca, gimp-help-nl, gimp-help-el, gimp-help-fr, gimp-help-es, gimp-help-en, gimp-help-nn, gimp-help-de, gimp-help-ko, gimp-help-sl, gimp-help-ru
- gimp-help-ca (2.8.2-1)
- Documentation for the GIMP (Catalan)
- gimp-help-common (2.8.2-1)
- Databestanden voor de GIMP-documentatie
- gimp-help-de (2.8.2-1)
- Documentatie voor de GIMP (Duits)
- gimp-help-el (2.8.2-1)
- Documentation for the GIMP (Greek)
- gimp-help-en (2.8.2-1)
- Documentatie voor de GIMP (Engels)
- gimp-help-es (2.8.2-1)
- Documentatie voor de GIMP (Spaans)
- gimp-help-fr (2.8.2-1)
- Documentatie voor de GIMP (Frans)
- gimp-help-it (2.8.2-1)
- Documentatie voor de GIMP (Italiaans)
- gimp-help-ja (2.8.2-1)
- Documentation for the GIMP (Japanese)
- gimp-help-ko (2.8.2-1)
- Documentatie voor de GIMP (Koreaans)
- gimp-help-nl (2.8.2-1)
- Documentatie voor de GIMP (Nederlands)
- gimp-help-nn (2.8.2-1)
- Documentatie voor de GIMP (Noors)
- gimp-help-pt (2.8.2-1)
- Documentation for the GIMP (Portuguese)
- gimp-help-ru (2.8.2-1)
- Documentatie voor de GIMP (Russisch)
- gimp-help-sl (2.8.2-1)
- Documentation for the GIMP (Slovenian)
- gimp-help-sv (2.8.2-1)
- Documentatie voor de GIMP (Zweeds)
- gimp-lensfun (0.2.4-1+b1)
- Gimp plugin to correct lens distortion using the lensfun library
- gimp-plugin-registry (9.20180625)
- repository of optional extensions for GIMP
- gimp-python (2.10.8-2+deb10u1) [security]
- Python scripting support for GIMP
- gimp-resynthesizer
- virtueel pakket geboden door gimp-plugin-registry
- gimp-save-for-web
- virtueel pakket geboden door gimp-plugin-registry
- gimp-texturize (2.1-7)
- generates large textures from a small sample
- gimp-ufraw (0.22-4)
- gimp importer for raw camera images
- ginac-tools (1.7.5-1)
- GiNaC symbolic framework support tools
- ginga (2.7.2-2)
- Astronomical image viewer
- ginkgocadx (3.8.8-1)
- Medical Imaging Software and complete DICOM Viewer
- gip (1.7.0-1-4)
- IP calculator for GNOME desktop environment
- gir-to-d (0.18.0-1)
- Create D bindings from GObject introspection files
- gir1.2-abi-3.0 (3.0.2-8)
- GObject introspection data for libabiword
- gir1.2-accounts-1.0 (1.23-1)
- typelib file for libaccounts-glib0
- gir1.2-accountsservice-1.0 (0.6.45-2)
- GObject introspection data for AccountService
- gir1.2-amtk-5 (5.0.0-3)
- Actions, Menus and Toolbars Kit for GTK+ - GObject introspection
- gir1.2-anjuta-3.0 (2:3.28.0-5)
- GObject introspection data for the Anjuta libraries
- gir1.2-appindicator-0.1 (0.4.92-7)
- Typelib files for libappindicator1
- gir1.2-appindicator3-0.1 (0.4.92-7)
- Typelib files for libappindicator3-1
- gir1.2-appstream-1.0 (0.12.5-1)
- Library to access AppStream services (introspection data)
- gir1.2-appstreamglib-1.0 (0.7.14-1+deb10u1)
- GObject introspection data for the libappstream-glib library
- gir1.2-aravis-0.6 (0.6.0-2)
- GObject introspection data for Aravis
- gir1.2-atk-1.0 (2.30.0-2)
- ATK accessibility toolkit (GObject introspection)
- gir1.2-atril (1.20.3-1+deb10u1)
- GObject introspection data for libatril* (transitional package)
- gir1.2-atrildocument-1.5.0 (1.20.3-1+deb10u1)
- GObject introspection data for libatrildocument
- gir1.2-atrilview-1.5.0 (1.20.3-1+deb10u1)
- GObject introspection data for libatrilview
- gir1.2-atspi-2.0 (2.30.0-7)
- Assistive Technology Service Provider (GObject introspection)
- gir1.2-avahi-0.6 (0.7-4+deb10u3) [security]
- GObject introspection data for Avahi
- gir1.2-ayatanaappindicator-0.1 (0.5.3-4)
- Typelib files for libayatana-appindicator1 (GTK-2+ version)
- gir1.2-ayatanaappindicator3-0.1 (0.5.3-4)
- Typelib files for libayatana-appindicator3-1 (GTK-3+ version)
- gir1.2-ayatanaido3-0.4 (0.4.4-1)
- Widgets and other objects used for Ayatana Indicators - Typelib file
- gir1.2-bamf-3 (0.5.4-1)
- GObject introspection data for the Bamf library
- gir1.2-blockdev-2.0 (2.20-7+deb10u1)
- libblockdev introspection data
- gir1.2-brasero-3.1 (3.12.2-5)
- CD/DVD burning library for GNOME - GObject introspection data
- gir1.2-braseroburn-3.1 (= 3.12.2-5)
- virtueel pakket geboden door gir1.2-brasero-3.1
- gir1.2-braseromedia-3.1 (= 3.12.2-5)
- virtueel pakket geboden door gir1.2-brasero-3.1
- gir1.2-budgie-1.0 (10.5-1)
- GNOME introspection library for budgie-desktop
- gir1.2-burner-3.1 (3.0.6-1)
- CD/DVD burning library for UKUI - GObject introspection data
- gir1.2-cairo-1.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-caja (1.20.3-1+b1)
- GObject introspection data for Caja (transitional package)
- gir1.2-caja-2.0 (1.20.3-1+b1)
- GObject introspection data for Caja
- gir1.2-cally-1.0 (= 1.26.2+dfsg-10)
- virtueel pakket geboden door gir1.2-clutter-1.0
- gir1.2-camel-1.2 (3.30.5-1+deb10u2)
- GObject introspection for the Camel library
- gir1.2-caribou-1.0 (0.4.21-7)
- GObject introspection for the Caribou library
- gir1.2-cattle-1.0 (1.2.2-3)
- Brainfuck language toolkit (introspection files)
- gir1.2-champlain-0.12 (0.12.16-3)
- C library providing ClutterActor to display maps (GObject introspection)
- gir1.2-cheese-3.0 (3.31.90-1)
- tool to take pictures and videos from your webcam - gir bindings
- gir1.2-cinnamondesktop-3.0 (3.8.1-2)
- Introspection data for CinnamonDesktop
- gir1.2-clutter-1.0 (1.26.2+dfsg-10)
- GObject introspection data for the Clutter 1.0 library
- gir1.2-clutter-gst-3.0 (3.0.26-2)
- Gobject introspection data for Clutter GStreamer elements
- gir1.2-cluttergdk-1.0 (= 1.26.2+dfsg-10)
- virtueel pakket geboden door gir1.2-clutter-1.0
- gir1.2-cluttergst-3.0 (= 3.0.26-2)
- virtueel pakket geboden door gir1.2-clutter-gst-3.0
- gir1.2-clutterx11-1.0 (= 1.26.2+dfsg-10)
- virtueel pakket geboden door gir1.2-clutter-1.0
- (3.8.2-1)
- GObject introspection data for the Cinnamon menu library
- gir1.2-cogl-1.0 (1.22.2-6)
- GObject introspection data for the Cogl 1.0 library
- gir1.2-cogl-2.0 (= 1.22.2-6)
- virtueel pakket geboden door gir1.2-cogl-1.0
- gir1.2-coglgst-2.0 (1.22.2-6)
- GObject introspection data for the CoglGst 2.0 library
- gir1.2-coglpango-1.0 (1.22.2-6)
- GObject introspection data for the CoglPango 1.0 library
- gir1.2-coglpango-2.0 (= 1.22.2-6)
- virtueel pakket geboden door gir1.2-coglpango-1.0
- gir1.2-colord-1.0 (1.4.3-4)
- GObject introspection data for the colord library
- gir1.2-colordgtk-1.0 (0.1.26-2)
- GObject introspection data for the colord-gtk library
- gir1.2-colorhug-1.0 (1.4.3-4)
- GObject introspection data for the colorhug library
- gir1.2-cryptui-0.0 (3.12.2-6)
- GObject introspection data for the CryptUI library
- gir1.2-cvc-1.0 (3.8.1-2)
- Introspection data for Cinnamon pulseaudio abstraction
- gir1.2-dazzle-1.0 (3.30.2-2)
- GObject introspection data for libdazzle
- gir1.2-dbus-1.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-dbusglib-1.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- (18.10.20180917~bzr490+repack1-1)
- typelib file for libdbusmenu-glib4
- (18.10.20180917~bzr490+repack1-1)
- typelib file for libdbusmenu-gtk4 (GTK-2 variant)
- (18.10.20180917~bzr490+repack1-1)
- typelib file for libdbusmenu-gtk3-4
- gir1.2-dee-1.0 (1.2.7+17.10.20170616-4)
- GObject introspection data for the Dee library
- gir1.2-devhelp-3.0 (3.30.1-1)
- GObject introspection data for the devhelp library
- gir1.2-diodon-1.0 (1.8.0-1)
- GTK+ Clipboard manager (GObject introspection data)
- gir1.2-ebook-1.2 (3.30.5-1+deb10u2)
- GObject introspection for the EBook library
- gir1.2-ebookcontacts-1.2 (3.30.5-1+deb10u2)
- GObject introspection for the EBook Contacts library
- gir1.2-edataserver-1.2 (3.30.5-1+deb10u2)
- GObject introspection for the EDataServer library
- gir1.2-edataserverui-1.2 (3.30.5-1+deb10u2)
- GObject introspection for the EDataServerUI library
- gir1.2-endless-0 (0~git20180727+ds-1)
- GObject introspection data for the Endless SDK
- gir1.2-entangle-0.1 (2.0-1)
- GObject introspection data for entangle
- gir1.2-eom (1.20.2-2)
- GObject introspection data for eom (transitional package)
- gir1.2-eom-1.0 (1.20.2-2)
- GObject introspection data for the eom library
- gir1.2-evd-0.2 (0.2.0-1)
- Peer-to-peer inter-process communication library - GObject introspection data
- gir1.2-evince-3.0 (3.30.2-3+deb10u1)
- GObject introspection data for the evince libraries
- gir1.2-evincedocument-3.0 (= 3.30.2-3+deb10u1)
- virtueel pakket geboden door gir1.2-evince-3.0
- gir1.2-evinceview-3.0 (= 3.30.2-3+deb10u1)
- virtueel pakket geboden door gir1.2-evince-3.0
- gir1.2-farstream-0.2 (0.2.8-4.1)
- Audio/Video communications framework: GObject-Introspection
- gir1.2-fcitx-1.0 (1:4.2.9.6-5+deb10u1)
- GObject introspection data for fcitx
- gir1.2-flatpak-1.0 (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps (introspection)
- gir1.2-folks-0.6 (0.11.4-1+b2)
- library to aggregates people into metacontacts - GObject-Introspection
- gir1.2-fontconfig-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-freedesktop (1.58.3-2)
- Introspection data for some FreeDesktop components
- gir1.2-freetype2-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-fwupd-2.0 (1.2.14-1~deb10u1)
- GObject introspection data for libfwupd
- gir1.2-ganv-1.0 (1.4.2~dfsg0-2)
- GObject Introspection data for Ganv
- gir1.2-gcab-1.0 (1.2-3~deb10u1)
- Microsoft Cabinet file manipulation library - gir bindings
- gir1.2-gck-1 (3.28.1-1)
- GObject introspection data for the GCK library
- gir1.2-gconf-2.0 (3.2.6-5)
- GNOME configuration database system (GObject-Introspection)
- gir1.2-gcr-3 (3.28.1-1)
- GObject introspection data for the GCR library
- gir1.2-gcrui-3 (= 3.28.1-1)
- virtueel pakket geboden door gir1.2-gcr-3
- gir1.2-gda-5.0 (5.2.8-1)
- data abstraction library based on GLib -- GObject Introspection
- gir1.2-gdata-0.0 (0.17.9-3)
- GObject introspection data for the GData webservices library
- gir1.2-gdesktopenums-3.0 (3.28.1-1)
- GObject introspection for GSettings desktop-wide schemas
- gir1.2-gdk-2.0 (= 2.24.32-3)
- virtueel pakket geboden door gir1.2-gtk-2.0
- gir1.2-gdk-3.0 (= 3.24.5-1)
- virtueel pakket geboden door gir1.2-gtk-3.0
- gir1.2-gdkpixbuf-2.0 (2.38.1+dfsg-1)
- GDK Pixbuf library - GObject-Introspection
- gir1.2-gdkpixdata-2.0 (= 2.38.1+dfsg-1)
- virtueel pakket geboden door gir1.2-gdkpixbuf-2.0
- gir1.2-gdkx11-2.0 (= 2.24.32-3)
- virtueel pakket geboden door gir1.2-gtk-2.0
- gir1.2-gdkx11-3.0 (= 3.24.5-1)
- virtueel pakket geboden door gir1.2-gtk-3.0
- gir1.2-gdl-3 (3.28.0-2)
- GObject introspection data for the GDL library
- gir1.2-gdm-1.0 (3.30.2-3)
- GObject introspection data for the GNOME Display Manager
- gir1.2-gee-0.8 (0.20.1-2)
- GObject based collection and utility library (GObject-Introspection)
- gir1.2-gegl-0.4 (0.4.12-2)
- GObject introspection data for the Generic Graphics Library
- gir1.2-geoclue-2.0 (2.5.2-1+deb10u1)
- convenience library to interact with geoinformation service (introspection)
- gir1.2-geocodeglib-1.0 (3.26.1-1)
- introspection data for geocode-glib library
- gir1.2-gepub-0.6 (0.6.0-2)
- GObject introspection data for the libgepub library
- gir1.2-ges-1.0 (1.14.4-1)
- GObject introspection data for the GES library
- gir1.2-gexiv2-0.10 (0.10.9-1)
- GObject-based wrapper around the Exiv2 library - introspection data
- gir1.2-gfbgraph-0.2 (0.2.3-3)
- GObject library for Facebook Graph API - GObject introspection
- gir1.2-ggit-1.0 (0.27.7-1)
- GObject introspection data for the git2-glib-1.0 library
- gir1.2-gio-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-glib-2.0
- gir1.2-girepository-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-glib-2.0
- gir1.2-git2-glib-1.0
- virtueel pakket geboden door gir1.2-ggit-1.0
- gir1.2-gkbd-3.0 (3.26.1-1)
- GObject introspection data for the GnomeKbd library
- gir1.2-gl-1.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-gladeui-2.0 (3.22.1-3)
- GObject introspection data for the GTK+ User Interface library
- gir1.2-glib-2.0 (1.58.3-2)
- Introspection data for GLib, GObject, Gio and GModule
- (3.31.4-3)
- GObject introspection data for the GNOME menu library
- gir1.2-gmime-2.6 (2.6.23+dfsg1-4)
- MIME parser and creator (old 2.6 version) - GObject introspection data
- gir1.2-gmime-3.0 (3.2.1-1)
- MIME message parser and creator library - GObject introspection data
- gir1.2-gmodule-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-glib-2.0
- gir1.2-gnomeautoar-0.1 (0.2.3-2)
- GObject introspection data for GnomeAutoar
- gir1.2-gnomeautoargtk-0.1 (0.2.3-2)
- GObject introspection data for GnomeAutoarGtk
- gir1.2-gnomebluetooth-1.0 (3.28.2-4~deb10u1)
- Introspection data for GnomeBluetooth
- gir1.2-gnomedesktop-3.0 (3.30.2.1-2)
- Introspection data for GnomeDesktop
- gir1.2-gnumeric (1.12.44-1)
- GObject introspection data for the Gnumeric spreadsheet
- gir1.2-goa-1.0 (3.30.1-2)
- Introspection data for GNOME Online Accounts
- gir1.2-gobject-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-glib-2.0
- gir1.2-goffice-0.10 (0.10.44-1)
- GObject introspection data for the GOffice library
- gir1.2-gom-1.0 (0.3.3-5)
- GObject introspection data for libgom
- gir1.2-goocanvas-2.0 (2.0.4-1)
- GObject introspection data for GooCanvas - gir bindings
- gir1.2-govirt-1.0 (0.3.4-3.1)
- GObject introspection data for libgovirt
- gir1.2-gpaste-1.0 (3.30.2-1)
- GObject introspection data for the libgpaste11 library
- gir1.2-gpaste-2.0
- virtueel pakket geboden door gir1.2-gpaste-1.0
- gir1.2-gpaste-3.0
- virtueel pakket geboden door gir1.2-gpaste-1.0
- gir1.2-gpaste-4.0
- virtueel pakket geboden door gir1.2-gpaste-1.0
- gir1.2-gpaste-6.0
- virtueel pakket geboden door gir1.2-gpaste-1.0
- gir1.2-granite-1.0 (5.2.3-1)
- extension of GTK+ libraries (introspection files)
- gir1.2-graphene-1.0 (1.8.4-1)
- library of graphic data types (introspection files)
- gir1.2-grilo-0.3 (0.3.7-1+deb10u1)
- Framework for discovering and browsing media - GObject introspection data
- (0.7.0-2+b11)
- GObject introspection data for libgrss
- gir1.2-gsf-1 (1.14.45-1)
- GObject introspection data for the Structured File Library
- gir1.2-gsound-1.0 (1.0.2-4)
- small library for playing system sounds (gir bindings)
- gir1.2-gspell-1 (1.6.1-2)
- spell-checking library for GTK+ applications - GObject introspection
- gir1.2-gssdp-1.0 (1.0.5-0+deb10u1)
- GObject introspection data for the GSSDP library
- gir1.2-gst-plugins-bad-1.0 (1.14.4-1+deb10u5) [security]
- GObject introspection data for the GStreamer libraries from the "bad" set
- gir1.2-gst-plugins-base-1.0 (1.14.4-2+deb10u2) [security]
- GObject introspection data for the GStreamer Plugins Base library
- gir1.2-gst-rtsp-server-1.0 (1.14.4-1)
- GObject introspection data for the GStreamer RTSP Server
- gir1.2-gstreamer-1.0 (1.14.4-1)
- GObject introspection data for the GStreamer library
- gir1.2-gtd-1.0 (3.28.1-2)
- GObject introspection data for GNOME To Do
- gir1.2-gtk-2.0 (2.24.32-3)
- GTK+ graphical user interface library -- gir bindings
- gir1.2-gtk-3.0 (3.24.5-1)
- GTK+ graphical user interface library -- gir bindings
- gir1.2-gtk-vnc-2.0 (0.9.0-1.1)
- GObject introspection data for GTK-VNC
- gir1.2-gtkchamplain-0.12 (0.12.16-3)
- Gtk+ widget to display maps (GObject introspection)
- gir1.2-gtkclutter-1.0 (1.8.4-4)
- GObject introspection data for the GTK+ Clutter library
- gir1.2-gtksource-3.0 (3.24.9-2)
- gir files for the GTK+ syntax highlighting widget
- gir1.2-gtksource-4 (4.0.3-2)
- gir files for the GTK+ syntax highlighting widget
- gir1.2-gtkspell3-3.0 (3.0.9-3)
- GObject introspection data for the GtkSpell library
- gir1.2-gtop-2.0 (2.38.0-4)
- gtop system monitoring library (gir bindings)
- gir1.2-gucharmap-2.90 (1:11.0.3-3)
- GObject introspection data for the Unicode browser widget library
- gir1.2-gudev-1.0 (232-2)
- libgudev-1.0 introspection data
- gir1.2-guestfs-1.0 (1:1.40.2-2)
- guest disk image management system - GObject introspection files
- gir1.2-gupnp-1.0 (1.0.5-0+deb10u1)
- GObject introspection data for the GUPnP library
- gir1.2-gupnp-av-1.0 (0.12.11-2)
- GObject introspection data for the GUPnP-AV library
- gir1.2-gupnpdlna-2.0 (0.10.5-4)
- GObject introspection data for the DLNA utility library for GUPnP
- gir1.2-gupnpdlnagst-2.0 (= 0.10.5-4)
- virtueel pakket geboden door gir1.2-gupnpdlna-2.0
- gir1.2-gupnpigd-1.0 (0.2.5-3)
- GObject introspection data for the GUPnP IGD library
- gir1.2-gusb-1.0 (0.3.0-1)
- GObject introspection data for libgusb
- gir1.2-gvnc-1.0
- virtueel pakket geboden door gir1.2-gtk-vnc-2.0
- gir1.2-gweather-3.0 (3.28.2-2)
- GObject introspection data for the GWeather library
- gir1.2-gxps-0.1 (0.3.1-1)
- GObject introspection data for the gxps library
- gir1.2-harfbuzz-0.0 (2.3.1-1)
- OpenType text shaping engine (GObject introspection data)
- gir1.2-hinawa-2.0 (1.1.0-1)
- GObjet introspection data for libhinawa0
- gir1.2-hkl-5.0 (5.0.0.2456-1)
- diffractometer computation control library - gir binding
- gir1.2-ibus-1.0 (1.5.19-4+deb10u1)
- Intelligent Input Bus - introspection data
- gir1.2-ical-3.0 (3.0.4-3)
- iCalendar library implementation in C (GObject Introspection)
- gir1.2-icalglib-3.0
- virtueel pakket geboden door gir1.2-ical-3.0
- gir1.2-input-pad-1.0 (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse - introspection data
- gir1.2-isocodes-1.2 (1.2.2-2)
- access of iso-codes data and translations - GObject introspection
- gir1.2-itl-1.0 (0.3-1)
- GObject introspection data for Itl 1.0 library
- gir1.2-javascriptcoregtk-4.0 (2.38.6-0+deb10u1) [security]
- JavaScript engine library from WebKitGTK - GObject introspection data
- gir1.2-json-1.0 (1.4.4-2)
- GLib JSON manipulation library (introspection data)
- gir1.2-jsonrpc-1.0 (3.30.1-2)
- GObject introspection data for jsonrpc-glib
- gir1.2-keybinder-0.0 (0.3.1-1)
- registers global key bindings for applications - introspection data
- gir1.2-keybinder-3.0 (0.3.2-1)
- registers global key bindings for applications - Gtk+3 - typelib
- gir1.2-langtag-0.6 (0.6.2-1)
- library to access tags for identifying languages - introspection
- gir1.2-libmsi-1.0 (0.98-1)
- Windows Installer file manipulation library - gir bindings
- gir1.2-libosinfo-1.0 (1.2.0-1)
- GObject introspection data for libosinfo
- gir1.2-libvirt-glib-1.0 (1.0.0-1)
- GObject introspection files for the libvirt-glib library
- gir1.2-libvirt-sandbox-1.0 (0.5.1+git20160404-1)
- GObject introspection files for the libvirt-sandbox library
- gir1.2-libxml2-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-lightdm-1 (1.26.0-4)
- Typelib file for liblightdm-1
- gir1.2-lokdocview-0.1 (1:6.1.5-3+deb10u11) [security]
- GTK3 widget wrapping LibreOffice functionality - introspection
- gir1.2-lunar-date-2.0 (2.4.0-5)
- GObject Introspection for lunar-date
- gir1.2-manette-0.2 (0.2.1-2)
- GObject introspection data for libmanette
- gir1.2-mate-desktop (1.20.4-2)
- GObject introspection data for libmate-desktop (transitional package)
- (1.20.2-1)
- GObject introspection data for libmatemenu (transitional package)
- gir1.2-mate-panel (1.20.5-1)
- GObject introspection data for libmate-panel-applet4-1 (transitional package)
- gir1.2-matedesktop-2.0 (1.20.4-2)
- GObject introspection data for the libmate-desktop library
- gir1.2-matekbd (1.20.2-1)
- GObject introspection data for libmatekbd (transitional package)
- gir1.2-matekbd-1.0 (1.20.2-1)
- GObject introspection data for libmatekbd and libmatekbdui
- (1.20.2-1)
- GObject introspection data for the MATE menu specification
- gir1.2-matepanelapplet-4.0 (1.20.5-1)
- GObject introspection data for MATE panel
- gir1.2-mediaart-2.0 (1.9.4-2)
- GObject introspection data for MediaArt library
- (0.6.0-2)
- Ayatana Messaging Menu - gir bindings
- gir1.2-meta-muffin-0.0 (3.8.2-1)
- GObject introspection data for Muffin
- gir1.2-modemmanager-1.0 (1.10.0-1)
- GObject introspection data for modemmanager
- gir1.2-mpid-3.0 (= 3.4.3-2)
- virtueel pakket geboden door gir1.2-rb-3.0
- gir1.2-mutter-3 (3.30.2-9~deb10u1)
- GObject introspection data for Mutter
- gir1.2-mypaint-1.3 (1.3.0-2.1)
- GObject introspection data for libmypaint
- gir1.2-nautilus-3.0 (3.30.5-2)
- libraries for nautilus components - gir bindings
- gir1.2-nemo-3.0 (3.8.5-1+b1)
- libraries for nemo components - gir bindings
- gir1.2-nice-0.1 (0.1.14-1)
- ICE library (GObject introspection)
- gir1.2-nm-1.0 (1.14.6-2+deb10u1)
- GObject introspection data for the libnm library
- gir1.2-nma-1.0 (1.8.20-1.1)
- GObject introspection data for libnma
- gir1.2-notify-0.7 (0.7.7-4)
- sends desktop notifications to a notification daemon (Introspection files)
- gir1.2-osmgpsmap-1.0 (1.1.0-5)
- GTK+ library to embed OpenStreetMap maps - Python bindings
- gir1.2-ostree-1.0 (2019.1-1)
- content-addressed filesystem for operating system binaries (introspection)
- gir1.2-packagekitglib-1.0 (1.1.12-5)
- GObject introspection data for the PackageKit GLib library
- gir1.2-pango-1.0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text - gir bindings
- gir1.2-pangocairo-1.0 (= 1.42.4-7~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-pangocairo-1.0 (= 1.42.4-8~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-pangoft2-1.0 (= 1.42.4-7~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-pangoft2-1.0 (= 1.42.4-8~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-pangoxft-1.0 (= 1.42.4-7~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-pangoxft-1.0 (= 1.42.4-8~deb10u1)
- virtueel pakket geboden door gir1.2-pango-1.0
- gir1.2-parlatype-1.0 (1.5.6-1)
- Library for Parlatype - gir bindings
- gir1.2-peas-1.0 (1.22.0-4)
- Application plugin library (introspection files)
- gir1.2-peasgtk-1.0 (= 1.22.0-4)
- virtueel pakket geboden door gir1.2-peas-1.0
- gir1.2-peony-2.0 (1.1.5-1+b1)
- GObject introspection data for Peony
- gir1.2-playerctl-2.0 (2.0.1-1)
- utility to control media players via MPRIS (gir bindings)
- gir1.2-pluma-1.0 (1.20.4-1)
- GObject introspection data for Pluma
- gir1.2-polkit-1.0 (0.105-25+deb10u1)
- GObject introspection data for PolicyKit
- gir1.2-polkitagent-1.0 (= 0.105-25+deb10u1)
- virtueel pakket geboden door gir1.2-polkit-1.0
- gir1.2-poppler-0.18 (0.71.0-5+deb10u3) [security]
- GObject introspection data for poppler-glib
- gir1.2-rb-3.0 (3.4.3-2)
- GObject introspection data for the rhythmbox music player
- gir1.2-rda-1.0 (0.0.5-1)
- GObject introspection data for librda
- gir1.2-rest-0.7 (0.8.1-1)
- REST service access library (introspection files)
- (0.8.1-1)
- REST service access library extra components (introspectionfiles)
- gir1.2-retro-0.14 (0.16.0-2)
- GObject introspection data for retro-gtk
- gir1.2-rsvg-2.0 (2.44.10-2.1+deb10u3)
- gir files for renderer library for SVG files
- gir1.2-secret-1 (0.18.7-1)
- Secret store (GObject-Introspection)
- gir1.2-signon-1.0 (1.12-2+b11)
- typelib for libsignon-glib1
- gir1.2-skk-1.0 (1.0.5-1)
- library to deal with Japanese kana-kanji conversion method - introspection data
- gir1.2-snapd-1 (1.45-1.1)
- Typelib file for libsnapd-glib1
- gir1.2-soup-2.4 (2.64.2-2)
- GObject introspection data for the libsoup HTTP library
- gir1.2-soupgnome-2.4 (= 2.64.2-2)
- virtueel pakket geboden door gir1.2-soup-2.4
- gir1.2-spiceclientglib-2.0 (0.35-2)
- GObject for communicating with Spice servers (GObject-Introspection)
- gir1.2-spiceclientgtk-3.0 (0.35-2)
- GTK3 widget for SPICE clients (GObject-Introspection)
- gir1.2-sugarext-1.0 (0.112-3)
- Sugar Learning Platform - toolkit GObject introspection
- gir1.2-telepathyglib-0.12 (0.24.1-2)
- GLib Telepathy connection manager library (GObject-Introspection)
- gir1.2-telepathylogger-0.2 (0.8.2-3)
- Telepathy logger service - introspection
- gir1.2-template-1.0 (3.30.0-2)
- GObject introspection data for template-glib
- gir1.2-tepl-4 (4.2.0-2)
- Text editor library for GTK+ - GObject introspection
- gir1.2-totem-1.0 (3.30.0-4)
- GObject introspection data for Totem media player
- gir1.2-totem-plparser-1.0
- virtueel pakket geboden door gir1.2-totemplparser-1.0
- gir1.2-totemplparser-1.0 (3.26.2-1)
- GObject introspection data for the Totem Playlist Parser library
- gir1.2-tracker-2.0 (2.1.8-2)
- GObject introspection data for Tracker
- gir1.2-trackercontrol-2.0 (= 2.1.8-2)
- virtueel pakket geboden door gir1.2-tracker-2.0
- gir1.2-trackerminer-2.0 (= 2.1.8-2)
- virtueel pakket geboden door gir1.2-tracker-2.0
- gir1.2-udisks-2.0 (2.8.1-4+deb10u2) [security]
- GObject based library to access udisks2 - introspection data
- gir1.2-ufo-0.0 (0.15.1-1)
- Library for high-performance, GPU-based computing - gir binding
- gir1.2-uhm-0.0 (0.5.0-1)
- HTTP web service mocking - development files
- (1.1.3-2+b1)
- GObject introspection data for the UKUI menu specification
- gir1.2-ukuipanelapplet-4.0 (1.1.5-1)
- GObject introspection data for UKUI panel
- gir1.2-ukwm-1 (1.1.11-1)
- GObject introspection data for Ukwm
- gir1.2-umockdev-1.0 (0.12.1-2)
- introspection data for umockdev library
- gir1.2-upowerglib-1.0 (0.99.10-1)
- GObject introspection data for upower
- gir1.2-urfkill-0.5 (0.5.0-6)
- GObject introspection data for the urfkill library
- gir1.2-vips-8.0 (8.7.4-1+deb10u1)
- GObject introspection data for VIPS
- gir1.2-vte-2.91 (0.54.2-2)
- GObject introspection data for the VTE library
- gir1.2-webkit2-4.0 (2.38.6-0+deb10u1) [security]
- Web content engine library for GTK - GObject introspection data
- gir1.2-wnck-1.0 (2.30.7-6)
- GObject introspection data for the WNCK library
- gir1.2-wnck-3.0 (3.30.0-2)
- GObject introspection data for the WNCK library
- gir1.2-xapp-1.0 (1.2.2-1)
- Introspection data for XApp
- gir1.2-xfixes-4.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-xft-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-xkl-1.0 (5.4-4)
- X Keyboard Extension high-level API - introspection data
- gir1.2-xlib-2.0 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-xmlb-1.0 (0.1.6-2)
- GObject introspection data for libxmlb
- gir1.2-xrandr-1.3 (= 1.58.3-2)
- virtueel pakket geboden door gir1.2-freedesktop
- gir1.2-zeitgeist-2.0 (1.0.1-1)
- library to access Zeitgeist - GObject introspection data
- gir1.2-zpj-0.0 (0.0.3-5)
- GObject introspection data for the libzapojit library
- gis-all (0.0.16)
- Default selection of tasks for Debian Gis
- gis-data (0.0.16)
- Debian GIS data
- gis-devel (0.0.16)
- Geographic Information Systems (GIS) development
- gis-gps (0.0.16)
- GPS related programs
- gis-osm (0.0.16)
- OpenStreetMap related programs
- gis-remotesensing (0.0.16)
- Remote sensing and earth observation
- gis-statistics (0.0.16)
- Statistics with geographical data
- gis-tasks (0.0.16)
- Debian GIS tasks for tasksel
- gis-web (0.0.16)
- Present geographic information via web map server
- gis-workstation (0.0.16)
- Geographic Information Systems (GIS) workstation
- gist (5.0.0-2+deb10u1)
- Upload gists to gist.github.com
- git (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system
- git-all (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (all subpackages)
- git-annex (7.20190129-3)
- manage files with git, without checking their contents into git
- git-annex-remote-rclone (0.5-1)
- rclone-based git annex special remote
- git-big-picture (0.9.0+git20131031-2)
- Visualization tool for Git repositories
- git-build-recipe (0.3.5)
- construct a Git branch from a recipe
- git-buildpackage (0.9.14)
- Suite to help with Debian packages in Git repositories
- git-buildpackage-rpm (0.9.14)
- Suite to help with RPM packages in Git repositories
- git-cola (3.3-1)
- highly caffeinated git GUI
- git-completion
- virtueel pakket geboden door git
- git-core
- virtueel pakket geboden door git
- git-crecord (20190217~git-1)
- interactively select chunks to commit with Git
- git-crypt (0.6.0-1)
- Transparent file encryption in git
- git-cvs (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (cvs interoperability)
- git-daemon-run (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (git-daemon service)
- git-daemon-sysvinit (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (git-daemon service)
- git-debrebase (8.5)
- rebasing git workflow tool for Debian packaging
- git-doc (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (documentation)
- git-dpm (0.10.0-1)
- git Debian package manager
- git-el (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (emacs support)
- git-email (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (email add-on)
- (4.6.0-1)
- Extra commands for git
- git-flow (1.12.0-1)
- Git extension to provide a high-level branching model
- git-ftp (1.5.1+dfsg-1)
- Git powered FTP client written as shell script
- git-gui (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (GUI)
- git-hub (1.0.1-1)
- Git command line interface to GitHub
- git-lfs (2.7.1-1+deb10u1)
- Git Large File Support
- git-man (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (manual pages)
- git-mediawiki (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (MediaWiki remote helper)
- git-merge-changelog (20140202+stable-4)
- git merge driver for GNU ChangeLog files
- git-notifier (1:0.6-25-1)
- git commit email notification script
- git-phab (2.1.0-2)
- Git subcommand to integrate with Phabricator.
- git-publish (1.4.3-1)
- git command to prepare and store patch revisions as git tags
- git-reintegrate (0.4-1)
- Git extension to manage integration branches
- git-remote-bzr (0.3-2)
- bidirectional bridge between Git and Bazaar
- git-remote-bzr
- virtueel pakket geboden door bzr-git
- git-remote-gcrypt (1.2-1)
- encrypted git repositories
- git-remote-hg (1.0.0~ds-1)
- bidirectional bridge between Git and Mercurial
- git-repair (1.20151215-1.2)
- repair various forms of damage to git repositories
- git-restore-mtime (2018.10-1)
- set timestamps to the date of a file's last commit
- git-review (1.27.0-1)
- git command for submitting branches to Gerrit
- git-secret (0.2.3-1)
- store encrypted credential inside source code git repository
- git-secrets (1.3.0-2)
- Prevents accidental commits of credentials
- git-sizer (1.3.0+dfsg-1)
- compute various size metrics for a Git repository
- git-svn (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (svn interoperability)
- git2cl (1:2.0+git20120920-1)
- Simple tool to convert git logs to GNU ChangeLog format
- gitano (1.2-1)
- Git service manager
- gitg (3.30.1-1)
- git repository viewer
- github-backup (1.20170301-2)
- backs up data from GitHub
- gitinspector (0.4.4+dfsg-5)
- statistical analysis tool for git repositories
- gitit (0.12.3.1+dfsg-1)
- Wiki engine backed by a git or darcs filestore
- gitk (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (revision tree visualizer)
- gitlab-cli (1:1.6.0-3)
- GitLab command-line client
- gitlab-shell (8.4.3+dfsg1-1deb10u1) [security]
- handles git commands for GitLab
- gitlab-workhorse (7.6.0+debian-1+deb10u1) [security]
- unloads Git HTTP traffic from the GitLab Rails app (Unicorn)
- gitless (0.8.6-4)
- version control system on top of Git
- gitmagic (20160304-1)
- guide about Git version control system
- gitolite3 (3.6.11-2)
- SSH-based gatekeeper for git repositories (version 3)
- gitpkg (0.29)
- tools for maintaining Debian packages with git
- gitso (0.6.2+svn158+dfsg-2)
- simple frontend for reverse VNC connections (remote assistance)
- gitsome (0.7.0+git20180130.5751a31+ds-2)
- Supercharged Git/Shell Autocompleter with GitHub Integration
- gitweb (1:2.20.1-2+deb10u8) [security]
- fast, scalable, distributed revision control system (web interface)
- givaro-dev-doc (4.0.4-2)
- Developer Documentation for Givaro (obsolete)
- givaro-user-doc (4.0.4-2)
- User Documentation for Givaro (obsolete)
- giza-dev (1.1.0-1)
- Lightweight scientific plotting library (development files)
- gjacktransport (0.6.1-1+b1)
- access to the JACK's transport mechanism as touchable slider
- gjay (0.3.2-1.2+b2)
- An automatic and learning DJ for audacious
- gjiten (2.6-3.1)
- Japanese dictionary for GNOME
- gjots2 (3.0.2-0.1)
- Simple jotter (outline processor) for X11/gtk-gnome
- gjs (1.54.3-1)
- Mozilla-based javascript bindings for the GNOME platform
- gjs-tests (1.54.3-1)
- Mozilla-based javascript bindings for the GNOME platform
- gkbd-capplet (3.26.1-1)
- GNOME control center tools for libgnomekbd
- gkdebconf (2.0.4)
- Helper to reconfigure packages with Debconf
- gkermit (1.0-10)
- A serial and network communications package
- gkrellkam (2.0.0-1.2)
- GKrellM plugin that displays a periodically updating image
- gkrellm (2.3.10-2+b1)
- GNU Krell Monitors
- gkrellm-bfm (0.6.4-6+b1)
- system load plugin for gkrellm with a duck
- gkrellm-common
- virtueel pakket geboden door gkrellm
- gkrellm-cpufreq (0.6.4-4)
- CPU frequency plugin for GKrellM
- gkrellm-gkrellmpc (0.1~beta10-4)
- GKrellM plugin for controlling MPD
- gkrellm-hdplop (0.9.11-1)
- hard drive activity monitor GKrellM plugin
- gkrellm-ibam (1:0.5.2-2.1+b2)
- Advanced battery monitor for laptops - gkrellm plugin
- gkrellm-leds (0.8.0-1.3)
- Keyboard LED monitor for GKrellM
- gkrellm-mailwatch (2.4.3-1.1)
- GKrellM plugin to watch mailboxes in multiple panels
- gkrellm-mldonkey (0.9.7-3)
- mldonkey plugin for gkrellm2
- gkrellm-radio (2.0.4-1.2)
- FM radio tuner for GKrellM
- gkrellm-reminder (2.0.0-3)
- useful reminder plugin for gkrellm
- gkrellm-thinkbat (0.2.2-1)
- ThinkPad laptops battery status indicator for GKrellM
- gkrellm-tz (0.8-1)
- Plugin for gkrellm to show different timezones
- gkrellm-volume (2.1.13-1.1)
- A mixer plugin for GKrellM
- gkrellm-x86info (0.0.2-9)
- gkrellm plugin displaying the current processor speed
- gkrellm-xkb (1.05-5)
- Keyboard layout indicator plugin for GKrellM
- gkrellmd (2.3.10-2+b1)
- GNU Krell Monitors Server
- gkrellmitime (1.0.1-6)
- Internet time plugin for gkrellm
- gkrellmoon (0.6-5.1)
- Gkrellm Moon Clock Plugin
- gkrellmwireless (2.0.3-1.1)
- 802.11 wireless link monitor plugin for GKrellM
- gkrellshoot (0.4.4-2)
- Plugin for gkrellm to lock the screen and make screenshots
- gkrelltop (2.2.13-1)
- Top three intensive processes plugin for gkrellm
- gkrelltopd (2.2.13-1)
- Top three intensive processes plugin for gkrellmd
- gkrelluim (0.3.1-7)
- GKrellM plugin for uim
- gkrellweather (2.0.8-2.1)
- A weather monitor plugin for GKrellM
- gkrellxmms2 (0.7.1-3+b1)
- GKrellM plugin to control xmms2
- gl-117 (1.3.2-3+b1)
- action flight simulator
- gl-117-data (1.3.2-3)
- data files for gl-117
- glabels (3.4.1-1.1)
- label, business card and media cover creation program for GNOME
- glabels-data (3.4.1-1.1)
- data files for gLabels
- glabels-dev (3.4.1-1.1)
- development documentation and library files for gLabels
- glade (3.22.1-3)
- GTK+ User Interface Builder
- gladish (1+dfsg0-5.1)
- graphical interface for LADI Session Handler
- gladtex (2.3.1-3)
- Embed LaTeX equations in HTML files
- glam2 (1064-5)
- gapped protein motifs from unaligned sequences
- glance (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - Daemons
- glance-api (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - API server
- glance-common (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - common files
- glance-doc (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - Documentation
- glance-registry (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - registry server
- glance-store-common (0.26.1-4)
- OpenStack Image Service store library - common files
- glances (3.1.0-1+deb10u1)
- Curses-based monitoring tool
- glances-doc (3.1.0-1+deb10u1)
- Documentation for glances Curses-based monitoring tool
- glaurung (2.2-2+b2)
- free UCI chess engine, to calculate chess moves
- glbinding-doc (2.1.1-2)
- documentation for glbinding
- glbinding-tools (2.1.1-2)
- command-line tools for glbinding
- glbsp (2.24-4)
- nodes builder for Doom-style games; has support for OpenGL
- gle-doc (3.1.0-7.2)
- OpenGL tubing and extrusion library documentation
- gle-graphics (4.2.5-7+b1)
- Graphics Layout Engine
- glew-utils (2.1.0-4)
- OpenGL Extension Wrangler - utilities
- glewlwyd (1.4.9-1)
- OAuth2 authentication server providing Json Web Tokens
- glewlwyd-common (1.4.9-1)
- OAuth2 authentication server providing Json Web Tokens - common files
- glfer (0.4.2-2+b2)
- program for reception and transmission of QRSS/DFCW signals
- glhack (1.2-4)
- Fullscreen SDL/OpenGL version of NetHack
- glib-networking (2.58.0-2+deb10u2)
- network-related giomodules for GLib
- glib-networking-common (2.58.0-2+deb10u2)
- network-related giomodules for GLib - data files
- glib-networking-services (2.58.0-2+deb10u2)
- network-related giomodules for GLib - D-Bus services
- glib-networking-tests (2.58.0-2+deb10u2)
- network-related giomodules for GLib - installed tests
- glibc-doc (2.28-10+deb10u3) [security]
- GNU C Library: Documentation
- glibc-doc-reference (2.28-1) [non-free]
- GNU C Library: Documentation
- glibc-pic
- virtueel pakket geboden door libc6-pic
- glibc-source (2.28-10+deb10u3) [security]
- GNU C Library: sources
- gliese (3.0.95-2) [non-free]
- stellar data set from the Third Catalogue of Nearby Stars
- gliese-viewer
- virtueel pakket geboden door starplot
- glimpse (4.18.7-3)
- search quickly through entire file systems
- glirc (2.26-3)
- console IRC client
- gliv (1.9.7-2+b2)
- image viewer using gdk-pixbuf and OpenGL
- glob2 (0.9.4.4-2.5+b2)
- innovative Real Time Strategy game
- glob2-data (0.9.4.4-2.5)
- innovative Real Time Strategy game - data files
- global (6.6.3-2)
- Source code search and browse tools
- global-assembly-cache-tool
- virtueel pakket geboden door mono-gac
- globjects-doc (1.1.0-3)
- documentation for globjects
- globs (0.2.0~svn50-6)
- GL Open Benchmark Suite
- globus-common-progs (18.2-1)
- Grid Community Toolkit - Common Library Programs
- globus-gass-cache-program (7.0-1)
- Grid Community Toolkit - Tools to manipulate local and remote GASS caches
- globus-gass-copy-progs (10.4-1)
- Grid Community Toolkit - Globus Gass Copy Programs
- globus-gass-server-ez-progs (6.1-1)
- Grid Community Toolkit - Globus Gass Server_ez Programs
- globus-gatekeeper (11.0-1)
- Grid Community Toolkit - Globus Gatekeeper
- globus-gfork-progs (5.0-1)
- Grid Community Toolkit - GFork Programs
- globus-gram-audit (5.0-1)
- Grid Community Toolkit - GRAM Jobmanager Auditing
- globus-gram-client-tools (12.0-1)
- Grid Community Toolkit - Job Management Tools (globusrun)
- globus-gram-job-manager (15.4-1)
- Grid Community Toolkit - GRAM Jobmanager
- globus-gram-job-manager-condor (3.0-1)
- Grid Community Toolkit - Condor Job Manager Support
- globus-gram-job-manager-fork (3.0-1)
- Grid Community Toolkit - Fork Job Manager Support
- globus-gram-job-manager-fork-setup-poll (3.0-1)
- Grid Community Toolkit - Fork Job Manager Support using polling
- globus-gram-job-manager-fork-setup-seg (3.0-1)
- Grid Community Toolkit - Fork Job Manager Support using SEG
- globus-gram-job-manager-lsf (3.0-1)
- Grid Community Toolkit - LSF Job Manager Support
- globus-gram-job-manager-lsf-setup-poll (3.0-1)
- Grid Community Toolkit - LSF Job Manager Support using polling
- globus-gram-job-manager-lsf-setup-seg (3.0-1)
- Grid Community Toolkit - LSF Job Manager Support using SEG
- globus-gram-job-manager-pbs (3.0-1)
- Grid Community Toolkit - PBS Job Manager Support
- globus-gram-job-manager-pbs-setup-poll (3.0-1)
- Grid Community Toolkit - PBS Job Manager Support using polling
- globus-gram-job-manager-pbs-setup-seg (3.0-1)
- Grid Community Toolkit - PBS Job Manager Support using SEG
- globus-gram-job-manager-scripts (7.1-2)
- Grid Community Toolkit - GRAM Job ManagerScripts
- globus-gram-job-manager-scripts-doc (7.1-2)
- Grid Community Toolkit - GRAM Job ManagerScripts Documentation Files
- globus-gram-job-manager-setup-condor
- virtueel pakket geboden door globus-gram-job-manager-condor
- globus-gram-job-manager-setup-fork
- virtueel pakket geboden door globus-gram-job-manager-fork
- globus-gram-job-manager-setup-lsf
- virtueel pakket geboden door globus-gram-job-manager-lsf
- globus-gram-job-manager-setup-pbs
- virtueel pakket geboden door globus-gram-job-manager-pbs
- globus-gram-job-manager-setup-sge
- virtueel pakket geboden door globus-gram-job-manager-sge
- globus-gram-job-manager-sge (3.0-1)
- Grid Community Toolkit - Grid Engine Job Manager Support
- globus-gram-job-manager-sge-setup-poll (3.0-1)
- Grid Community Toolkit - Grid Engine Job Manager Support using polling
- globus-gram-job-manager-sge-setup-seg (3.0-1)
- Grid Community Toolkit - Grid Engine Job Manager Support using SEG
- globus-gram-job-manager-slurm (3.0-1)
- Grid Community Toolkit - SLURM Job Manager Support
- globus-gram-job-manager-slurm-setup-poll
- virtueel pakket geboden door globus-gram-job-manager-slurm
- globus-gridftp-server-progs (13.11-1)
- Grid Community Toolkit - Globus GridFTP Server Programs
- globus-gsi-cert-utils-progs (10.2-1)
- Grid Community Toolkit - Globus GSI Cert Utils Library Programs
- globus-gss-assist-progs (12.2-1)
- Grid Community Toolkit - GSSAPI Assist library Programs
- globus-proxy-utils (7.1-1)
- Grid Community Toolkit - Globus GSI Proxy Utility Programs
- globus-scheduler-event-generator-progs (6.1-1)
- Grid Community Toolkit - Scheduler Event Generator Programs
- globus-seg-job-manager (15.4-1)
- Grid Community Toolkit - Scheduler Event Generator Job Manager
- globus-simple-ca (5.0-1)
- Grid Community Toolkit - Simple CA Utility
- globus-xioperf (5.0-1)
- Grid Community Toolkit - XIO Performance Tool
- glogg (1.1.4-1.1+b1)
- Smart interactive log explorer using Qt
- glogic (2.6-4)
- graphical logic circuit simulator
- glom (1.30.4-3)
- database designer and user interface
- glom-doc (1.30.4-3)
- database designer and user interface - documentation
- glom-utils (1.30.4-3)
- Command-line utilities for Glom
- glosstex (0.4.dfsg.1-4+b1)
- prepare glossaries and lists of acronyms
- glpeces (5.2-1)
- Tangram (puzzle) game clone
- glpeces-data (5.2-1)
- Data files for glpeces (Tangram puzzle)
- glpk-doc (4.65-2)
- linear programming kit - documentation files
- glpk-utils (4.65-2)
- linear programming kit - utility files
- glpuzzle
- virtueel pakket geboden door jigzo
- glslang-dev (7.10.2984-1)
- OpenGL and OpenGL ES shader front end and validator -- development files
- glslang-tools (7.10.2984-1)
- OpenGL and OpenGL ES shader front end and validator -- tools
- gltron (0.70final-12.2)
- 3D lightcycle game
- glue-schema (2.0.11-2)
- LDAP schema files for the GLUE 1.3 and GLUE 2.0 Schema
- glue-sprite (0.13-2)
- Simple command line tool to generate CSS sprites
- glueviz (0.14.1+dfsg-1)
- Linked data visualization
- glurp (0.12.3-1+b2 [amd64, armhf, i386], 0.12.3-1 [arm64])
- GTK+ frontend to the Music Player Daemon (MPD)
- glusterfs-client (5.5-3)
- clustered file-system (client package)
- glusterfs-common (5.5-3)
- GlusterFS common libraries and translator modules
- glusterfs-server (5.5-3)
- clustered file-system (server package)
- glx-alternative-mesa (1.0.0) [contrib]
- allows the selection of MESA as GLX provider
- glx-alternative-nvidia (1.0.0) [contrib]
- allows the selection of NVIDIA as GLX provider
- glx-diversions (1.0.0) [contrib]
- prepare for using accelerated GLX implementations from GPU vendors
- glymur-bin (0.8.17-1)
- Python tools for accessing JPEG2000 files - scripts
- glyphsinfo (0~git20171119-1)
- Glyphs info used inside Glyphs.app
- glyphslib (3.1.4-1)
- Convert between Glyphs files (.glyphs) and UFOs
- glyrc (1.0.10-1)
- command-line interface to libglyr
- gmailieer (0.10-1)
- Fast fetch and two-way tag synchronization between notmuch and GMail
- gman (0.9.3-5.2+b2)
- small man(1) front-end for X
- gmanedit (0.4.2-7)
- GTK+ man pages editor
- gmap (2019-01-24-1) [non-free]
- spliced and SNP-tolerant alignment for mRNA and short reads
- gmediarender (0.0.7~git20180818.a7b0b1b-1)
- Small headless UPnP media renderer
- gmemusage (0.2-11+b2)
- Displays a graph detailing memory usage of each process
- gmerlin (1.2.0~dfsg+1-6.1+b2)
- multiformat media player
- gmerlin-data (1.2.0~dfsg+1-6.1)
- multiformat media player - data files
- gmerlin-encoders
- virtueel pakket geboden door gmerlin-encoders-good, gmerlin-encoders-ffmpeg, gmerlin-encoders-extra
- (1.2.0-10)
- MJPEG encoders for Gmerlin
- gmerlin-encoders-ffmpeg (1.2.0-10)
- ffmpeg encoders for Gmerlin
- gmerlin-encoders-good (1.2.0-10)
- patent-free encoders for Gmerlin
- gmerlin-plugins-avdecoder (1.2.0~dfsg-10)
- avdecoder plugins for Gmerlin
- gmerlin-plugins-base (1.2.0~dfsg+1-6.1+b2)
- gmerlin plugins from the "base" set
- gmessage
- virtueel pakket geboden door gxmessage
- gmetad (3.6.0-7+b2)
- cluster monitoring toolkit - Ganglia Meta-Daemon
- gmic (2.4.5-1)
- GREYC's Magic for Image Computing
- gmic-zart (2.4.5-1)
- GREYC's Magic for Image Computing - ZArt
- gmidimonitor (3.6+dfsg0-3)
- GTK+ application that shows MIDI events
- gmime-bin (3.2.1-1)
- MIME message parser and creator library - runtime binaries
- gmlive (0.22.3-1+b3)
- live video frontend for mplayer
- gmotionlive (1.0-3.1)
- Simple multipart/x-mixed-replace viewer
- gmp-doc (6.1.2-1) [non-free]
- GMP (Multiprecision arithmetic library) documentation
- gmp-ecm (7.0.4+ds-5)
- Factor integers using the Elliptic Curve Method
- gmpc (11.8.16-15)
- GNOME Music Player Client (graphical interface to MPD)
- gmpc-data (11.8.16-15)
- GNOME Music Player Client - data files
- gmpc-dev (11.8.16-15)
- GNOME Music Player Client (plugin development files)
- gmpc-plugins (11.8.16-4)
- Plugins for the GNOME Music Player Client
- gmrun (0.9.2-3)
- Featureful CLI-like GTK+ application launcher
- gmsh (4.1.5+really4.1.3+ds1-1)
- Three-dimensional finite element mesh generator
- gmsh-doc (4.1.5+really4.1.3+ds1-1)
- Three-dimensional finite element mesh generator documentation
- gmsl (1.1.8-1)
- extra functions to extend functionality of GNU Makefiles
- gmt (5.4.5+dfsg-2)
- Generic Mapping Tools
- gmt-common (5.4.5+dfsg-2)
- Generic Mapping Tools - Architecture-independent files
- gmt-dcw (1.1.4-2)
- Digital Chart of the World (DCW) for GMT
- gmt-doc (5.4.5+dfsg-2)
- Documentation for GMT, the Generic Mapping Tools
- gmt-examples (5.4.5+dfsg-2)
- Example scripts illustrating the use of GMT
- gmt-gshhg (2.3.7-4)
- Global Self-consistent Hierarchical High-resolution Geography (GSHHG)
- gmt-gshhg-data
- virtueel pakket geboden door gmt-gshhg-full, gmt-gshhg-low, gmt-gshhg-high
- gmt-gshhg-full (2.3.7-4)
- Full resolution coastlines for the Generic Mapping Tools
- gmt-gshhg-high (2.3.7-4)
- High resolution coastlines for the Generic Mapping Tools
- gmt-gshhg-low (2.3.7-4)
- Low resolution coastlines for the Generic Mapping Tools
- gmt-gshhs-full
- virtueel pakket geboden door gmt-gshhg-full
- gmt-gshhs-high
- virtueel pakket geboden door gmt-gshhg-high
- gmt-gshhs-low
- virtueel pakket geboden door gmt-gshhg-low
- gmtkbabel (0.1-1)
- graphical interface for mtkbabel
- gmtp (1.3.10-1+b1)
- simple file transfer program for MTP based devices
- gmult (8.0-2+b1)
- figure out which letters are which numbers
- gmusicbrowser (1.1.15~ds0-1)
- graphical jukebox for large music collections
- gnarwl (3.6.dfsg-11+b2)
- Email autoresponder based on LDAP
- gnat (8.0.1)
- GNU Ada compiler
- gnat-7 (7.4.0-6)
- GNU Ada compiler
- gnat-7-sjlj (7.4.0-6)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8 (8.3.0-6)
- GNU Ada compiler
- gnat-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-i686-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-mips-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-sjlj (8.3.0-6)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-alpha-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-hppa-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-i686-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-m68k-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mips-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-s390x-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-sh4-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sjlj-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Ada compiler (setjump/longjump runtime library)
- gnat-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Ada compiler
- gnat-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Ada compiler
- gnat-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Ada compiler
- gnat-gps (18-5)
- integrated development environment for C and Ada
- gnat-gps-common (18-5)
- integrated development environment for C and Ada (common files)
- gnat-gps-doc (18-5)
- integrated development environment for C and Ada (documentation)
- gnat-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU Ada compiler for MinGW-w64
- gnat-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU Ada compiler for MinGW-w64 targeting Win32
- gnat-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU Ada compiler for MinGW-w64 targeting Win64
- gngb (20060309-4)
- Color Gameboy emulator
- gniall (0.7.1-8)
- program that tries to learn a human language
- gnocchi-api (4.3.1-3)
- Metric as a Service - API daemon
- gnocchi-common (4.3.1-3)
- Metric as a Service - common files
- gnocchi-metricd (4.3.1-3)
- Metric as a Service - metric daemon
- gnocchi-statsd (4.3.1-3)
- Metric as a Service - statsd daemon
- gnokii (0.6.30+dfsg-1.2)
- Datasuite for mobile phone management (metapackage)
- gnokii-cli (0.6.30+dfsg-1.2+b4)
- Datasuite for mobile phone management (console interface)
- gnokii-common (0.6.30+dfsg-1.2)
- Datasuite for mobile phone management (base files)
- gnokii-smsd (0.6.30+dfsg-1.2+b4)
- SMS Daemon for mobile phones
- gnokii-smsd-mysql (0.6.30+dfsg-1.2+b4)
- SMSD plugin for MySQL storage backend
- gnokii-smsd-pgsql (0.6.30+dfsg-1.2+b4)
- SMSD plugin for PostgreSQL storage backend
- gnomad2 (2.9.6-5+b1)
- Manage a Creative Labs Nomad Jukebox
- gnome (1:3.30+1)
- Full GNOME Desktop Environment, with extra components
- gnome-2048 (3.30.0-2)
- sliding tile puzzle game
- gnome-accessibility-themes (3.28-1)
- High Contrast GTK+ 2 theme and icons
- gnome-api-docs (1:3.30+1)
- API reference documentation for the GNOME libraries
- gnome-applets (3.30.0-3)
- Various applets for the GNOME panel - binary files
- gnome-applets-data (3.30.0-3)
- Various applets for the GNOME panel - data files
- gnome-audio (2.22.2-1)
- Audio files for GNOME
- gnome-backgrounds (3.30.0-1)
- Set of backgrounds packaged with the GNOME desktop
- gnome-bluetooth (3.28.2-4~deb10u1)
- GNOME-bluetooth-hulpmiddelen
- gnome-books (3.31.90-3)
- ebook reader for GNOME
- gnome-boxes (3.30.3-2+deb10u1) [security]
- Simple GNOME app to access remote or virtual systems
- gnome-brave-icon-theme (5.5.1-2)
- blue variation of the GNOME-Colors icon theme
- gnome-breakout (0.5.3-7)
- Clone of the classic game Breakout, written for GNOME
- gnome-builder (3.30.3-2)
- IDE for GNOME
- gnome-calculator (3.30.1-2)
- GNOME desktop calculator
- gnome-calendar (3.30.1-2)
- Calendar application for GNOME
- gnome-cards-data (1:3.22.7-2)
- data files for the GNOME card games
- gnome-characters (3.30.0-2)
- character map application
- gnome-chess (1:3.30.1-1)
- simple chess game
- gnome-clocks (3.30.1-2)
- Simple GNOME app with stopwatch, timer, and world clock support
- gnome-color-manager (3.30.0-2)
- Color management integration for the GNOME desktop environment
- gnome-colors (5.5.1-2)
- set of GNOME icon themes
- gnome-colors-common (5.5.1-2)
- common icons for all GNOME-Colors icon themes
- gnome-common (3.18.0-4)
- common scripts and macros to develop with GNOME
- gnome-contacts (3.30.2-1)
- Contacts manager for GNOME
- gnome-control-center (1:3.30.3-2~deb10u1)
- utilities to configure the GNOME desktop
- gnome-control-center-data (1:3.30.3-2~deb10u1)
- configuration applets for GNOME - data files
- gnome-control-center-dev (1:3.30.3-2~deb10u1)
- utilities to configure the GNOME desktop
- gnome-core (1:3.30+1)
- GNOME Desktop Environment -- essential components
- gnome-desktop-testing (2018.1-2)
- runner for GNOME installed tests
- gnome-desktop3-data (3.30.2.1-2)
- Common files for GNOME desktop apps
- gnome-devel (1:3.30+1)
- GNOME Desktop Environment -- development tools
- gnome-devel-docs (3.30.2-1)
- GNOME developer documentation
- gnome-dictionary (3.26.1-5)
- GNOME dictionary application
- gnome-disk-utility (3.30.2-3)
- manage and configure disk drives and media
- gnome-doc-utils (0.20.10-5)
- deprecated documentation utilities from GNOME 2
- gnome-documents (3.31.92-1)
- Document manager for GNOME
- gnome-dust-icon-theme (5.5.1-2)
- chocolate variation of the GNOME-Colors icon theme
- gnome-dvb-client (1:0.2.91~git20170110-4)
- client for gnome-dvb-daemon
- gnome-dvb-daemon (1:0.2.91~git20170110-4)
- daemon to setup your DVB devices, record and watch TV shows and browse EPG
- gnome-exe-thumbnailer (0.10.0-3)
- transitional dummy package for exe-thumbnailer
- (1.1-3)
- Optional GNOME icons
- gnome-flashback (3.30.0-3)
- helper application for the GNOME Flashback session
- gnome-flashback-common (3.30.0-3)
- GNOME Flashback helper application - common data files
- gnome-font-viewer (3.30.0-2)
- font viewer for GNOME
- gnome-games (1:3.30+1)
- games for the GNOME desktop
- gnome-games-app (3.30.2-2)
- Game browser and launcher for GNOME
- gnome-genius (1.0.24-2)
- advanced general purpose calculator program (GNOME frontend)
- gnome-getting-started-docs (3.30.0-1)
- Help a new user get started in GNOME
- gnome-gmail (2.6-1)
- support for Gmail as the preferred email application in GNOME
- gnome-human-icon-theme (5.5.1-2)
- orange variation of the GNOME-Colors icon theme
- gnome-hwp-support (0.1.6-2)
- HWP document integration for GNOME desktop
- gnome-icon-theme (3.12.0-3)
- GNOME Desktop icon theme
- gnome-icon-theme-gartoon (0.5-4.1)
- Gartoon icon theme for GTK+ 2.x
- gnome-icon-theme-nuovo (0.5-4.2)
- Dropline Nuovo icon theme
- gnome-icon-theme-suede (0.2.5-2)
- Suede GTK+ icon theme
- gnome-icon-theme-symbolic
- virtueel pakket geboden door adwaita-icon-theme
- gnome-icon-theme-yasis (0.4.2-1)
- YASIS (Yet Another Scalable Icon Set)
- gnome-illustrious-icon-theme (5.5.1-2)
- pink variation of the GNOME-Colors icon theme
- gnome-initial-setup (3.30.0-1)
- Initial GNOME system setup helper
- gnome-js-common (0.1.2-2)
- Common modules for GNOME JavaScript interpreters
- gnome-keyring (3.28.2-5)
- GNOME keyring services (daemon and tools)
- gnome-keyring-pkcs11 (3.28.2-5)
- GNOME keyring module for the PKCS#11 module loading library
- gnome-keysign (1.0.1-3)
- easy signing of OpenPGP keys over the local network
- gnome-klotski (1:3.22.3-3)
- Klotski puzzle game for GNOME
- gnome-logs (3.30.0-2)
- viewer for the systemd journal
- gnome-mahjongg (1:3.22.0-4)
- classic Eastern tile game for GNOME
- gnome-maps (3.30.3.1-0+deb10u1)
- map application for GNOME
- gnome-mastermind (0.3.1-3)
- Mastermind™ clone for GNOME
- (3.31.4-3)
- GNOME-implementatie van de freedesktop-menuspecificatie
- gnome-mime-data (2.18.0-2)
- base MIME and Application database for GNOME.
- gnome-mines (1:3.30.1.1-2)
- popular minesweeper puzzle game for GNOME
- gnome-mousetrap (3.17.3-5)
- Head tracked mouse control
- gnome-mpv (0.14-1)
- simple GTK+ frontend for mpv
- gnome-multi-writer (3.30.0-2)
- Write an ISO file to multiple USB devices at once
- gnome-music (3.30.2-1)
- Music is the new GNOME music playing application
- gnome-nds-thumbnailer (3.0.0-1+b2)
- Nintendo DS roms thumbnailer for GNOME
- gnome-nettool (3.8.1-3)
- network information tool for GNOME
- gnome-network-admin
- virtueel pakket geboden door gnome-system-tools
- gnome-nibbles (1:3.24.1-2)
- snake game, up to four players
- gnome-noble-icon-theme (5.5.1-2)
- purple variation of the GNOME-Colors icon theme
- gnome-online-accounts (3.30.1-2)
- service to manage online accounts for the GNOME desktop
- gnome-online-miners (3.30.0-2)
- Crawls through your online content
- gnome-orca (3.30.1-2)
- Scriptable screen reader - transitional package
- gnome-packagekit (3.30.0-1)
- Graphical distribution neutral package manager for GNOME
- gnome-packagekit-data (3.30.0-1)
- Data files for GNOME-PackageKit
- gnome-packagekit-session (3.30.6-5)
- Transitional package (gnome-packagekit-session -> gnome-software)
- gnome-paint (0.4.0-7)
- simple, easy to use paint program for GNOME
- gnome-panel (3.30.0-2)
- traditional panel, used in GNOME Flashback
- gnome-panel-control (3.6.1-8)
- command line utility to invoke GNOME panel run dialog/menu
- gnome-panel-data (3.30.0-2)
- common files for GNOME Panel
- gnome-phone-manager (0.69-2.1)
- control aspects of your mobile phone from your GNOME 2 desktop
- gnome-photos (3.30.1-2)
- application to access, organize and share your photos with GNOME
- gnome-pie (0.7.2-1)
- visual application launcher for GNOME
- gnome-pkg-tools (0.21.1)
- Tools for the Debian GNOME Packaging Team
- gnome-platform-devel (1:3.30+1)
- GNOME development platform
- gnome-power-manager (3.30.0-2)
- power management tool for the GNOME desktop
- gnome-recipes (2.0.2-5)
- Recipe application for GNOME
- gnome-recipes-data (2.0.2-5)
- Recipe application for GNOME (data files)
- gnome-remote-desktop (0.1.7-1)
- Remote desktop daemon for GNOME using PipeWire
- gnome-robots (1:3.22.3-3)
- improved old BSD robots game
- gnome-screensaver (3.6.1-10)
- Screensaver and screen lock formerly used in GNOME
- gnome-screensaver-flags (0.1-1)
- Screensaver for GNOME with flags of the world.
- gnome-screenshot (3.30.0-2)
- screenshot application for GNOME
- gnome-session (3.30.1-2)
- GNOME Session Manager - GNOME 3 session
- gnome-session-bin (3.30.1-2)
- GNOME Session Manager - Minimal runtime
- gnome-session-canberra (0.30-7)
- GNOME session log in and log out sound events
- gnome-session-common (3.30.1-2)
- GNOME Session Manager - common files
- gnome-session-flashback (3.30.0-3)
- traditional desktop session based on GNOME technologies
- gnome-settings-daemon (3.30.2-3)
- daemon handling the GNOME session settings
- gnome-settings-daemon-common (3.30.2-3)
- daemon handling the GNOME session settings - common files
- gnome-settings-daemon-dev (3.30.2-3)
- Headers for building applications communicating with gnome-settings-daemon
- gnome-settings-daemon-schemas (= 3.30.2-3)
- virtueel pakket geboden door gnome-settings-daemon-common
- gnome-shell (3.30.2-11~deb10u2)
- graphical shell for the GNOME desktop
- gnome-shell-common (3.30.2-11~deb10u2)
- common files for the GNOME graphical shell
- gnome-shell-extension-appindicator (22-1)
- AppIndicator/KStatusNotifierItem support for GNOME Shell
- gnome-shell-extension-autohidetopbar (20180908-2)
- GNOME shell automatic topbar hider
- gnome-shell-extension-caffeine (0~git20181105-1)
- GNOME Shell extension to keep your computer awake
- gnome-shell-extension-dash-to-panel (18-1)
- combines the dash and the GNOME main panel into a single panel
- gnome-shell-extension-dashtodock (65-1)
- dash-to-dock extension for GNOME shell
- gnome-shell-extension-desktop-icons (19.01.1-1)
- desktop icon support for GNOME Shell
- gnome-shell-extension-disconnect-wifi (20.0.3.30-1)
- disconnect wifi extension for GNOME shell
- gnome-shell-extension-easyscreencast (1.0.2-2)
- GNOME Shell extension to simplify video recording
- gnome-shell-extension-hard-disk-led (19-1)
- Shows harddisk activity (IO speed read/write and LED) in GNOME Shell
- gnome-shell-extension-hide-activities (0.00~git20131024.1.6574986-1)
- GNOME shell extension that hides the activities button
- gnome-shell-extension-hide-veth (1.0.2-1)
- hides veth devices typically used by docker and lxc
- gnome-shell-extension-impatience (0.4.5-3)
- speed up the gnome-shell animation speed
- gnome-shell-extension-kimpanel (0~20180923-2)
- KDE kimpanel protocol extension for GNOME shell
- gnome-shell-extension-log-out-button (1.0.7-1)
- Adds a log out button to the system action list in GNOME Shell
- gnome-shell-extension-mediaplayer (4.0-1)
- GNOME Shell extension to control media players
- gnome-shell-extension-move-clock (1.01-1)
- move clock extension for GNOME shell
- gnome-shell-extension-multi-monitors (16-1)
- Better support for additional monitors in GNOME shell
- gnome-shell-extension-no-annoyance (0+20170928-f21d09a-1)
- removes GNOME 'Window is ready' notifications
- gnome-shell-extension-onboard (1.4.1-4)
- GNOME Shell extension for the on-screen keyboard Onboard
- gnome-shell-extension-pixelsaver (1.10+git20180804-d97c6e4-1)
- pixel saver extension for GNOME shell
- gnome-shell-extension-redshift (3.20.1-2)
- redshift extension for GNOME Shell
- gnome-shell-extension-remove-dropdown-arrows (11-1)
- removes drop down arrows from panel on GNOME shell
- gnome-shell-extension-shortcuts (1.1.1-1)
- Creates a shortcuts help pop-up in GNOME Shell
- gnome-shell-extension-show-ip (8-3)
- Shows the current private or public IP address
- gnome-shell-extension-suspend-button (0~git20180827-2)
- Gnome-shell extension to modify the suspend/shutdown buttons
- gnome-shell-extension-system-monitor (36-1)
- Display system information in GNOME Shell status bar
- gnome-shell-extension-tilix-dropdown (5.3-1)
- launch tilix in quake-mode from gnome-shell
- gnome-shell-extension-tilix-shortcut (1.0.1-2)
- Adds easy to use configurable keyboard shortcut for tilix
- gnome-shell-extension-top-icons-plus (22-1)
- GNOME Shell extension to move system tray icons to top bar
- gnome-shell-extension-trash (0.2.0-git20161122.ad29112-1)
- trash applet for GNOME shell
- gnome-shell-extension-weather (0~20170402.git34506a6-2)
- weather extension for GNOME Shell
- gnome-shell-extension-workspaces-to-dock (49-1)
- additional options for GNOME workspace switcher
- gnome-shell-extensions (3.30.1-1)
- Extensions to extend functionality of GNOME Shell
- gnome-shell-extensions-gpaste (3.30.2-1)
- GPaste extension for GNOME Shell
- gnome-shell-mailnag (3.28.0-0.1)
- mail notification extension for GNOME Shell
- gnome-shell-pomodoro (0.14.0-1)
- GNOME Shell time-management app
- gnome-shell-pomodoro-data (0.14.0-1)
- Data for GNOME Shell time-management app
- gnome-shell-timer (0.3.20+20171025-2)
- GNOME Shell extension providing a countdown timer in the top panel
- gnome-software (3.30.6-5)
- Software Center for GNOME
- gnome-software-common (3.30.6-5)
- Software Center for GNOME (common files)
- gnome-software-dev (3.30.6-5)
- Software Center for GNOME - development files
- gnome-software-doc (3.30.6-5)
- Software Center for GNOME - documentation
- gnome-software-plugin-flatpak (3.30.6-5)
- Flatpak support for GNOME Software
- gnome-software-plugin-snap (3.30.6-5)
- Snap support for GNOME Software
- gnome-sound-recorder (3.28.2-2~deb10u1)
- simple and modern sound recorder for GNOME
- gnome-split (1.2-3)
- GNOME Split - File splitter for GNOME desktop
- gnome-subtitles (1.4.2-1)
- Subtitle editor for the GNOME Desktop environment
- gnome-sudoku (1:3.30.0-2)
- Sudoku puzzle game for GNOME
- gnome-sushi (3.30.0-2)
- sushi is a quick previewer for nautilus
- gnome-system-log (3.9.90-8)
- system log viewer for GNOME
- gnome-system-monitor (3.30.0-2)
- Processenviewer en systeemmonitor voor GNOME
- gnome-system-tools (3.0.0-8)
- Cross-platform configuration utilities
- gnome-taquin (3.30.0-2)
- game to move tiles so that they reach their places
- gnome-terminal (3.30.2-2)
- GNOME terminal emulator application
- gnome-terminal-data (3.30.2-2)
- Data files for the GNOME terminal emulator
- gnome-tetravex (1:3.22.0-3)
- put tiles on a board and match their edges together
- gnome-theme-gilouche (11.1.2-2)
- openSUSE Gilouche Theme
- (3.28-1)
- Adwaita GTK+ 2 theme — engine
- (3.28-1)
- Adwaita GTK+ 2 theme — common files
- gnome-themes-standard (3.28-1)
- Adwaita GTK+ 2 theme — engine - transitional package
- gnome-todo (3.28.1-2)
- minimalistic personal task manager designed to fit GNOME desktop
- gnome-todo-common (3.28.1-2)
- common files for GNOME To Do
- gnome-tweak-tool (3.30.2-1)
- adjust advanced settings for GNOME - transitional package
- gnome-tweaks (3.30.2-1)
- tool to adjust advanced configuration settings for GNOME
- gnome-twitch (0.4.1-3)
- GNOME Twitch app for watching Twitch.tv streams without a browser or flash
- gnome-twitch-player-backend-gstreamer-cairo (0.4.1-3)
- Player backend for GNOME Twitch based on gstreamer+cairo
- gnome-twitch-player-backend-gstreamer-clutter (0.4.1-3)
- Player backend for GNOME Twitch based on gstreamer+clutter
- gnome-twitch-player-backend-gstreamer-opengl (0.4.1-3)
- Player backend for GNOME Twitch based on gstreamer+opengl
- gnome-twitch-player-backend-mpv-opengl (0.4.1-3)
- Player backend for GNOME Twitch based on mpv
- gnome-usage (3.30.0-2)
- simple system monitor app for GNOME
- gnome-user-docs (3.30.2-1)
- GNOME user docs
- gnome-user-guide (3.30.2-1)
- GNOME user's guide (transitional package)
- gnome-user-share (3.28.0-2)
- User level public file sharing via WebDAV
- gnome-video-arcade (0.8.8-4)
- Simple MAME frontend
- gnome-video-effects (0.4.3-3)
- Collection of GStreamer effects
- gnome-video-effects-dev (0.4.3-3)
- Development files for gnome-video-effects
- gnome-video-effects-frei0r (0.4.3-3)
- GNOME Video Effects - frei0r plugins
- gnome-weather (3.26.0-6~deb10u1)
- access current conditions and forecasts
- gnome-wine-icon-theme (5.5.1-2)
- red variation of the GNOME-Colors icon theme
- gnome-wise-icon-theme (5.5.1-2)
- green variation of the GNOME-Colors icon theme
- gnome-www-browser
- virtueel pakket geboden door epiphany-browser, firefox-esr, chromium
- gnomekiss (2.0-6)
- KiSS paper doll viewer for GNOME
- gnomint (1.3.0-1)
- X.509 Certification Authority management tool for GNOME
- gnote (3.30.0-2)
- desktop note taking program using Wiki style links
- gnss-sdr (0.0.10-4)
- Global navigation satellite systems software defined receiver
- gntp-send (0.3.4-3)
- Command line application to send growl message with GNTP
- gnu-efi (3.0.9-1)
- Library for developing EFI applications
- gnu-standards (2010.03.11-1)
- GNU-standaarden voor codering en pakketonderhoud
- gnuais (0.3.3-8+b1)
- AIS receiver which uses the discriminator output of VHF receivers
- gnuaisgui (0.3.3-8+b1)
- OpenStreetMap GUI for gnuais
- gnuastro (0.8-1)
- GNU Astronomy Utilities programs
- gnubg (1.06.002-1+deb10u1)
- graphical or console backgammon program with analysis
- gnubg-data (1.06.002-1+deb10u1)
- data files for GNU Backgammon
- gnubiff (2.2.17-2)
- mail notification program for GNOME (and others)
- gnubik (2.4.3-3)
- 3D Rubik's cube game
- gnuboy-sdl (1.0.3-7.1) [contrib]
- SDL binaries for gnuboy - Game Boy Emulator
- gnuboy-x (1.0.3-7.1) [contrib]
- X binaries for gnuboy - Game Boy Emulator
- gnucap (1:0.36~20171003-1)
- GNU Circuit Analysis package, main executable
- gnucap-common (1:0.36~20171003-1)
- GNU Circuit Analysis package, development headers
- gnucap-default-plugins0 (1:0.36~20171003-1)
- GNU Circuit Analysis package, default plugins
- gnucap-python (0.0.2-1.1)
- GNU Circuit Analysis package, Python command plugin
- gnucash (1:3.4-1+deb10u1)
- personal and small-business financial-accounting software
- gnucash-common (1:3.4-1+deb10u1)
- common files for the financial-accounting software Gnucash
- gnucash-docs (3.4-1)
- Documentation for gnucash, a personal finance tracking program
- gnuchess (6.2.5-1)
- Plays a game of chess, either against the user or against itself
- gnuchess-book (1.02-2)
- Opening book for gnuchess
- gnucobol (2.2-5)
- COBOL compiler
- gnudatalanguage (0.9.9-10)
- Free IDL compatible incremental compiler
- gnudoq (0.94-2.2+b1)
- An open source, graphical Su Doku generator and solver with printer support
- gnugo (3.8-9+b3)
- Go spelen
- gnuhtml2latex (0.4-3)
- Convert HTML files to LaTeX
- gnuit (4.9.5-3+b3)
- GNU Interactive Tools, a file browser/viewer and process viewer/killer
- gnujump (1.0.8-3+b1)
- platform game where you have to jump up to survive
- gnujump-data (1.0.8-3)
- platform game where you have to jump up to survive - data files
- gnukhata-core (5.50-1)
- Free Accounting Software (Core Engine)
- gnukhata-core-engine (2.6.1-3)
- Free Accounting Software (Core Engine)
- gnulib (20140202+stable-4)
- GNU Portability Library
- gnumach
- virtueel pakket geboden door gnumach-image-1.8-xen-486-dbg, gnumach-image-1.8-486-dbg
- gnumach-common (2:1.8+git20190109-1)
- GNU version of the Mach microkernel, common files.
- gnumach-dev (2:1.8+git20190109-1)
- GNU version of the Mach microkernel
- gnumach-image
- virtueel pakket geboden door gnumach-image-1.8-xen-486, gnumach-image-1.8-486
- gnumach-image-1-486 (2:1.8+git20190109-1)
- GNU version of the Mach microkernel
- gnumach-image-1-xen-486 (2:1.8+git20190109-1)
- GNU version of the Mach microkernel
- gnumach-image-1.8-486 (2:1.8+git20190109-1)
- GNU version of the Mach microkernel
- gnumach-image-1.8-486-dbg (2:1.8+git20190109-1)
- GNU version of the Mach microkernel for debugging
- gnumach-image-1.8-xen-486 (2:1.8+git20190109-1)
- GNU version of the Mach microkernel for Xen
- gnumach-image-1.8-xen-486-dbg (2:1.8+git20190109-1)
- GNU version of the Mach microkernel for Xen for debugging
- gnumail.app (1.3.0-1+b1)
- Mail client for GNUstep
- gnumail.app-common (1.3.0-1)
- Mail client for GNUstep (common files)
- gnumed-client (1.7.5+dfsg-3)
- medical practice management - Client
- gnumed-client-de (1.7.5+dfsg-3)
- medical practice management - Client for German users
- gnumed-common (1.7.5+dfsg-3)
- medical practice management - common files
- gnumed-doc (1.7.5+dfsg-3)
- medical practice management - Documentation
- gnumed-server (22.5-1)
- medical practice management - server
- gnumeric (1.12.44-1)
- Rekenbladtoepassing voor GNOME - hoofdprogramma
- gnumeric-common (1.12.44-1)
- spreadsheet application for GNOME - common files
- gnumeric-doc (1.12.44-1)
- spreadsheet application for GNOME - documentation
- (1.12.44-1)
- spreadsheet application for GNOME - additional plugins
- gnuminishogi (1.4.2-6)
- program to play minishogi, a shogi variant on a 5x5 board
- gnunet (0.10.1-5.1+b1)
- GNU's framework for secure peer-to-peer networking (meta)
- gnunet-dev (0.10.1-5.1+b1)
- GNU's framework for secure peer-to-peer networking (development)
- gnunet-fuse (0.10.0-2+b1)
- secure, trust-based peer-to-peer framework (fuse filesystem client)
- gnunet-gtk (0.10.1-5+b2 [amd64], 0.10.1-5+b1 [arm64, armhf, i386])
- GNU's framework for secure peer-to-peer networking (GTK+ client)
- gnunet-gtk-dev (0.10.1-5+b2 [amd64], 0.10.1-5+b1 [arm64, armhf, i386])
- GNU's framework for secure peer-to-peer networking (GTK+ client development)
- gnupg (2.2.12-1+deb10u2)
- GNU privacy guard - a free PGP replacement
- gnupg-agent (2.2.12-1+deb10u2)
- GNU privacy guard - cryptographic agent (dummy transitional package)
- gnupg-agent
- virtueel pakket geboden door gpg-agent
- gnupg-l10n (2.2.12-1+deb10u2)
- GNU privacy guard - localization files
- gnupg-pkcs11-scd (0.9.2-1)
- GnuPG smart-card daemon with PKCS#11 support
- gnupg-pkcs11-scd-proxy (0.9.2-1)
- GnuPG smart-card daemon with PKCS#11 support, proxy
- gnupg-utils (2.2.12-1+deb10u2)
- GNU privacy guard - utility programs
- gnupg1 (1.4.23-1)
- GNU privacy guard - a PGP implementation (deprecated "classic" version)
- gnupg1-curl
- virtueel pakket geboden door gnupg1
- gnupg1-l10n (1.4.23-1)
- GNU privacy guard "classic" - localization files (deprecated)
- gnupg2 (2.2.12-1+deb10u2)
- GNU privacy guard - a free PGP replacement (dummy transitional package)
- gnuplot (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program.
- gnuplot
- virtueel pakket geboden door gnuplot-nox, gnuplot-x11, gnuplot-qt
- gnuplot-data (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program. Data-files
- gnuplot-doc (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program. Doc-package
- gnuplot-mode (1:0.7.0-2014-12-31-1)
- Transition Package, gnuplot-mode to elpa-gnuplot-mode
- gnuplot-mode
- virtueel pakket geboden door elpa-gnuplot-mode
- gnuplot-nox (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program. No-X package
- gnuplot-nox
- virtueel pakket geboden door gnuplot-x11, gnuplot-qt
- gnuplot-qt (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program. QT-package
- gnuplot-x11 (5.2.6+dfsg1-1+deb10u1)
- Command-line driven interactive plotting program. X-package
- gnuplot-x11
- virtueel pakket geboden door gnuplot-qt
- gnupod-tools (0.99.8-5)
- command-line tools for the iPod family of portable music players
- gnuradio (3.7.13.4-4+b1)
- GNU Radio Software Radio Toolkit
- gnuradio-dev (3.7.13.4-4+b1)
- GNU Software Defined Radio toolkit development
- gnuradio-doc (3.7.13.4-4)
- GNU Software Defined Radio toolkit documentation
- gnurobbo (0.68+dfsg-4)
- logic game ported from ATARI XE/XL
- gnurobbo-data (0.68+dfsg-4)
- logic game ported from ATARI XE/XL - data files
- gnuserv (3.12.8-7)
- Allows you to attach to an already running Emacs
- gnushogi (1.4.2-6)
- program to play shogi, the Japanese version of chess
- gnusim8085 (1.4.1-1)
- Graphical Intel 8085 simulator, assembler and debugger
- gnustep (7.10)
- User applications for the GNUstep Environment
- gnustep-back
- virtueel pakket geboden door gnustep-back0.27
- gnustep-back-common (0.27.0-2)
- GNUstep GUI Backend - common files
- gnustep-back0.27 (0.27.0-2)
- GNUstep GUI Backend
- gnustep-back0.27-alt
- virtueel pakket geboden door gnustep-back0.27-art, gnustep-back0.27-cairo, gnustep-back0.27-xlib
- gnustep-back0.27-art (0.27.0-2)
- GNUstep GUI Backend (art)
- gnustep-back0.27-cairo (0.27.0-2)
- GNUstep GUI Backend (cairo)
- gnustep-back0.27-xlib (0.27.0-2)
- GNUstep GUI Backend (xlib)
- gnustep-base-common (1.26.0-4+deb10u1)
- GNUstep Base library - common files
- gnustep-base-doc (1.26.0-4+deb10u1)
- Documentation for the GNUstep Base Library
- gnustep-base-runtime (1.26.0-4+deb10u1)
- GNUstep Base library - daemons and tools
- gnustep-common (2.7.0-4)
- Common files for the core GNUstep environment
- gnustep-core-devel (7.10)
- GNUstep Development Environment -- core libraries
- gnustep-core-doc (7.10)
- GNUstep Development Environment -- core documentation
- gnustep-devel (7.10)
- GNUstep Development Environment -- development tools
- gnustep-dl2 (0.12.0-15.1+b3)
- Objective-C Classes needed for Database Access
- gnustep-dl2-postgresql-adaptor (0.12.0-15.1+b3)
- gnustep-dl2 adaptor to connect to PostgreSQL
- gnustep-dl2-sqlite-adaptor (0.12.0-15.1+b3)
- gnustep-dl2 adaptor to connect to SQLite
- gnustep-examples (1:1.4.0-2+b1)
- GNUstep example applications
- gnustep-fslayout-fhs
- virtueel pakket geboden door gnustep-common
- gnustep-games (7.10)
- GNUstep based games
- gnustep-gui-common (0.27.0-5)
- GNUstep GUI Library - common files
- gnustep-gui-doc (0.27.0-5)
- Documentation for the GNUstep GUI Library
- gnustep-gui-runtime (0.27.0-5)
- GNUstep GUI Library - runtime files
- gnustep-icons (1.0-6)
- Several free icons for use with GNUstep and others
- gnustep-make (2.7.0-4)
- GNUstep build system
- gnustep-make-doc (2.7.0-4)
- Documentation for GNUstep Make
- gnutls-bin (3.6.7-4+deb10u12) [security]
- GNU TLS library - commandline utilities
- gnutls-dev
- virtueel pakket geboden door libgnutls28-dev
- gnutls-doc (3.6.7-4+deb10u12) [security]
- GNU TLS library - documentation and examples
- go-bindata (3.0.7+git20151023.72.a0ff256-3+b11)
- embed data in a Go program
- go-compiler
- virtueel pakket geboden door gccgo-7, gccgo, gccgo-8
- go-cve-dictionary (0.3.1-1+b20)
- builds a local copy of the NVD/JVN
- go-dep (0.5.1+really0.5.0-1)
- Go dependency management tool
- go-exploitdb (0.0~git20181130.7c961e7-1+b21)
- builds a local copy of the Exploit-DB (OffensiveSecurity)
- go-i18n
- virtueel pakket geboden door goi18n
- go-md2man (1.0.8+ds-1+b10)
- utility to create manpages from markdown
- go-mtpfs (0.0~git20180209.d6f8f3c-1)
- Mount MTP devices over FUSE
- goaccess (1:1.2-4+b10)
- log analyzer and interactive viewer for the Apache Webserver
- goattracker (2.74+dfsg1-1)
- C64 music editor
- gob2 (2.0.20-2)
- GTK+ Object Builder
- goban-original-games (1.1-5)
- Original games set for the Goban screensaver
- goban-ss (1.1-5)
- Goban screensaver
- gobby (0.6.0~20170204~e5c2d1-3)
- infinote-based collaborative text editor
- gobi-loader (0.6-1+b2) [contrib]
- Firmware loader for Qualcomm Gobi USB chipsets.
- gobjc (4:8.3.0-1)
- GNU Objective-C compiler
- gobjc++ (4:8.3.0-1)
- GNU Objective-C++ compiler
- gobjc++-7 (7.4.0-6)
- GNU Objective-C++ compiler
- gobjc++-7-multilib (7.4.0-6)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8 (8.3.0-6)
- GNU Objective-C++ compiler
- gobjc++-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-i686-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-mips-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-multilib (8.3.0-6)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Objective-C++ compiler (multilib support)
- gobjc++-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C++ compiler
- gobjc++-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Objective-C++ compiler
- gobjc++-aarch64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the arm64 architecture
- gobjc++-alpha-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the alpha architecture
- gobjc++-arm-linux-gnueabi (4:8.3.0-1)
- GNU Objective-C++ compiler for the armel architecture
- gobjc++-arm-linux-gnueabihf (4:8.3.0-1)
- GNU Objective-C++ compiler for the armhf architecture
- gobjc++-hppa-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the hppa architecture
- gobjc++-i686-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the i386 architecture
- gobjc++-m68k-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the m68k architecture
- gobjc++-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C++ compiler for MinGW-w64
- gobjc++-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C++ compiler for MinGW-w64 targeting Win32
- gobjc++-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C++ compiler for MinGW-w64 targeting Win64
- gobjc++-mips-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the mips architecture
- gobjc++-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64 architecture
- gobjc++-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Objective-C++ compiler for the mips64el architecture
- gobjc++-mipsel-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the mipsel architecture
- gobjc++-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mipsr6 architecture
- gobjc++-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mipsr6el architecture
- gobjc++-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64r6 architecture
- gobjc++-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64r6el architecture
- gobjc++-multilib (4:8.3.0-1)
- GNU Objective-C++ compiler (multilib files)
- gobjc++-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the i386 architecture
- gobjc++-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the mips architecture
- gobjc++-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64 architecture
- gobjc++-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Objective-C++ compiler for the mips64el architecture
- gobjc++-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the mipsel architecture
- gobjc++-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mipsr6 architecture
- gobjc++-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mipsr6el architecture
- gobjc++-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64r6 architecture
- gobjc++-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C++ compiler for the mips64r6el architecture
- gobjc++-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the powerpc architecture
- gobjc++-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the ppc64 architecture
- gobjc++-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the s390x architecture
- gobjc++-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the sparc64 architecture
- gobjc++-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the amd64 architecture
- gobjc++-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Objective-C++ compiler for the x32 architecture
- gobjc++-powerpc-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the powerpc architecture
- gobjc++-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU Objective-C++ compiler for the powerpcspe architecture
- gobjc++-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the ppc64 architecture
- gobjc++-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the ppc64el architecture
- gobjc++-riscv64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the riscv64 architecture
- gobjc++-s390x-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the s390x architecture
- gobjc++-sh4-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the sh4 architecture
- gobjc++-sparc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the sparc64 architecture
- gobjc++-x86-64-linux-gnu (4:8.3.0-1)
- GNU Objective-C++ compiler for the amd64 architecture
- gobjc++-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Objective-C++ compiler for the x32 architecture
- gobjc-7 (7.4.0-6)
- GNU Objective-C compiler
- gobjc-7-multilib (7.4.0-6)
- GNU Objective-C compiler (multilib support)
- gobjc-8 (8.3.0-6)
- GNU Objective-C compiler
- gobjc-8-aarch64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-alpha-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-arm-linux-gnueabi (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-arm-linux-gnueabihf (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-hppa-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-i686-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-m68k-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-mips-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-multilib (8.3.0-6)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-i686-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mips-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mips64-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mips64el-linux-gnuabi64 (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mipsel-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mipsisa32r6-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mipsisa32r6el-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mipsisa64r6-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-mipsisa64r6el-linux-gnuabi64 (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-s390x-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler (multilib support)
- gobjc-8-multilib-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Objective-C compiler (multilib support)
- gobjc-8-powerpc-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-powerpc-linux-gnuspe (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-powerpc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-powerpc64le-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-riscv64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-s390x-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-sh4-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-sparc64-linux-gnu (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-8-x86-64-linux-gnu (8.3.0-2cross1)
- GNU Objective-C compiler
- gobjc-8-x86-64-linux-gnux32 (8.3.0-2cross2)
- GNU Objective-C compiler
- gobjc-aarch64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the arm64 architecture
- gobjc-alpha-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the alpha architecture
- gobjc-arm-linux-gnueabi (4:8.3.0-1)
- GNU Objective-C compiler for the armel architecture
- gobjc-arm-linux-gnueabihf (4:8.3.0-1)
- GNU Objective-C compiler for the armhf architecture
- gobjc-hppa-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the hppa architecture
- gobjc-i686-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the i386 architecture
- gobjc-m68k-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the m68k architecture
- gobjc-mingw-w64 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C compiler for MinGW-w64
- gobjc-mingw-w64-i686 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C compiler for MinGW-w64 targeting Win32
- gobjc-mingw-w64-x86-64 (8.3.0-6+21.3~deb10u2)
- GNU Objective-C compiler for MinGW-w64 targeting Win64
- gobjc-mips-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the mips architecture
- gobjc-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64 architecture
- gobjc-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Objective-C compiler for the mips64el architecture
- gobjc-mipsel-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the mipsel architecture
- gobjc-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C compiler for the mipsr6 architecture
- gobjc-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C compiler for the mipsr6el architecture
- gobjc-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64r6 architecture
- gobjc-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64r6el architecture
- gobjc-multilib (4:8.3.0-1)
- GNU Objective-C compiler (multilib files)
- gobjc-multilib-i686-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the i386 architecture
- gobjc-multilib-mips-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the mips architecture
- gobjc-multilib-mips64-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64 architecture
- gobjc-multilib-mips64el-linux-gnuabi64 (4:8.3.0-1)
- GNU Objective-C compiler for the mips64el architecture
- gobjc-multilib-mipsel-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the mipsel architecture
- gobjc-multilib-mipsisa32r6-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C compiler for the mipsr6 architecture
- gobjc-multilib-mipsisa32r6el-linux-gnu (4:8.3.0-1.1)
- GNU Objective-C compiler for the mipsr6el architecture
- gobjc-multilib-mipsisa64r6-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64r6 architecture
- gobjc-multilib-mipsisa64r6el-linux-gnuabi64 (4:8.3.0-1.1)
- GNU Objective-C compiler for the mips64r6el architecture
- gobjc-multilib-powerpc-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the powerpc architecture
- gobjc-multilib-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the ppc64 architecture
- gobjc-multilib-s390x-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the s390x architecture
- gobjc-multilib-sparc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the sparc64 architecture
- gobjc-multilib-x86-64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the amd64 architecture
- gobjc-multilib-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Objective-C compiler for the x32 architecture
- gobjc-powerpc-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the powerpc architecture
- gobjc-powerpc-linux-gnuspe (4:8.3.0-1)
- GNU Objective-C compiler for the powerpcspe architecture
- gobjc-powerpc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the ppc64 architecture
- gobjc-powerpc64le-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the ppc64el architecture
- gobjc-riscv64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the riscv64 architecture
- gobjc-s390x-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the s390x architecture
- gobjc-sh4-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the sh4 architecture
- gobjc-sparc64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the sparc64 architecture
- gobjc-x86-64-linux-gnu (4:8.3.0-1)
- GNU Objective-C compiler for the amd64 architecture
- gobjc-x86-64-linux-gnux32 (4:8.3.0-1)
- GNU Objective-C compiler for the x32 architecture
- gobject-introspection (1.58.3-2)
- Generate interface introspection data for GObject libraries
- gobuster (2.0.1-1+deb10u1) [security]
- Directory/file & DNS busting tool written in Go
- goby (1.1+0.20180214-3)
- WYSIWYG presentation tool for Emacs
- gocr (0.52-1)
- Command line OCR
- gocr-dev (0.52-1)
- Header files for OCR
- gocr-tk (0.52-1)
- tcl/tk wrapper around gocr
- gocryptfs (1.6.1-1+b20)
- Encrypted overlay filesystem written in Go
- god
- virtueel pakket geboden door ruby-god
- godot3 (3.0.6-2)
- Full 2D and 3D game engine with editor
- godot3-runner (3.0.6-2)
- Godot game engine run-time
- godot3-server (3.0.6-2)
- Headless Godot game engine run-time
- gogglesmm (0.12.7-3+b2)
- Goggles Music Manager
- gogoprotobuf (1.0.0+git20180330.1ef32a8b-1+b10)
- alternative protocol buffer support for Golang - utilities
- gogottrpc (0.0~git20180205.d452837-1+b10)
- GRPC for low-memory environments - utilities
- goi18n (1.10.0-2+b10)
- Formats and merges translation files for Go
- goiardi (0.11.9-3)
- Chef server written in Go
- goiardi-doc (0.11.9-3)
- Documentation for Goiardi
- gokey (0.0~git20190103.40eba7e+really0.0~git20181023.b4e2780-3+deb10u1) [security]
- simple vaultless password manager in Go
- golang (2:1.11~1)
- Go programming language compiler - metapackage
- golang-1.11 (1.11.6-1+deb10u7) [security]
- Go programming language compiler - metapackage
- golang-1.11-doc (1.11.6-1+deb10u7) [security]
- Go programming language - documentation
- golang-1.11-go (1.11.6-1+deb10u7) [security]
- Go programming language compiler, linker, compiled stdlib
- golang-1.11-src (1.11.6-1+deb10u7) [security]
- Go programming language - source files
- golang-any (2:1.11~1)
- Go programming language -- gccgo on "non-Go" platforms
- golang-barcode-dev (0.0~git20140830-2)
- Barcode creation lib for golang
- golang-bazil-fuse-dev (0.0~git20160811.0.371fbbd-3)
- FUSE library for Go
- golang-bindata-dev
- virtueel pakket geboden door golang-github-jteeuwen-go-bindata-dev
- golang-bitbucket-pkg-inflect-dev (0.0~hg20130829.20.8961c37-6)
- Go library to transform words from singular to plural
- golang-blackfriday-dev
- virtueel pakket geboden door golang-github-russross-blackfriday-dev
- golang-blitiri-go-log-dev (0.0+git20170910.0.2b2e1b6-1)
- Simple logging library in Go
- golang-blitiri-go-spf-dev (0.0+git20170821.0.33aa985-1)
- SPF (Sender Policy Framework) implementation in Go
- golang-blitiri-go-systemd-dev (0.0+git20170821.0.aec3508-1)
- Utilities to interact with systemd sockets in Go
- golang-cfssl (1.2.0+git20160825.89.7fb22c8-3+b13)
- CloudFlare's PKI and TLS toolkit
- golang-check.v1-dev (0.0+git20180628.788fd78-1)
- Transitional package for golang-gopkg-check.v1-dev
- golang-check.v1-dev
- virtueel pakket geboden door golang-gopkg-check.v1-dev
- golang-clockwork-dev (0.1.0-4)
- Transitional package for golang-github-jonboulle-clockwork-dev
- golang-code.gitea-git-dev (0.0~git20171222.4ec3654-3)
- Go module that provides git access through shell
- golang-code.gitea-sdk-dev (0.0~git20171220.79eee8f-3)
- SDK implementation to interact with the Gitea API
- golang-codegangsta-cli-dev (1.18.0-3)
- Transitional package for golang-github-codegangsta-cli-dev
- golang-codegangsta-cli-dev
- virtueel pakket geboden door golang-github-codegangsta-cli-dev
- golang-codesearch-dev (0.0~hg20120502-3)
- regexp search over large bodies of source (development files)
- golang-collectd-dev (0.3.0+git20181025.f80706d-1)
- Utilities for using collectd together with Golang
- golang-context-dev (1.1-3)
- Transitional package for golang-github-gorilla-context-dev
- golang-coreos-log-dev (0.0~git20140508-5)
- simple logging library for Go
- golang-dbus-dev (4.1.0-1)
- Go client bindings for D-Bus
- golang-dns-dev (1.0.4+ds-1)
- transitional package for golang-github-miekg-dns-dev
- golang-dns-dev
- virtueel pakket geboden door golang-github-miekg-dns-dev
- golang-doc (2:1.11~1)
- Go programming language - documentation
- golang-docker-credential-helpers (0.6.1-2+deb10u1)
- native stores to safeguard Docker credentials
- golang-docker-dev (18.09.1+dfsg1-7.1+deb10u3)
- Transitional package for golang-github-docker-docker-dev
- golang-easyjson (0.0~git20161103.0.159cdb8-1.1)
- Fast JSON serializer for golang
- golang-eclipse-paho-dev
- virtueel pakket geboden door golang-github-eclipse-paho.mqtt.golang-dev
- golang-ed25519-dev (0~20140907.d2b94fd-4)
- Go implementation of the Ed25519 signature algorithm
- golang-etcd-dev
- virtueel pakket geboden door golang-github-coreos-go-etcd-dev
- golang-etcd-server-dev (3.2.26+dfsg-3+deb10u1) [security]
- highly-available key value store -- source
- golang-ginkgo-dev (1.2.0+git20161006.acfa16a-1)
- BDD Testing Framework for Go
- golang-gitaly-proto-dev (0.123.0+dfsg-2)
- Protobuf specifications and client libraries for Gitaly
- golang-github-14rcole-gopopulate-dev (0.0~git20171207.91c73a7-1)
- Small library to populate a directory with random data
- golang-github-a8m-tree-dev (0.0~git20171213.cf42b1e-1)
- implementation of the tree command
- golang-github-aanand-compose-file-dev (0.0~git20161122.0.a3e5876-1)
- Parser for the Docker compose file format version 3
- golang-github-abbot-go-http-auth-dev (0.0~git20150714.0.46b9627-2)
- Basic and Digest HTTP Authentication for golang http
- golang-github-adroll-goamz-dev (0.0~git20170225.0.c5d7d9b-1)
- enables Go programs to interact with Amazon Web Services
- golang-github-aead-chacha20-dev (0.0~git20180709.8b13a72-1)
- ChaCha20 and XChaCha20 stream ciphers go library
- golang-github-aead-poly1305-dev (0.0~git20170715.6cf43fd-2)
- poly1305 message authentication code go library
- golang-github-aelsabbahy-gonetstat-dev (0.0~git20160428.0.edf89f7-3)
- Netstat implementation in Go
- golang-github-agtorre-gocolorize-dev (1.0.0-3)
- Stateful ANSI coloring for Go
- golang-github-ajg-form-dev (1.5+git20160822.523a5da-1)
- Form Encoding & Decoding Package for Go
- golang-github-akavel-rsrc-dev (2+git20151103.6.ba14da1-2)
- Go library for embedding binary resources in executables
- golang-github-akrennmair-gopcap-dev (0.0~git20150728.0.00e1103-2)
- simple wrapper around libpcap for Golang
- golang-github-alecthomas-assert-dev (0.0~git20170929.405dbfe-1)
- Fork of stretchr/testify/assert that uses FailNow()
- golang-github-alecthomas-chroma-dev (0.6.3+really0.6.2-1)
- general purpose syntax highlighter in pure Go -- sources
- golang-github-alecthomas-colour-dev (0.0~git20160524.60882d9-1)
- Quake-style colour formatting for Unix terminals
- golang-github-alecthomas-kingpin-dev
- virtueel pakket geboden door golang-gopkg-alecthomas-kingpin.v3-dev
- golang-github-alecthomas-kong-dev (0.1.15-1)
- command-line parser for Go
- golang-github-alecthomas-repr-dev (0.0~git20181024.d37bc2a-2)
- Python's repr() for Go
- golang-github-alecthomas-units-dev (0.0~git20151022.0.2efee85-4)
- Go package for parsing byte units
- golang-github-aleksi-pointer-dev (1.0.0+git20180620.11deede-1)
- helpers to get pointers to values of built-in types
- golang-github-alicebob-miniredis-dev (2.2.1-3)
- Pure Go Redis server for Go unittests
- golang-github-aliyun-aliyun-oss-go-sdk-dev (1.5.0+dfsg1-1)
- Alibaba Cloud OSS SDK for Go
- golang-github-andreyvit-diff-dev (0.0~git20170406.c7f18ee-1)
- quick'n'easy string diffs for Golang
- golang-github-andybalholm-cascadia-dev (0.0~git20161224.0.349dd02-1)
- CSS selector library in Go
- golang-github-apex-log-dev (1.1.0-1)
- structured logging package for Go
- golang-github-apparentlymart-go-openvpn-mgmt-dev (0.0~git20161009.9a305ae-1)
- Go client library for OpenVPN's management protocol
- golang-github-appc-cni-dev (0.4.0+dfsg-1)
- container network interface
- golang-github-appc-docker2aci-dev (0.17.2+dfsg-2)
- library to convert Docker images to ACIs
- golang-github-appc-goaci-dev (0.1.1-2)
- build go projects into ACIs
- golang-github-appc-spec-dev (0.8.11+dfsg-2)
- App Container Specification (appc) - source
- golang-github-approvals-go-approval-tests-dev (0.0~git20170712.0.c1e747e-1)
- ApprovalTests for go
- golang-github-araddon-gou-dev (0.0~git20180509.7db4be5-1)
- logging and json helpers for Go
- golang-github-armon-circbuf-dev (0.0~git20150827.0.bbbad09-2)
- Golang circular (ring) buffer
- golang-github-armon-consul-api-dev (0.0~git20150107.0.dcfedd5-4)
- [DEPRECATED] Golang API client for Consul
- golang-github-armon-go-metrics-dev (0.0~git20180917.f0300d1-1)
- library for exporting performance and runtime metrics
- golang-github-armon-go-radix-dev (1.0.0-1)
- Golang implementation of Radix trees
- golang-github-armon-go-socks5-dev (0.0~git20160902.e753329-1)
- SOCKS5 server in Golang
- golang-github-asaskevich-govalidator-dev (9+git20180720.0.f9ffefc3-1)
- Validators and sanitizers for strings, numerics, slices and structs
- golang-github-atotto-clipboard-dev (0.1.1-1)
- Clipboard for Go
- golang-github-audriusbutkevicius-go-nat-pmp-dev (0.0~git20160522.452c976-1)
- Go language client for the NAT-PMP internet
- golang-github-audriusbutkevicius-kcp-go-dev (20160629+git20171025.8ae5f52-5)
- full-featured reliable UDP communication library
- golang-github-audriusbutkevicius-pfilter-dev (0.0.3-3)
- filtering packets into multiple virtual connections
- golang-github-avast-retry-go-dev (1.0.2-1)
- retry mechanism library for Go
- golang-github-aviau-gopass-dev (1.2.0-2+deb10u1) [security]
- pass implementation in Go - dev package
- golang-github-awalterschulze-gographviz-dev (2.0+git20180607.da5c847-1)
- Graphviz DOT language in golang
- golang-github-aws-aws-sdk-go-dev (1.16.18+dfsg-1)
- AWS SDK for the Go programming language
- golang-github-azure-azure-pipeline-go-dev (0.1.7-1)
- HTTP request/response middleware pipeline
- golang-github-azure-azure-sdk-for-go-dev (10.3.0~beta-1)
- Microsoft Azure SDK for Go
- golang-github-azure-azure-storage-blob-go-dev (0.3.0-1)
- Microsoft Azure Blob Storage Library for Go
- golang-github-azure-go-ansiterm-dev (0.0~git20160622.0.fa152c5-2)
- Go package for ANSI terminal emulation in Windows
- golang-github-azure-go-autorest-dev (8.3.1-1)
- HTTP request pipeline suitable for use across multiple go-routines
- golang-github-azure-go-ntlmssp-dev (0.0~git20170206.0.29affce-1)
- NTLM/Negotiate authentication over HTTP (Go library)
- golang-github-azure-go-pkcs12-dev (0.0~git20150623.0.a635c06-3)
- PCKS#12 implementation for Go
- golang-github-beevik-etree-dev (1.0.0+git20171015.af219c0-1)
- parse and generate XML easily in go
- golang-github-benbjohnson-tmpl-dev (0.0~git20160209.0.8e77bc5-5)
- Command line interface to Go's text/template library - dev package
- golang-github-beorn7-perks-dev (0.0~git20160804.0.4c0e845-1)
- effective computation of things
- golang-github-bep-debounce-dev (1.2.0+really1.1.0-1)
- Debouncer written in Go
- golang-github-bep-gitmap-dev (1.0.0-1)
- map all filenames to info objects for a given git revision
- golang-github-bep-go-tocss-dev (0.6.0-1)
- simple-to-use LibSass Go API
- golang-github-bep-inflect-dev (0.0~git20160408.0.b896c45-2)
- inflection rules for the English language
- golang-github-bgentry-go-netrc-dev (0.0~git20140422.0.9fd32a8-1)
- Golang package for reading and writing netrc files
- golang-github-bgentry-speakeasy-dev (0.1.0-1)
- Golang helpers for reading password input without cgo
- golang-github-biogo-hts-dev (1.0.1+dfsg1-2)
- biogo high throughput sequencing repository
- golang-github-bitly-go-simplejson-dev (0.5.0-5)
- Golang library to interact with arbitrary JSON
- golang-github-bkaradzic-go-lz4-dev (1.0.0-4)
- port of the LZ4 lossless compression algorithm to Go
- golang-github-blang-semver-dev (3.5.1-1)
- semantic versioning library
- golang-github-blevesearch-bleve-dev (0.5.0+git20170912.278.6eea5b78-4)
- modern text indexing library for go
- golang-github-blevesearch-go-porterstemmer-dev (1.0.1+git20141230.9.23a2c8e-5)
- Native Go implementation of the Porter Stemming algorithm
- golang-github-blevesearch-segment-dev (0.0~git20160915.0.762005e-5)
- Go library for performing Unicode Text Segmentation
- golang-github-bluebreezecf-opentsdb-goclient-dev (0.0~git20160515.0.539764b-1)
- Go client for OpenTSDB REST API
- golang-github-bmatsuo-lmdb-go-dev (1.8.0+git20170215.a14b5a3-2)
- Bindings for the LMDB C library
- golang-github-bmizerany-assert-dev (0.0~git20120716-4)
- Assertions library for Go tests
- golang-github-bmizerany-pat-dev (0.0~git20170814.6226ea5-1)
- Sinatra style pattern muxer for Go's net/http library
- golang-github-boltdb-bolt-dev (1.3.1-5)
- low-level key/value database for Go
- golang-github-bouk-httprouter-dev (2.0-1)
- lightweight high performance HTTP request router
- golang-github-bowery-prompt-dev (0.0~git20160808.0.d43c270-1)
- Cross platform prompting library for Go
- golang-github-bradfitz-gomemcache-dev (0.0~git20141109-3)
- Go memcached client library
- golang-github-bshuster-repo-logrus-logstash-hook-dev (0.4.1-1)
- Logstash hook for Logrus logging library for Go
- golang-github-bsm-pool-dev (0.8.0-4)
- simple connection pool library for Golang
- golang-github-bsm-redeo-dev (2.1.1-3)
- Framework for building redis-compatible TCP services
- golang-github-bsphere-le-go-dev (0.0~git20170215.0.7a984a8-1)
- Golang library for logentries.com
- golang-github-btcsuite-btcd-btcec-dev (0.0~git20161101.0.g8343278-1)
- Go library for Elliptic curve cryptography
- golang-github-btcsuite-btcd-chaincfg-chainhash-dev (0.0+git20161209.0.7a4cc89-1)
- generic hash types and functions for Go
- golang-github-btcsuite-fastsha256-dev (0.0~git20160815.0.637e656-1)
- Go alternative fast SHA256 implementation
- golang-github-buger-jsonparser-dev (0.0~git20170705.0.9addec9-2)
- fast schemaless JSON parser for Go
- golang-github-bugsnag-bugsnag-go-dev (1.0.5+dfsg-3)
- automatic panic monitoring for Go applications
- golang-github-bugsnag-panicwrap-dev (1.2.0-1)
- Go library for catching and handling panics in Go applications
- golang-github-burntsushi-locker-dev (0.0~git20171006.a6e239e-1)
- simple Go package for conveniently using named read/write locks
- golang-github-burntsushi-toml-dev (0.3.0+git20170626.7.a368813-1)
- TOML parser and encoder for Go with reflection
- golang-github-burntsushi-xgb-dev (0.0~git20160522.27f1227-1)
- Low-level API to communicate with the X server. See the reference
- golang-github-c-bata-go-prompt-dev (0.2.3+git20181109.b6d2b43-1)
- building powerful interactive prompts in Go
- golang-github-calmh-du-dev (1.0.1-4)
- Get disk usage information like how much space is available, free, and used
- golang-github-calmh-luhn-dev (2.0.0-3)
- Luhn-mod-N implementation in Go
- golang-github-calmh-xdr-dev (2.0.1-5)
- Go XDR enc/decoder - dev package
- golang-github-canonicalltd-raft-membership-dev (0.0~git20180413.3846634-1)
- extension of the Hashicorp raft package
- golang-github-canonicalltd-raft-test-dev (0.0~git20180628.c3345b5-1)
- raft testing helpers
- golang-github-cbroglie-mapstructure-dev (0.0~git20150405.0.25325b4-2)
- Go library for decoding generic map values into native structures
- golang-github-ccding-go-stun-dev (0.1.0-4)
- implementation of the STUN client (RFC 3489 and RFC 5389)
- golang-github-cenk-hub-dev (1.0.0+git20160321.17.b864404b5f99-1)
- Simple PubSub (Publish/Subscribe) library in Go
- golang-github-cenk-rpc2-dev (0.0~git20160427.0.7ab76d2e88c7-1)
- bi-directional RPC in Go
- golang-github-cenkalti-backoff-dev (2.0.0-1)
- exponential backoff algorithm in Go
- golang-github-cespare-xxhash-dev (1.1.0-1)
- implementation of the 64-bit xxHash algorithm (XXH64)
- golang-github-chaseadamsio-goorgeous-dev (2.0.0+git20171126.dcf1ef8-2)
- Go org syntax parser to html
- golang-github-cheekybits-is-dev (0.0~git20150225.0.68e9c06-2)
- Mini testing helper for Go
- golang-github-chmduquesne-rollinghash-dev (4.0.0-1)
- Implementation of some rolling checksums in golang
- golang-github-christrenkamp-goxpath-dev (1.0~alpha3+git20170922.c385f95-1)
- XPath 1.0 implementation written in Go
- golang-github-chzyer-readline-dev (1.4+git20171103.a4d5111-1)
- Readline is a pure go implementation for a GNU-Readline like library
- golang-github-circonus-labs-circonus-gometrics-dev (2.0.0-1)
- Golang implementation of metrics reporting for Cirocnus
- golang-github-circonus-labs-circonusllhist-dev (0.0~git20160526.0.d724266-2)
- implementation of Circonus log-linear histograms
- golang-github-cloudflare-cfssl-dev (1.2.0+git20160825.89.7fb22c8-3)
- CloudFlare's PKI and TLS toolkit
- golang-github-cloudflare-go-metrics-dev (0.0~git20151117.0.6a9aea3-3)
- Cloudflare's fork of Go port of Coda Hale's Metrics library
- golang-github-cloudflare-gokey-dev (0.0~git20190103.40eba7e+really0.0~git20181023.b4e2780-3+deb10u1) [security]
- simple vaultless password manager in Go - dev package
- golang-github-cloudflare-redoctober-dev (0.0~git20161017.0.78e9720-3)
- Software-based two-man rule style encryption and decryption server
- golang-github-cloudfoundry-gosigar-dev (0.0~git20180907.50ddd08-1)
- golang implementation of the sigar API
- golang-github-cockroachdb-apd-dev (1.0.0-1)
- arbitrary-precision decimals for Go
- golang-github-cockroachdb-cmux-dev (0.0~git20170110.0.30d10be-1)
- Payload-based connection multiplexer for Golang
- golang-github-cockroachdb-cockroach-go-dev (0.0~git20170808.c806b48-1)
- Packages for go clients.
- golang-github-codegangsta-cli-dev (1.18.0-3)
- simple library for building command line apps in Go
- golang-github-codegangsta-negroni-dev (0.1-2)
- idiomatic HTTP middleware for Golang
- golang-github-confluentinc-confluent-kafka-go-dev (0.11.6-1)
- Apache Kafka Golang client by Confluent
- golang-github-containerd-btrfs-dev (0.0~git20180306.2e1aa0d-1)
- Btrfs bindings for Go
- golang-github-containerd-cgroups-dev (0.0~git20180223.d578e4e-2)
- cgroups package for Go
- golang-github-containerd-console-dev (0.0~git20170925.84eeaae-1)
- Console package for Go
- golang-github-containerd-continuity-dev (0.0~git20180216.d8fb858-1)
- Externally reusable Go packages included with Continuity
- golang-github-containerd-fifo-dev (0.0~git20170714.fbfb6a1-1)
- fifo pkg for Go
- golang-github-containerd-go-runc-dev (0.0~git20180125.4f6e87a-1)
- runc bindings for Go
- golang-github-containerd-typeurl-dev (0.0~git20170912.f694355-1)
- Go package for managing marshaled types to protobuf.Any
- golang-github-containernetworking-cni-dev
- virtueel pakket geboden door golang-github-appc-cni-dev
- golang-github-coreos-bbolt-dev (1.3.1-coreos.5-3)
- low-level key/value database for Go, especially CoreOS's etcd
- golang-github-coreos-etcd-dev
- virtueel pakket geboden door golang-etcd-server-dev
- golang-github-coreos-gexpect-dev (0.1.1-1)
- library for starting and controlling subprocesses
- golang-github-coreos-go-etcd-dev (2.0.0-4)
- [DEPRECATED] Go client for etcd
- golang-github-coreos-go-iptables-dev (0.4.0-1)
- Go bindings for iptables utility
- golang-github-coreos-go-semver-dev (0.2.0-3)
- Go library for semantic versioning
- golang-github-coreos-go-systemd-dev (17-2)
- Go client bindings for systemd socket activation, journal and D-Bus
- golang-github-coreos-ioprogress-dev (0.0~git20151023.0.4637e49-2)
- progress bars around io.Reader/Writers
- golang-github-coreos-pkg-dev (3-1)
- collection of Go utility packages
- golang-github-couchbase-ghistogram-dev (0.0.0+git20170308.21.d910dd0-5)
- simple int histogram for golang
- golang-github-couchbase-moss-dev (0.0~git20170914.0.07c86e8-4)
- moss provides a fast key/value storage library for golang
- golang-github-cpuguy83-go-md2man-dev (1.0.8+ds-1)
- utility to create manpages from markdown (source)
- golang-github-ctdk-chefcrypto-dev (0.2.0-1)
- Go cryptographic routines to interact with chef servers
- golang-github-ctdk-go-trie-dev (0.0~git20161027.0.6443fbc-1)
- Trie implementation based on a minimal automaton for Go
- golang-github-ctdk-goiardi-dev (0.11.9-3)
- Golang library to interact with a chef server
- golang-github-cupcake-rdb-dev (0.0~git20161107.0.43ba341-9)
- Redis RDB parser for Go
- golang-github-cyberdelia-go-metrics-graphite-dev (0.0~git20151204.0.7e54b5c-3)
- Graphite client for the go-metrics
- golang-github-cyphar-filepath-securejoin-dev (0.2.1-1)
- filepath.SecureJoin implementation
- golang-github-cznic-b-dev (0.0~git20151027.0.01b13d7-2)
- B+tree implementation
- golang-github-cznic-bufs-dev (0.0~git20140818.0.3dcccbd-2)
- simple buffer cache
- golang-github-cznic-fileutil-dev (0.0~git20150708.0.1c9c88f-4)
- Golang file utility functions
- golang-github-cznic-lldb-dev (1.0.1-1)
- low level database engine
- golang-github-cznic-mathutil-dev (0.0~git20181122.297441e-2)
- extensions to the Golang "rand" and "math" packages
- golang-github-cznic-ql-dev (1.0.6-1)
- pure Go embedded SQL database
- golang-github-cznic-sortutil-dev (0.0~git20150617.0.4c73428-2)
- extensions to standard Go "sort" package
- golang-github-cznic-strutil-dev (0.0~git20150430.0.1eb03e3-2)
- extensions to standard Go "strings" package
- golang-github-cznic-zappy-dev (0.0~git20160305.0.4f5e6ef-2)
- zappy block-based compression format
- golang-github-d2g-dhcp4-dev (0.0~git20150413-2)
- DHCP packet creation library for Go
- golang-github-d2g-dhcp4client-dev (0.0~git20150520-2)
- DHCP client written in Go
- golang-github-d4l3k-messagediff-dev (1.2.1-1)
- library for doing diffs of arbitrary Golang structs
- golang-github-daaku-go.zipexe-dev (0.0~git20150329.0.a5fe243-2)
- zipexe attempts to open an executable binary file as a zip file
- golang-github-danverbraganza-varcaser-dev (0.0~git20151108.ce61ec4-1)
- Provide ability to transform between common variable casing conventions.
- golang-github-danwakefield-fnmatch-dev (0.0~git20160403.cbb64ac-2)
- Updated clone of kballard’s fnmatch(3) implementation for Go
- golang-github-data-dog-go-sqlmock-dev (1.3.0-1)
- Sql mock driver for golang to test database interactions
- golang-github-datadog-datadog-go-dev (2.1.0-1)
- Go client library for datadog
- golang-github-datadog-zstd-dev (1.3.5-1)
- Zstd wrapper for Go
- golang-github-dataence-porter2-dev (0.0~git20150829.56e4718-2)
- native Go high-performance Porter2 stemmer for English
- golang-github-davecgh-go-spew-dev (1.1.1-1)
- Implements a deep pretty printer for Go data structures to aid in debugging
- golang-github-daviddengcn-go-colortext-dev (0.0~git20150719.0.3b18c85-2)
- change the color of console text in Go
- golang-github-dchest-blake2b-dev (0.0~git20170307.0.060063-1-1)
- Go implementation of BLAKE2 (b) cryptographic hash function
- golang-github-dchest-cssmin-dev (0.0~git20151210.0.fb8d9b4-4)
- Go package to minify CSS
- golang-github-dchest-safefile-dev (0.0~git20151022.0.855e8d9-1)
- Implements safe "atomic" saving of files for Go
- golang-github-dcso-bloom-cli (0.2.3-1+b10)
- highly efficient bloom filter implementation for Go, CLI tool
- golang-github-dcso-bloom-dev (0.2.3-1)
- highly efficient bloom filter implementation for Go
- golang-github-dcso-fluxline-dev (0.0~git20181026.4f8ed83-1)
- Golang library to prepare sets of metrics in InfluxDB's Line Protocol format
- golang-github-deckarep-golang-set-dev (1.5-2)
- simple set type for the Go language
- golang-github-denisenkom-go-mssqldb-dev (0.0~git20170717.0.8fccfc8-5)
- Microsoft SQL server driver written in go language
- golang-github-denverdino-aliyungo-dev (0.0~git20180921.13fa8aa-1)
- Go SDK for Aliyun (Alibaba Cloud)
- golang-github-dghubble-sling-dev (1.2.0-1)
- HTTP client library for creating and sending API requests
- golang-github-dgrijalva-jwt-go-dev (3.0.0.1+REALLY.2.6.0-3)
- Golang implementation of JSON Web Tokens (JWT)
- golang-github-dgrijalva-jwt-go-v3-dev (3.2.0-2)
- Golang implementation of JSON Web Tokens (JWT)
- golang-github-dgryski-go-bits-dev (0.0~git20180112.bd8a69a-1)
- amd64 optimized bit operations
- golang-github-dgryski-go-bitstream-dev (0.0~git20180412.3522498-1)
- read and write bits from io.Reader and io.Writer
- golang-github-dgryski-go-farm-dev (0.0~git20171119.ac7624ea8da3-1)
- pure-Go FarmHash implementation
- golang-github-dhowett-go-plist-dev (0.0~git20160708.0.fec78c8-2)
- pure Go Apple Property List transcoder
- golang-github-digitalocean-godo-dev (1.1.0-1)
- DigitalOcean API V2 client library for Google Go
- golang-github-dimchansky-utfbom-dev (0.0~git20170328.6c6132f-1)
- Detection of the BOM and removing as necessary
- golang-github-disintegration-imaging-dev (1.6.0+really1.5.0-1)
- Simple Go image processing package
- golang-github-disposaboy-jsonconfigreader-dev (0.0~git20171218.5ea4d0d-2)
- proxy for golang's io.Reader allowing you to use json as a config format
- golang-github-djherbis-times-dev (1.0.1+git20170215.d25002f-1)
- file times (atime, mtime, ctime, btime)
- golang-github-dlclark-regexp2-dev (1.1.6-2)
- Regex engine for Go based on the .NET engine
- golang-github-dnaeon-go-vcr-dev (0.0~git20180814.aafff18-2)
- Record and replay your HTTP interactions for tests
- golang-github-dnephin-cobra-dev (1.5.1+git20170113.0.0e9ca70-3)
- Go library for creating powerful modern Go CLI applications
- golang-github-docker-distribution-dev (2.6.2~ds1-2+deb10u1) [security]
- Docker toolset to pack, ship, store, and deliver content (source)
- golang-github-docker-docker-credential-helpers-dev (0.6.1-2+deb10u1)
- native stores to safeguard Docker credentials - library
- golang-github-docker-docker-dev (18.09.1+dfsg1-7.1+deb10u3)
- reusable Go packages included with Docker
- golang-github-docker-engine-api-dev (0.4.0-4)
- client and server components compatible with the Docker engine
- golang-github-docker-go-connections-dev (0.3.0-3+deb10u1)
- Golang utility package to work with network connections
- golang-github-docker-go-dev (0.0~git20160303.0.d30aec9-3)
- Go packages with small patches autogenerated (used for canonical/json)
- golang-github-docker-go-events-dev (0.0~git20170721.0.9461782-1)
- Composable event distribution for Go
- golang-github-docker-go-metrics-dev (0.0~git20180209.399ea8c-1)
- Package for metrics collection in Docker projects
- golang-github-docker-go-units-dev (0.3.3-1)
- parse and print size and time units in human-readable format
- golang-github-docker-goamz-dev (0.0~git20160206.0.f0a21f5-3)
- Enable Go programs to interact with Amazon Web Services
- golang-github-docker-leadership-dev (0.1.0-1)
- distributed leader election using docker/libkv
- golang-github-docker-libkv-dev (0.2.1-1)
- Key/Value store abstraction library
- golang-github-docker-libnetwork-dev
- virtueel pakket geboden door golang-github-docker-docker-dev
- golang-github-docker-libtrust-dev (0.0~git20150526.0.9cbd2a1-3)
- Primitives for identity and authorization
- golang-github-docker-notary-dev (0.6.1~ds1-3+deb10u1) [security]
- library for running and interacting with trusted collections
- golang-github-docker-spdystream-dev (0.0~git20151103.0.4d80814-2)
- multiplexed stream library using spdy
- golang-github-docopt-docopt-go-dev (0.6.2+git20160216.0.784ddc5-1)
- Implementation of docopt in the Go programming language
- golang-github-dop251-goja-dev (0.0~git20170430.0.d382686-2)
- ECMAScript 5.1(+) implementation written in Go
- golang-github-dropbox-dropbox-sdk-go-unofficial-dev (4.1.0-1)
- Unofficial Go SDK for integrating with the Dropbox API v2
- golang-github-dsnet-golib-dev (0.0~git20171103.1ea1667-1)
- Collection of mostly unrelated helper Go packages
- golang-github-dustin-go-humanize-dev (0.0~git20171111.bb3d318-1)
- format units to human friendly sizes
- golang-github-dvsekhvalnov-jose2go-dev (1.3-1)
- Go implementation of Javascript Object Signing and Encryption
- golang-github-dylanmei-iso8601-dev (0.1.0-5)
- pure Go ISO8601 parser and formatter
- golang-github-dylanmei-winrmtest-dev (0.0~git20151226.0256178-2)
- testing addon for golang-github-masterzen-winrm
- golang-github-eapache-go-xerial-snappy-dev (0.0~git20160609.bb955e0-1)
- Xerial-compatible Snappy framing support for Go
- golang-github-eclipse-paho.mqtt.golang-dev (1.1.1-1)
- Go package implementing MQTT client library by Eclipse Paho
- golang-github-edsrzf-mmap-go-dev (0.0~git20170320.0.0bce6a6-5)
- portable mmap package for Go
- golang-github-eknkc-amber-dev (0.0~git20171010.cdade1c-1)
- Elegant HTML templating engine for Go, inspired from HAML and Jade (Go library)
- golang-github-elazarl-go-bindata-assetfs-dev (1.0.0-1)
- Go library to serve embedded binary files with net/http
- golang-github-elazarl-goproxy-dev (1.0-1)
- HTTP proxy library for Go
- golang-github-elithrar-simple-scrypt-dev (1.3.0-1)
- various purpose password hashes library using the scrypt KDF
- golang-github-emicklei-go-restful-dev (2.4.0-2)
- build REST-style Web Services using Google Go
- golang-github-emicklei-go-restful-swagger12-dev (1.0.1-3)
- Swagger 1.2 extension to the go-restful package
- golang-github-erikdubbelboer-gspt-dev (0.0~git20161002.0.6ce3e9d-1)
- setproctitle for Go
- golang-github-erikstmartin-go-testdb-dev (0.0~git20160219.0.8d10e4a-1)
- Framework for stubbing responses from go's driver.Driver interface
- golang-github-evanphx-json-patch-dev (0.0~git20150402.0.766277e-1)
- Go library to apply RFC6902 patches and create and apply RFC7386 patches
- golang-github-evilsocket-ftrace-dev (1.2.0-2)
- trace Linux syscalls using the FTRACE kernel framework
- golang-github-exponent-io-jsonpath-dev (0.0~git20151013.0.d6023ce-1)
- Extension for Go's json.Decoder enabling navigation of a stream of tokens
- golang-github-facebookgo-atomicfile-dev (0.0~git20151019.2de1f20-1)
- Provides an atomically written/replaced file
- golang-github-facebookgo-clock-dev (0.0~git20150410.0.600d898-5)
- Clock is a small Go library for mocking time
- golang-github-facebookgo-ensure-dev (0.0~git20160127.0.b4ab57d-5)
- Provides utilities for testing to ensure conditions are met
- golang-github-facebookgo-freeport-dev (0.0~git20150612.0.d4adf43-5)
- Go library to find a free TCP port for binding
- golang-github-facebookgo-grace-dev (0.0~git20170218.0.4afe952-6)
- Graceful restart & zero downtime deploy for Go servers
- golang-github-facebookgo-httpdown-dev (0.0~git20160323.0.a3b1354-7)
- Gracefully shut down daemon without terminating connections
- golang-github-facebookgo-pidfile-dev (0.0~git20150612.f242e29-2)
- Package pidfile manages pid files.
- golang-github-facebookgo-stack-dev (0.0~git20160209.0.7517733-6)
- Stack provides utilities to capture and handle stack traces
- golang-github-facebookgo-stats-dev (0.0~git20151006.0.1b76add-5)
- defines a lightweight interface for collecting statistics
- golang-github-facebookgo-subset-dev (0.0~git20150612.0.8dac2c3-5)
- Check if a value is a subset of another
- golang-github-fatih-color-dev (1.5.0-1)
- console colors for Go
- golang-github-fatih-structs-dev (1.0.0-1)
- Utilities for Go structs
- golang-github-fhs-go-netrc-dev (1.0.0-2)
- netrc file parser for Go programming language
- golang-github-fhs-gompd-dev (2.0.3-1)
- MPD client library
- golang-github-fluent-fluent-logger-golang-dev (1.3.0-1)
- Go structured logger for Fluentd
- golang-github-flynn-archive-go-shlex-dev (0.0~git20150515.0.3f9db97-4)
- Fork of go-shlex from Google Code
- golang-github-fortytw2-leaktest-dev (1.3.0-1)
- Goroutine Leak Detector
- golang-github-franela-goblin-dev (0.0.1+git20160123.62.889391d-1)
- minimal and beautiful Go testing framework
- golang-github-franela-goreq-dev (0.0~git20160121.0.3ddeded-2)
- minimal and simple request library for Go language
- golang-github-frankban-quicktest-dev (1.0.0-1)
- quick helpers for testing Go applications
- golang-github-fsnotify-fsnotify-dev (1.4.7-2)
- File system notifications for Go
- golang-github-fsouza-go-dockerclient-dev (1.2.1-2)
- Docker client library in Go
- golang-github-garyburd-redigo-dev (0.0~git20150901.0.d8dbe4d-2)
- Go client for Redis
- golang-github-gdamore-encoding-dev (0.0~git20151215.b23993c-1)
- Various character map encodings missing from golang.org/x/net/encoding
- golang-github-gdamore-tcell-dev (1.1.0-1)
- cell based view for text terminals
- golang-github-gedex-inflector-dev (0.0~git20170307.0.16278e9-1)
- Go library that pluralizes and singularizes English nouns
- golang-github-geertjohan-go.incremental-dev (0.0~git20161212.0.1172aab-2)
- Go package providing type- and concurrency-safe incremental counters
- golang-github-geertjohan-go.rice-dev (0.0~git20160123.0.0f3f5fd-4)
- Go package for embedding web resources into Go executables
- golang-github-getkin-kin-openapi-dev (0.1.0+git20181119.fa639d0-1)
- OpenAPI 3.0 implementation for Go
- golang-github-getlantern-context-dev (0.0~git20190109.c447772-1)
- goroutine-based context state
- golang-github-getsentry-raven-go-dev (0.0~git20150721.0.74c334d-4)
- Go client for the Sentry event/error logging system
- golang-github-ghodss-yaml-dev (1.0.0-1)
- better way to marshal and unmarshal YAML in Golang
- golang-github-gin-contrib-sse-dev (0.0~git20170109.0.22d885f-1)
- Server-Sent Events implementation in Go
- golang-github-gin-gonic-gin-dev (1.3.0+dfsg1-3)
- HTTP web framework written in Go
- golang-github-git-lfs-gitobj-dev (1.0.0-1)
- gitobj reads and writes Git objects.
- golang-github-git-lfs-go-netrc-dev (0.0~git20180525.e0e9ca4-1)
- netrc file parser for Go programming language
- golang-github-git-lfs-wildmatch-dev (1.0.0-1)
- Wildmatch is a pattern matching language for filepaths compatible with Git.
- golang-github-glacjay-goini-dev (0.0~git20150730-2)
- INI file parser library for Go
- golang-github-glycerine-go-unsnap-stream-dev (0.0~git20180323.9f0cb55-2)
- library for decoding the snappy streaming format
- golang-github-go-check-check-dev
- virtueel pakket geboden door golang-gopkg-check.v1-dev
- golang-github-go-chef-chef-dev (0.0.1+git20161023.60.deb8c38-1)
- Chef-Server API client in golang
- golang-github-go-debos-fakemachine-dev (0.0~git20181105.9316584-2)
- create and spawn virtual machines for building images with debos.
- golang-github-go-ini-ini-dev (1.32.0-2)
- Go package for control of INI file
- golang-github-go-kit-kit-dev (0.6.0-2)
- Programming toolkit for microservices
- golang-github-go-ldap-ldap-dev (2.5.1-4)
- Basic LDAP v3 functionality for the Go programming language
- golang-github-go-logfmt-logfmt-dev (0.3.0-1)
- Marshals and unmarshals logfmt messages
- golang-github-go-macaron-bindata-dev (0.0~git20161222.0.85786f5-4)
- in-memory static and template files for Macaron
- golang-github-go-macaron-binding-dev (0.0~git20170611.0.ac54ee2-3.1)
- request data binding and validation middleware for Macaron
- golang-github-go-macaron-csrf-dev (0.0~git20170207.0.428b7c6-4)
- generate and validate csrf tokens for Macaron
- golang-github-go-macaron-gzip-dev (0.0~git20151014.0.4938e9b-2)
- Gzip middleware for Macaron
- golang-github-go-macaron-i18n-dev (0.0~git20160612.0.ef57533-6)
- Internationalization and Localization of Macaron
- golang-github-go-macaron-inject-dev (0.0~git20160627.0.d8a0b86-3)
- utilities for mapping and injecting dependencies
- golang-github-go-macaron-session-dev (0.0~git20151014.0.66031fc-2)
- session management middleware for Macaron
- golang-github-go-macaron-toolbox-dev (0.0~git20170220.0.6766b8f-5)
- health check, pprof, profile and statistic services for Macaron
- golang-github-go-openapi-analysis-dev (0.0~git20160815.0.b44dc87-1)
- OpenAPI Specification object model analyser
- golang-github-go-openapi-errors-dev (0.0~git20160704.0.d24ebc2-1)
- Common error handling code for OpenAPI
- golang-github-go-openapi-jsonpointer-dev (1:0.0~git20160704.0.46af16f-1)
- fork of gojsonpointer with support for structs
- golang-github-go-openapi-jsonreference-dev (1:0.0~git20160704.0.13c6e35-1)
- Implementation of gojsonreference with struct support
- golang-github-go-openapi-loads-dev (0.0~git20160704.0.18441df-2)
- OpenAPI Specification object model
- golang-github-go-openapi-runtime-dev (0.0~git20160704.0.11e322e-1)
- OpenAPI runtime interfaces
- golang-github-go-openapi-spec-dev (1:0.0~git20160808.0.6aced65-2)
- OpenAPI specification object model
- golang-github-go-openapi-strfmt-dev (0.0~git20160812.0.d65c7fd-1)
- OpenAPI string formatting library
- golang-github-go-openapi-swag-dev (1:0.0~git20160704.0.1d0bd11-1)
- goodie bag in use in the go-openapi projects
- golang-github-go-openapi-validate-dev (0.0~git20160704.0.deaf2c9-1)
- OpenAPI toolkit validation helpers
- golang-github-go-redis-redis-dev (6.15.0-1)
- Type safe Redis client for Go
- golang-github-go-sql-driver-mysql-dev (1.4.1-1)
- MySQL-Driver for Go's database/sql package
- golang-github-go-stack-stack-dev (1.5.2-2)
- capture, manipulate, and format call stacks
- golang-github-go-xorm-builder-dev (0.3.3-1)
- SQL builder for XORM written in Go
- golang-github-gobuffalo-envy-dev (1.6.4-1)
- simplify working with ENV variables
- golang-github-gobwas-glob-dev (0.2.3+git20180208.19c076c-1)
- Go glob pattern library
- golang-github-gocarina-gocsv-dev (0.0~git20180113.45cbb9c-1)
- easy CSV serialization and deserialization golang package
- golang-github-gocql-gocql-dev (0.0~git20171009.0.2416cf3-3)
- fast and robust Cassandra client for Go
- golang-github-gogits-chardet-dev (0.0~git20150115.0.2404f77+dfsg-4)
- Charset detector library for Go
- golang-github-gogits-cron-dev (0.0~git20170309.60.c803a08-4)
- Gogs cron library in Go
- golang-github-gogits-go-gogs-client-dev (0.0~git20160830.0.d8aff57-2)
- Gogs API client in Go
- golang-github-gogo-googleapis-dev (1.0.0-1)
- googleapis generated with gogoprotobuf
- golang-github-gogo-protobuf-dev (1.0.0+git20180330.1ef32a8b-1)
- alternative protocol buffer support for Golang - sources
- golang-github-gohugoio-hugo-dev (0.55.6+really0.54.0-1+deb10u1) [security]
- Go source code and library of Hugo the static website generator
- golang-github-goji-param-dev (0.0~git20160927.d7f49fd-4)
- Rack / jQuery style parameter parsing for Go
- golang-github-gokyle-twofactor-dev (1.0.1-1)
- Two-factor authentication
- golang-github-golang-groupcache-dev (0.0~git20171101.84a468c-1)
- caching and cache-filling library, a replacement for memcached
- golang-github-golang-leveldb-dev (0.0~git20161231.0.3435554-2)
- Implementation of the LevelDB key/value database in Go
- golang-github-golang-mock-dev (1.0.0-1)
- mocking framework for the Go programming language
- golang-github-golang-snappy-dev (0.0+git20160529.d9eb7a3-3)
- Implementation of the Snappy compression format in Go
- golang-github-gomodule-redigo-dev (2.0.0-1)
- Go client for Redis
- golang-github-google-btree-dev (0.0~git20161217.0.316fb6d-1)
- BTree implementation for Go
- golang-github-google-certificate-transparency-dev (0.0~git20160709.0.0f6e3d1~ds1-3)
- Framework for monitoring and auditing SSL certificates
- golang-github-google-go-cmp-dev (0.2.0-1)
- Package for comparing Go values in tests
- golang-github-google-go-github-dev (17.0.0-1)
- Go library for accessing the GitHub API
- golang-github-google-go-querystring-dev (0.0~git20170111.0.53e6ce1-4)
- library for encoding structs into URL query parameters
- golang-github-google-gofuzz-dev (0.0~git20170612.24818f7-1)
- Fuzz testing for Go
- golang-github-google-gopacket-dev (1.1.14-3)
- packet capturing and decoding library for Go
- golang-github-google-jsonapi-dev (0.0~git20171108.0.e0fc4ee-2)
- jsonapi.org style payload serializer and deserializer
- golang-github-google-martian-dev (2.1.0+git20181219.d0b5ad3-3)
- Martian is a library for building custom HTTP/S proxies
- golang-github-google-shlex-dev (0.0~git20150127.0.6f45313-2)
- Simple lexer for Go that supports shell-style rules
- golang-github-google-subcommands-dev (0.0~git20170830.ce3d4cfc-3)
- go package for add some subcommands to single command
- golang-github-google-uuid-dev (0.2-1)
- generates and inspects UUIDs based on RFC 4122
- golang-github-googleapis-gax-go-dev (0.0~git20170902.8c160ca-1)
- Google API Extensions for Go
- golang-github-googleapis-gnostic-dev (0.2.0-1)
- compiler for OpenAPI specification - library
- golang-github-gophercloud-gophercloud-dev (0.0~git20180917.45f1c769-1)
- OpenStack SDK for Go
- golang-github-gorhill-cronexpr-dev (1.0.0-2)
- cron expression parser
- golang-github-gorilla-context-dev (1.1-3)
- General purpose registry for global request variables
- golang-github-gorilla-handlers-dev (1.3.0-1)
- collection of useful handlers for Go's net/http package
- golang-github-gorilla-mux-dev (1.1-4)
- powerful URL router and dispatcher for golang
- golang-github-gorilla-pat-dev (0.0~git20160413.0.cf955c3-4)
- request router and dispatcher with a pat-like interface
- golang-github-gorilla-rpc (0.0~git20160927.22c016f-3)
- Transitional package for golang-github-gorilla-rpc-dev
- golang-github-gorilla-rpc-dev (0.0~git20160927.22c016f-3)
- golang foundation for RPC over HTTP services
- golang-github-gorilla-securecookie-dev (1.1+git20170224.6.e59506c-4)
- provides authenticated and encrypted cookie values
- golang-github-gorilla-sessions-dev (1.1+git20170802.7.b61c93c-3)
- save cookie and filesystem sessions and allow custom session backends
- golang-github-gorilla-websocket-dev (1.4.0-1+deb10u1) [security]
- Go package implementing the WebSocket protocol
- golang-github-gosexy-gettext-dev (0~git20130221-2.1)
- Gettext support for the Go language
- golang-github-gosimple-slug-dev (1.1.1-3)
- URL-friendly slugify with multiple languages support
- golang-github-gosuri-uilive-dev (0.0~git20170323.ac356e6-1)
- Go library for updating terminal output in realtime
- golang-github-gosuri-uiprogress-dev (0.0~git20170224.d0567a9-1)
- Go library to render progress bars in terminal applications
- golang-github-gosuri-uitable-dev (0.0~git20170830.36ee7e94-2)
- go library to improve readability in terminal apps using tabular data
- golang-github-gotestyourself-gotest.tools-dev (2.1.0-1)
- collection of go packages to support common testing patterns
- golang-github-gotk3-gotk3-dev (0.0~GOTK3~0~2~0+git20170418.0.96d4110-3)
- Go bindings for GTK3
- golang-github-graph-gophers-graphql-go-dev (0.0~git20180609.bb97385-2)
- GraphQL server with a focus on ease of use
- golang-github-graylog2-go-gelf-dev (0.0~git20170207.0.7029da8-1)
- GELF library and writer for Go
- golang-github-gregjones-httpcache-dev (0.0~git20180305.9cad4c3-1)
- Transport for http.Client that will cache responses
- golang-github-grokify-html-strip-tags-go-dev (0.0~git20180907.e9e4496-1)
- Golang library to HTML StripTags
- golang-github-grpc-ecosystem-go-grpc-prometheus-dev (1.2.0-3)
- Prometheus monitoring for gRPC Go servers
- golang-github-grpc-ecosystem-grpc-gateway-dev (1.6.4-2)
- gRPC to JSON proxy generator for Golang -- sources
- golang-github-grpc-grpc-go-dev
- virtueel pakket geboden door golang-google-grpc-dev
- golang-github-gtank-cryptopasta-dev (0.0~git20170601.1f550f6-1)
- copy & paste-friendly golang crypto
- golang-github-gucumber-gucumber-dev (0.0~git20160715.0.71608e2-1)
- Cucumber BDD-style testing for Go -- source
- golang-github-hailocab-go-hostpool-dev (0.0~git20160125.0.e80d13c-1)
- flexibly pool among multiple hosts from Go application
- golang-github-hanwen-go-fuse-dev (0.0~git20190214.58dcd77-1)
- Native Go bindings for the FUSE kernel module
- golang-github-hanwen-usb-dev (0.0~git20141217.69aee45-1)
- CGO bindings for libusb
- golang-github-hashicorp-atlas-go-dev (0.0~git20170808.8261ea0-3)
- official Go client for HashiCorp's Atlas
- golang-github-hashicorp-consul-dev (1.0.7~dfsg1-5)
- tool for service discovery, monitoring and configuration (source)
- golang-github-hashicorp-errwrap-dev (1.0.0-1)
- Errwrap is a Go (golang) library for wrapping and querying errors
- golang-github-hashicorp-go-checkpoint-dev (0.0~git20171009.1545e56-2)
- Go Checkpoint Client
- golang-github-hashicorp-go-cleanhttp-dev (0.5.0-1.1)
- Functions for accessing "clean" Go http.Client values
- golang-github-hashicorp-go-getter-dev (0.0~git20160316.0.575ec4e-1)
- download from a URL using a variety of protocols
- golang-github-hashicorp-go-hclog-dev (0.0~git20180402.69ff559-1)
- common logging package for HashiCorp tools
- golang-github-hashicorp-go-immutable-radix-dev (1.0.0-1)
- immutable radix tree implementation in Golang
- golang-github-hashicorp-go-memdb-dev (0.0~git20180224.1289e7ff-1)
- in-memory database built on immutable radix trees
- golang-github-hashicorp-go-msgpack-dev (0.0~git20150518.0.fa3f638-6)
- encode/decode and rpc library for msgpack and Binc
- golang-github-hashicorp-go-multierror-dev (1.0.0-1)
- Go package for representing a list of errors as a single error
- golang-github-hashicorp-go-plugin-dev (0.0~git20170621.5ee1a665-2)
- Golang plugin system over RPC
- golang-github-hashicorp-go-reap-dev (0.0~git20160113.0.2d85522-3)
- child process reaping utilities for Go
- golang-github-hashicorp-go-retryablehttp-dev (0.0+git20180718.e651d75-1)
- retryable HTTP client in Go
- golang-github-hashicorp-go-rootcerts-dev (0.0~git20160503.0.6bb64b3-1)
- functions for loading root certificates for TLS connections
- golang-github-hashicorp-go-sockaddr-dev (0.0~git20170627.41949a1+ds-2)
- IP address/UNIX socket convenience functions for Go
- golang-github-hashicorp-go-syslog-dev (0.0~git20150218.0.42a2b57-1)
- Golang syslog wrapper, cross-compile friendly
- golang-github-hashicorp-go-uuid-dev (1.0.0-1)
- generate UUID-format strings using high quality random bytes
- golang-github-hashicorp-go-version-dev (1.1.0-1)
- library for parsing and verifying versions and version constraints
- golang-github-hashicorp-golang-lru-dev (0.5.0-1)
- Golang LRU cache
- golang-github-hashicorp-hcl-dev (1.0.0-1)
- Go implementation of HashiCorp Configuration Language
- golang-github-hashicorp-hil-dev (0.0~git20160711.1e86c6b-1)
- small embedded language for string interpolations
- golang-github-hashicorp-logutils-dev (0.0~git20150609.0.0dc08b1-1)
- Utilities for slightly better logging in Go
- golang-github-hashicorp-mdns-dev (0.0~git20150317.0.2b439d3-2)
- Simple mDNS client/server library in Golang
- golang-github-hashicorp-memberlist-dev (0.1.0+git20180209.2288bf30-1)
- Golang package for gossip based membership and failure detection
- golang-github-hashicorp-net-rpc-msgpackrpc-dev (0.0~git20151116.0.a14192a-1)
- Library for creating Go RPC client/server
- golang-github-hashicorp-raft-boltdb-dev (0.0~git20171010.6e5ba93-3)
- Raft backend implementation using BoltDB
- golang-github-hashicorp-raft-dev (1.0.0+git20180823.82694fb-3)
- implementation of the Raft consensus protocol
- golang-github-hashicorp-scada-client-dev (0.0~git20160601.0.6e89678-2)
- Golang client to the HashiCorp SCADA system
- golang-github-hashicorp-serf-dev (0.8.1+git20180508.80ab4877~ds-1)
- Service orchestration and management tool (source)
- golang-github-hashicorp-uuid-dev (0.0~git20160311.0.ebb0a03-2)
- UUID generation using purely high quality random bytes
- golang-github-hashicorp-yamux-dev (0.0~git20180605.35205983-1)
- Golang connection multiplexing library
- golang-github-hawkular-hawkular-client-go-dev (0.6.0-1)
- Golang client for Hawkular
- golang-github-hillu-go-yara-dev (1.1.0-1)
- Go bindings for YARA
- golang-github-hlandau-buildinfo-dev (0.0~git20160722.0.b25d4b0-3)
- Go build information utilities
- golang-github-hlandau-dexlogconfig-dev (0.0~git20161112.0.244f29b-2)
- logging configuration package for Go
- golang-github-hlandau-goutils-dev (0.0~git20160722.0.0cdb66a-3)
- miscellaneous Go utility packages
- golang-github-hlandau-xlog-dev (1.0.0-2)
- logging library for Go
- golang-github-howeyc-fsnotify-dev (0.9.0+git20151003.f0c08ee-1)
- File system notification for Go
- golang-github-howeyc-gopass-dev (0.0~git20160303.0.66487b2-2)
- getpasswd for Go
- golang-github-htcat-htcat-dev (1.0.2-1)
- Parallel and Pipelined HTTP GET Utility for golang
- golang-github-huin-goupnp-dev (0.0~git20171001.0.b388724-1)
- UPnP library for Go
- golang-github-hydrogen18-stalecucumber-dev (0.0~git20161112.0.1e918be-2)
- Reader and writer for Python's pickle format in Golang
- golang-github-hydrogen18-stoppablelistener-dev (0.0~git20151210.0.dadc9cc-1)
- stoppable TCP listener in Go
- golang-github-ianlancetaylor-demangle-dev (0.0~git20181102.5e5cf60-1)
- C++ symbol name demangler written in Go
- golang-github-imdario-mergo-dev (0.3.5-1)
- Functions to merge structs and maps in Go
- golang-github-inconshreveable-go-update-dev (0.0~git20160112.0.8152e7e+ds-3)
- Build self-updating Golang programs
- golang-github-inconshreveable-log15-dev (2.14-1)
- structured, composable logging for Go
- golang-github-inconshreveable-mousetrap-dev (0.0~git20141017.0.76626ae-2)
- Go library to detect starting from Windows Explorer
- golang-github-inconshreveable-muxado-dev (0.0~git20140312.0.f693c7e-2)
- Stream multiplexing for Go
- golang-github-influxdata-go-syslog-dev (2.0.0-1)
- Go parser for syslog messages
- golang-github-influxdata-influxql-dev (0.0~git20190130.1cbfca8-1)
- parser for the InfluxDB query language
- golang-github-influxdata-line-protocol-dev (0.0~git20181118.934b9e6-1)
- InfluxDB line protocol implementation
- golang-github-influxdata-tail-dev (1.0.0+git20180327.c434825-1)
- Go package for reading from continuously updated files (tail -f)
- golang-github-influxdata-tdigest-dev (0.0~git20180711.a7d76c6-1)
- Ted Dunning's t-digest in Go
- golang-github-influxdata-toml-dev (0.0~git20160905.0.ad49a5c-1)
- TOML parser and encoder library for Golang
- golang-github-influxdata-wlog-dev (0.0~git20160411.7c63b0a-1)
- simple log level based Golang logger
- golang-github-influxdata-yamux-dev (0.0~git20171107.1f58ded-5)
- Golang connection multiplexing library
- golang-github-influxdata-yarpc-dev (0.0.1-1)
- Yet Another RPC for Go - dev package
- golang-github-influxdb-enterprise-client-dev (0.0~git20151113.0.25665cb-4)
- Golang client for speaking to the InfluxDB Enterprise application
- golang-github-influxdb-influxdb-dev (1.6.4-1+deb10u1)
- Scalable datastore for metrics, events, and real-time analytics. Dev package
- golang-github-influxdb-usage-client-dev (0.0~git20151204.0.475977e-5)
- library for speaking to the InfluxDB Anonymous Usage Reporting API
- golang-github-intel-tfortools-dev (0.2.0-1)
- template scripting support to go programs
- golang-github-issue9-assert-dev (0.0~git20170908.0.ceac1aa-3)
- Simple extension to test a series of assert functions
- golang-github-issue9-identicon-dev (0.0~git20170630.0.5196591-4)
- generate an icon from identity information
- golang-github-ivpusic-grpool-dev (1.0.0-1)
- Lightweight Goroutine pool
- golang-github-j-keck-arping-dev (0.0~git20160618.2cf9dc6-1)
- library to ping a host per arp datagram or query a host mac address
- golang-github-jackpal-gateway-dev (1.0.4-4)
- library for discovering the address of a LAN gateway
- golang-github-jacobsa-bazilfuse-dev (0.0~git20150622-4)
- fork of bazil.org/fuse for gcsfuse
- golang-github-jacobsa-crypto-dev (0.0~git20171018.0.c73681c+dfsg1-3)
- Some Go cryptography routines that are not included in the Go standard library
- golang-github-jacobsa-fuse-dev (0.0~git20150806.0.9a7512a-4)
- writing and mounting user-space file systems from Go
- golang-github-jacobsa-gcloud-dev (0.0~git20150709-3)
- convenience wrapper around google cloud platform APIs
- golang-github-jacobsa-oglematchers-dev (0.0~git20150320-3)
- matchers for testing/mocking frameworks such as ogletest
- golang-github-jacobsa-oglemock-dev (0.0~git20150428-5)
- mocking framework for Go
- golang-github-jacobsa-ogletest-dev (0.0~git20170503.80d50a7-1)
- unit testing framework for Go
- golang-github-jacobsa-reqtrace-dev (0.0~git20150505-6)
- simple request tracing
- golang-github-jacobsa-syncutil-dev (0.0~git20150615-3)
- code that supplements the Go stdlib sync package
- golang-github-jacobsa-timeutil-dev (0.0~git20150615-2)
- code supplementing Go's time package
- golang-github-jacobsa-util-dev (0.0~git20150504-2)
- utility code for jacobsa projects
- golang-github-jamesclonk-vultr-dev (1.15.0-1)
- Vultr API client library
- golang-github-jasonish-go-idsrules-dev (0.0~git20170503.0.c646b91-2)
- Go IDS rule parser
- golang-github-jdkato-prose-dev (1.1.0+git20171031.e27abfd-2)
- Golang library for text processing
- golang-github-jdkato-syllables-dev (0.1.0+git20170409.10.8961fa0-1)
- Go syllable counter
- golang-github-jedisct1-dlog-dev (0.4-1)
- Super simple logger for Go
- golang-github-jedisct1-go-clocksmith-dev (0.0~git20180307.c35da9b-1)
- A Go sleep-aware sleep() function
- golang-github-jedisct1-go-dnsstamps-dev (0.0~git20180418.1e49992-1)
- DNS Stamps library for Go
- golang-github-jedisct1-go-minisign-dev (0.0~git20180113.f404c07-1)
- Minisign library for Golang
- golang-github-jedisct1-xsecretbox-dev (0.0~git20180214.88b1956-1)
- Go implementation of crypto_secretbox_xchacha20poly1305
- golang-github-jeromer-syslogparser-dev (0~20150717.ff71fe7a-1)
- syslog parser library
- golang-github-jfbus-httprs-dev (0.0~git20180614.7861a11-1)
- ReadSeeker for http.Response.Body
- golang-github-jfrazelle-go-dev (0.0~git20160303.0.d30aec9-3)
- Transitional package for golang-github-docker-go-dev
- golang-github-jfrazelle-go-dev
- virtueel pakket geboden door golang-github-docker-go-dev
- golang-github-jhoonb-archivex-dev (0.0+20170409-1)
- archives folders (recursively) and files to zip and tar formats
- golang-github-jimstudt-http-authentication-dev (0.0~git20140401.3eca13d-1)
- Go implementation of RFC 2617 HTTP Authentication
- golang-github-jinzhu-gorm-dev (1.9.1-1)
- ORM library for Golang
- golang-github-jinzhu-inflection-dev (0.0~git20170102.0.1c35d90-2)
- pluralize and singularize English nouns
- golang-github-jinzhu-now-dev (0.0~git20151001.0.ce80572-2)
- time toolkit for golang
- golang-github-jlaffaye-ftp-dev (0.0~git20170707.0.a05056b-1)
- FTP client package for Go
- golang-github-jmespath-go-jmespath-dev (0.2.2-2)
- Golang implementation of JMESPath
- golang-github-jmhodges-clock-dev (1.0-3)
- Go package for testing time-dependent code
- golang-github-jmoiron-sqlx-dev (1.1+git20160206.61.398dd58-2)
- General purpose extensions to Golang's database/sql library
- golang-github-joho-godotenv-dev (1.2.0+git20180115.6bb0851-1)
- Go port of Ruby's dotenv library
- golang-github-jonboulle-clockwork-dev (0.1.0-4)
- Simple fake clock for Go
- golang-github-jpillora-backoff-dev (1.0.0-1)
- backoff algorithm in Golang
- golang-github-jrick-logrotate-dev (0.0~git20170628.a93b200-4)
- Simple log rotator
- golang-github-jroimartin-gocui-dev (0.3.0+git20170212.45.ed41d1b-1)
- Minimalist Go package aimed at creating Console User Interfaces
- golang-github-json-iterator-go-dev (1.1.4-1)
- High-performance drop-in replacement of "encoding/json"
- golang-github-jsternberg-zap-logfmt-dev (1.0.0-1)
- logfmt for zap
- golang-github-jtacoma-uritemplates-dev (1.0.0-2)
- URI Templates (RFC 6570) implemented in Go
- golang-github-jteeuwen-go-bindata-dev (3.0.7+git20151023.72.a0ff256-3)
- embed data in a Go program - library package
- golang-github-jtolds-gls-dev (4.2.1-4)
- Goroutine local storage
- golang-github-juju-ansiterm-dev (0.0~git20161107.35c59b9-3)
- colored writers and tabwriters
- golang-github-juju-errors-dev (0.0~git20170703.0.c7d06af-4)
- Common juju errors and functions to annotate errors
- golang-github-juju-httpprof-dev (0.0~git20141217.14bf14c-3)
- fork of net/http/pprof which works when not at the server's root
- golang-github-juju-loggo-dev (0.0~git20170605.8232ab8-4)
- logging library for Go
- golang-github-juju-ratelimit-dev (1.0.1-1)
- Efficient token-bucket-based rate limiter module for Go
- golang-github-juju-retry-dev (0.0~git20160928.1998d01-3)
- encapsulates the mechanism around retrying commands
- golang-github-juju-utils-dev (0.0~git20171220.f38c0b0-5)
- General utility functions
- golang-github-juju-version-dev (0.0~git20161031.1f41e27-4)
- intelligent version comparisons
- golang-github-juju-webbrowser-dev (0.0~git20160309.54b8c57-3)
- go helpers for interacting with Web browsers
- golang-github-julienschmidt-httprouter-dev (1.1-5)
- High performance HTTP request router for Go that scales well
- golang-github-justinas-alice-dev (0.0~git20171023.03f45bd-1)
- Painless middleware chaining for Go
- golang-github-jwilder-encoding-dev (0.0~git20170811.b4e1701-3)
- library for working with compressed arrays of integers
- golang-github-k-sone-critbitgo-dev (1.2.0-1)
- crit-bit for golang and its applications (sorted map, IP routing table)
- golang-github-k0kubun-colorstring-dev (0.0~git20150214.0.9440f19-2)
- Go library for colorizing strings for terminal output
- golang-github-k0kubun-pp-dev (2.3.0-4)
- Go library to colored pretty printer on terminal
- golang-github-kardianos-osext-dev (0.0~git20170510.0.ae77be6-6)
- Extend "os" package with Executable and ExecutableFolder
- golang-github-kardianos-service-dev (0.0~git20181115.4c239ee-2)
- run Go programs as a service on major platforms
- golang-github-karlseguin-ccache-dev (2.0.2+git20161222.2.12c7ffd-1)
- Golang LRU Cache for high concurrency
- golang-github-karlseguin-expect-dev (1.0.1+git20160716.12.5c2eadb-1)
- Testing framework for Go with more concise syntax
- golang-github-karrick-goswarm-dev (1.4.7-1)
- Memoization with asynchronous revalidation for Go
- golang-github-kballard-go-shellquote-dev (0.0~git20150810.0.d8ec1a6-2)
- Go utilities for performing shell-like word splitting/joining
- golang-github-kelseyhightower-envconfig-dev (1.3.0-1)
- decode environment variables based on a user defined specification
- golang-github-keltia-archive-dev (0.3.3-1)
- Small Go library for handling archives of various types.
- golang-github-kimor79-gollectd-dev (1.0.0-5)
- go parser for the collectd binary protocol
- golang-github-kisielk-gotool-dev (0.0~git20161130.0.0de1eaf-4)
- library of some utility functions provided by cmd/go
- golang-github-kisielk-sqlstruct-dev (0.0~git20150917.0.0b86a3e-2)
- convenience functions for using structs with the database/sql package
- golang-github-kisom-goutils-dev (0.0~git20161101.0.858c9cb-2)
- Various TLS certificate tools and other utility libraries for Golang
- golang-github-kjk-lzma-dev (1.0.0-5)
- port of the lzma compression algorithm
- golang-github-klauspost-compress-dev (1.2.1-5)
- optimized compression packages
- golang-github-klauspost-cpuid-dev (1.2.0+dfsg1-1)
- CPU feature identification for Go
- golang-github-klauspost-crc32-dev (1.1-4)
- CRC32 hash with x64 optimizations
- golang-github-klauspost-pgzip-dev (1.1-1)
- parallel gzip (de)compression library for Go
- golang-github-klauspost-reedsolomon-dev (1.8.0-1)
- Reed-Solomon Erasure Coding in Go
- golang-github-knqyf263-go-cpe-dev (0.0~git20180327.659663f6-3)
- golang library for CPE (A Common Platform Enumeration 2.3)
- golang-github-knqyf263-go-deb-version-dev (0.0~git20170509.9865fe1-1)
- golang library for parsing deb package versions
- golang-github-knqyf263-go-rpm-version-dev (0.0~git20170716.74609b8-1)
- golang library for parsing rpm package versions
- golang-github-knqyf263-gost-dev (0.1.0+git20181204.5afeda5e-1)
- local copy tool of Security Tracker (Red Hat/Debian) written in go
- golang-github-kolo-xmlrpc-dev (0+git20150413.0826b98-3)
- Implementation of the XMLRPC client protocol in Go
- golang-github-kotakanbe-go-cve-dictionary-dev (0.3.1-1)
- builds a local copy of the NVD/JVN (source)
- golang-github-kotakanbe-go-pingscanner-dev (0.1.0+git20160420.1.58e188a-3)
- Go library to scan live hosts by ping command
- golang-github-kotakanbe-goval-dictionary-dev (0.1.1-1)
- Go library for create DB of data written in OVAL (source)
- golang-github-kotakanbe-logrus-prefixed-formatter-dev (0.0~git20170801.0.75edb2e8-4)
- Go package for Logrus Prefixed Log Formatter
- golang-github-kr-binarydist-dev (0.1.0-1)
- Go implementation of the bspatch algorithm
- golang-github-kr-fs-dev (0.0~git20131111.0.2788f0d-2)
- Provides filesystem-related functions for Go
- golang-github-kr-pretty-dev (0.1.0-1)
- Pretty printing for Go values
- golang-github-kr-pty-dev (1.1.3-1)
- Go package for using unix pseudo-terminals
- golang-github-kr-text-dev (0.1.0-1)
- Go package for manipulating paragraphs of text
- golang-github-kubernetes-gengo-dev (0.0~git20170531.0.c79c13d-1)
- Library for generating code based on Go files
- golang-github-kurin-blazer-dev (0.4.4-1)
- Go library for Backblaze's B2
- golang-github-kylelemons-godebug-dev (0.0~git20160406.0.eadb3ce-1)
- Debugging helper utilities for Go
- golang-github-kyokomi-emoji-dev (1.5.1-1)
- Go library for printing emoji as Unicode characters
- golang-github-labstack-echo.v2-dev (2.2.0-1)
- Echo is a fast and unfancy HTTP server framework for Golang
- golang-github-labstack-echo.v3-dev (3.3.5-1)
- Echo is a fast and unfancy HTTP server framework for Golang
- golang-github-labstack-gommon-dev (0.2.6-1)
- common package that provides parser, coloring, logging for go
- golang-github-leemcloughlin-gofarmhash-dev (0.0~git20160919.0.0a055c5-4)
- Implements Google's Farmhash in Golang
- golang-github-leodido-ragel-machinery-dev (0.0~git20181214.299bdde-1)
- Machineries for development of ragel parsers
- golang-github-lestrrat-go-pdebug-dev (0.0~git20160817.0.2e6eaaa-1)
- Print debugging library for Go
- golang-github-lib-pq-dev (0.0~git20151007.0.ffe986a-2)
- pure Go postgres driver for Go’s database/sql package
- golang-github-linuxkit-virtsock-dev (0.0~git20170720.0.0416e3d-1)
- Go bindings for Hyper-V and virtio sockets
- golang-github-lpabon-godbc-dev (1.0+git20140613.1.9577782-1)
- Design-by-contract library for Go
- golang-github-lsegal-gucumber-dev (0.0~git20160715.0.71608e2-1)
- Transitional package for golang-github-gucumber-gucumber-dev
- golang-github-lsegal-gucumber-dev
- virtueel pakket geboden door golang-github-gucumber-gucumber-dev
- golang-github-lucasb-eyer-go-colorful-dev (1.0-2)
- Library for handling color spaces in Go
- golang-github-lunixbochs-vtclean-dev (0.0~git20170504.d14193d-3)
- strips terminal escapes from text, can preserve color
- golang-github-lunny-log-dev (0.0~git20160921.0.7887c61-5)
- Logging library with sqlite support for Go
- golang-github-lunny-nodb-dev (0.0~git20160621.0.fc1ef06-4)
- Nosql database with kv, list, hash, zset, bitmap, set
- golang-github-machinebox-graphql-dev (0.2.2-1)
- simple low-level GraphQL HTTP client for Go
- golang-github-magiconair-properties-dev (1.8.1+really1.8.0-1)
- Java properties scanner for Go
- golang-github-mailru-easyjson-dev (0.0~git20161103.0.159cdb8-1.1)
- Fast JSON serializer for golang
- golang-github-makenowjust-heredoc-dev (0.0~git20140704.0.1d91351-1)
- Convert strings to here documents in Go
- golang-github-manyminds-api2go-dev (1.0-RC2+git20161229.31.dc368bb-1)
- JSONAPI.org implementation for Go
- golang-github-maraino-go-mock-dev (0.0~git20180321.4c74c43-1)
- mocking framework for the Go programming anguage
- golang-github-markbates-goth-dev (1.42.0-5)
- Multi-provider authentication for Go
- golang-github-markbates-inflect-dev (1.0.0-1)
- Go library to transform words from singular to plural (Git fork)
- golang-github-marstr-collection-dev (0.3.3+git20171004.e631537-1)
- implementation of a few basic data structures
- golang-github-masterminds-semver-dev (1.4.2-1)
- Semantic versions library in Go
- golang-github-masterminds-vcs-dev (1.12.0-4)
- VCS Repository Management for Go
- golang-github-masterzen-simplexml-dev (0.0~git20160608.0.4572e39-1)
- Go library to generate XML content from a naive DOM
- golang-github-masterzen-winrm-dev (0.0~git20170601.0.1ca0ba6-3)
- Windows remote command execution library for Go
- golang-github-masterzen-xmlpath-dev (0.0~git20140218.13f4951-3)
- subset of the XPath specification for Go (deprecated)
- golang-github-matryer-is-dev (1.2.0-1)
- professional lightweight testing mini-framework for Go
- golang-github-matryer-try-dev (1+git20161228.6.9ac251b-2)
- Simple idiomatic retry package for Go
- golang-github-mattn-go-colorable-dev (0.0.9-3)
- Golang library to colorable writer for windows
- golang-github-mattn-go-isatty-dev (0.0.7+really0.0.4-1)
- Golang library to implementation isatty interface
- golang-github-mattn-go-runewidth-dev (0.0.4-1)
- functions to get fixed width of the character or string
- golang-github-mattn-go-shellwords-dev (1.0.3-1)
- parse line as shell words in Golang
- golang-github-mattn-go-sqlite3-dev (1.6.0~ds1-1)
- sqlite3 driver for go that using database/sql
- golang-github-mattn-go-zglob-dev (0.0~git20171230.4959821-1)
- glob library that descends into other directories
- golang-github-mcuadros-go-version-dev (0.0~git20161105.0.257f7b9-4)
- version normalizer and comparison library for Go
- golang-github-mendersoftware-log-dev (0.0~git20180403.f608c95-2)
- Logging package
- golang-github-mendersoftware-mender-artifact-dev (3.0.0+really2.4.0-1)
- Library for managing Mender artifact files
- golang-github-mendersoftware-mendertesting-dev (0.0~git20180410.9e728b5-2)
- Testing package for Golang Build Status
- golang-github-mendersoftware-scopestack-dev (0.0~git20180403.c2f5599-2)
- Scopestack package for Golang Build Status
- golang-github-mesos-mesos-go-dev (0.0.2+dfsg-3)
- Go language bindings for Apache Mesos
- golang-github-mgutz-ansi-dev (0.0~git20170206.9520e82-3)
- Small, fast library to create ANSI colored strings and codes
- golang-github-miekg-dns-dev (1.0.4+ds-1)
- DNS protocol library for Go
- golang-github-miekg-mmark-dev (1.3.6+dfsg-1)
- Markdown processor in Go geared for the IETF (Go library)
- golang-github-miekg-pkcs11-dev (0.0~git20160602.0.8f7ddbc-2)
- pkcs11 wrapper for Go
- golang-github-minio-dsync-dev (0.0~git20170209.0.b9f7da7-2)
- Distributed sync package for Go
- golang-github-minio-minio-go-dev (6.0.11-2)
- Go library for Amazon S3 compatible cloud storage
- golang-github-minio-sha256-simd-dev (0.0~git20171213.ad98a36-3)
- implementation of SHA256 using SIMD instructions for Intel and ARM
- golang-github-mitchellh-cli-dev (0.0~git20171129.33edc47-2)
- library for implementing command-line interfaces
- golang-github-mitchellh-colorstring-dev (0.0~git20150917.0.8631ce9-1)
- Golang library for colorizing strings for terminal output
- golang-github-mitchellh-copystructure-dev (0.0~git20161013.0.5af94ae-2)
- library for deep copying values in Go
- golang-github-mitchellh-go-fs-dev (0.0~git20161108.7bae45d-3)
- Filesystem library for Go, implementing FAT filesystems so far
- golang-github-mitchellh-go-homedir-dev (0.0~git20161203.0.b8bc1bf-2)
- Go library for detecting and expanding the user's home directory
- golang-github-mitchellh-go-ps-dev (0.0~git20150710.0.e6c6068-1)
- Find, list, and inspect processes from Go (golang)
- golang-github-mitchellh-go-testing-interface-dev (0.0~git20171004.a61a995-1)
- library to expose *testing.T as an interface
- golang-github-mitchellh-go-vnc-dev (0.0~git20150629.0.723ed98-4)
- VNC client and server library for Go
- golang-github-mitchellh-go-wordwrap-dev (0.0~git20150314.0.ad45545-4)
- Go package for wrapping words into multiple lines
- golang-github-mitchellh-hashstructure-dev (1.0.0-1)
- generate hash values for arbitrary values in Golang
- golang-github-mitchellh-iochan-dev (0.0~git20150529.0.87b45ff-3)
- Go library for turning `io.Reader` into channels
- golang-github-mitchellh-mapstructure-dev (1.1.2-1)
- library for decoding generic map values into native Go structures
- golang-github-mitchellh-multistep-dev (0.0~git20170316.391576a-3)
- library for building up complex actions using discrete steps
- golang-github-mitchellh-panicwrap-dev (0.0~git20170106.fce601f-4)
- library for catching and handling panics in Go applications
- golang-github-mitchellh-prefixedio-dev (0.0~git20151214.0.6e69540-4)
- library that demultiplexes line-oriented data from an io.Reader
- golang-github-mitchellh-reflectwalk-dev (0.0~git20170726.63d60e9-3)
- library for "walking" complex structures, similar to walking a filesystem
- golang-github-mkrautz-goar-dev (0.0~git20150919.282caa8-1)
- library for reading and writing ar archives in Go
- golang-github-modern-go-concurrent-dev (1.0.3-1)
- Modern-go concurrency utilities
- golang-github-modern-go-reflect2-dev (1.0.0-1)
- Reflection API without runtime reflect.Value cost
- golang-github-montanaflynn-stats-dev (0.2.0+git20170729.66.4a16327-1)
- Statistics package for Go
- golang-github-moul-http2curl-dev (0.0~git20161031.0.4e24498+dfsg-1)
- Go package for convert Golang's http.Request to CURL command line
- golang-github-mozqnet-go-exploitdb-dev (0.0~git20181130.7c961e7-1)
- builds a local copy of the Exploit-DB (OffensiveSecurity) (source)
- golang-github-mreiferson-go-httpclient-dev (0.0~git20160630.0.31f0106-2)
- Go HTTP client with timeouts
- golang-github-mrjones-oauth-dev (0.0~git20170225.0.3f67d9c-4)
- OAuth 1.0 Library for Go
- golang-github-mrunalp-fileutils-dev (0.0~git20160930.0.4ee1cc9-1)
- collection of utilities for file manipulation in golang
- golang-github-mssola-user-agent-dev (0.4.1-1)
- HTTP User Agent parser for Golang
- golang-github-msteinert-pam-dev (0.0~git20170830.0.f4cd9f5-3)
- Go wrapper module for the PAM API
- golang-github-muesli-smartcrop-dev (0.2.0+git20180228.f6ebaa7+dfsg1-1)
- smartcrop finds good image crops for arbitrary crop sizes
- golang-github-mvdan-fdroidcl-dev (0.5.0-1)
- fdroidcl develpoment files
- golang-github-mvdan-xurls-dev (1.1.0-1)
- extract urls from text
- golang-github-mvo5-goconfigparser-dev (0.2.1-1)
- Python compatible INI parser
- golang-github-mvo5-uboot-go-dev (0.4+git256976a-2)
- Read/write uboot environment
- golang-github-mwitkow-go-conntrack-dev (0.0~git20161129.cc309e4-3)
- Go middleware for net.Conn tracking
- golang-github-mxk-go-flowrate-dev (0.0~git20140419.0.cca7078-1)
- Go package for limiting and monitoring data flow rate
- golang-github-namsral-flag-dev (1.7.4~alpha+git20170814.67f268f-1)
- Parse flags, environment variables and config files
- golang-github-naoina-go-stringutil-dev (0.1.0-4)
- Faster string utilities implementation for Go
- golang-github-naoina-toml-dev (0.1.1-4)
- TOML parser and encoder library for Golang
- golang-github-natefinch-lumberjack-dev (1.0-1)
- Go package for writing logs to rolling files
- golang-github-nats-io-gnatsd-dev (1.3.0+git20181112.3c52dc8-1.1)
- High-Performance server for NATA
- golang-github-nats-io-go-nats-dev (1.6.0+git20181112.9089d12-1)
- Golang client for NATS, the cloud native messaging system
- golang-github-nats-io-go-nats-streaming-dev (0.4.0+git20181116.19c9df8-1)
- NATS Streaming System
- golang-github-nats-io-jwt-dev (0.0~git20181120.285cf2c-4)
- JWT tokens signed using NKeys for the NATS ecosystem
- golang-github-nats-io-nkeys-dev (0.0~git20181103.f9a6cff-1)
- public-key signature system based on Ed25519
- golang-github-nats-io-nuid-dev (1.0.0+git20180712.3024a71-1)
- NATS Unique Identifiers
- golang-github-nbutton23-zxcvbn-go-dev (0.1-2.1)
- Strong password generator in Go
- golang-github-ncw-go-acd-dev (0.0~git20171120.887eb06-1)
- Go library for accessing Amazon Cloud Drive
- golang-github-ncw-rclone-dev (1.45-3+deb10u1) [security]
- go source code of rclone
- golang-github-ncw-swift-dev (0.0~git20180327.b2a7479-2)
- Go language interface to Swift / Openstack Object Storage / Rackspace cloud files
- golang-github-nebulouslabs-bolt-dev (1.0+git20170823.0.a22e934-1)
- low-level key/value database for Go
- golang-github-nebulouslabs-demotemutex-dev (0.0~git20151003.0.235395f-1)
- Allow an RWMutex writelock to be demoted to a readlock.
- golang-github-nebulouslabs-ed25519-dev (0.0~git20150728.0.0e573b1-1)
- Go implementation of the Ed25519 signature algorithm
- golang-github-nebulouslabs-entropy-mnemonics-dev (0.0~git20170316.0.7b01a64-1)
- Human readable entropy.
- golang-github-nebulouslabs-errors-dev (0.0~git20170430.98e1f05-1)
- errors with context, composition, and easy error checking
- golang-github-nebulouslabs-fastrand-dev (0.0~git20170512.60b6156-1)
- 10x faster than crypto/rand
- golang-github-nebulouslabs-go-upnp-dev (0.0~git20171003.0.1be4557-1)
- Opinionated interface to Internet Gateway Devices
- golang-github-nebulouslabs-merkletree-dev (0.0~git20170901.0.8482d02-1)
- Go package for working with Merkle trees
- golang-github-neelance-astrewrite-dev (0.0~git20160511.0.9934826-4)
- Go tool to walk & rewrite AST
- golang-github-neelance-sourcemap-dev (0.0~git20151028.0.8c68805-4)
- Javascript source map reader and writer for Go
- golang-github-neowaylabs-wabbit-dev (0.0~git20180530.0.d089945-1)
- Golang AMQP mocking library
- golang-github-nfnt-resize-dev (0.0~git20180221.83c6a99-1)
- Pure golang image resizing
- golang-github-ngaut-deadline-dev (0.0~git20170224.0.71c16b1-6)
- deadline reader/writer
- golang-github-ngaut-go-zookeeper-dev (0.0~git20150813.0.9c3719e-5)
- Native ZooKeeper client for Go
- golang-github-ngaut-log-dev (0.0~git20170307.0.d2af3a6-4)
- Provides a simple log wrapper for ngaut libraries
- golang-github-ngaut-pools-dev (0.0~git20141008.0.6352e00-4)
- provides pools for resources
- golang-github-ngaut-sync2-dev (0.0~git20141008.0.7a24ed7-6)
- Additional synchronization primitives extracted from Vitess
- golang-github-nicksnyder-go-i18n-dev (1.10.0-2)
- Go package for i18n with templates and CLDR plural support
- golang-github-nightlyone-lockfile-dev (0.0~git20170804.6a197d5-1)
- Golang library to handle locking via pid files
- golang-github-nlopes-slack-dev (0.1.0-1)
- Go library for Slack API
- golang-github-nsf-termbox-go-dev (0.0~git20160914-3)
- pure Go implementation of termbox library
- golang-github-nu7hatch-gouuid-dev (0.0~git20131221.0.179d4d0-5)
- pure Go UUID implementation as specified in RFC 4122
- golang-github-nwidger-jsoncolor-dev (20161209-2)
- Produce colorized json output for Go
- golang-github-nytimes-gziphandler-dev (1.0.1-1)
- Golang middleware to gzip HTTP responses
- golang-github-odeke-em-cache-dev (0.0~git20151107.0.baf8e436-2)
- Simple cache with expirable values
- golang-github-odeke-em-cli-spinner-dev (0.0~git20150423.610063b-4)
- Simple spinner library for commandline
- golang-github-odeke-em-command-dev (0.0~git20151021.0.91ca5ec-2)
- cli subcommands for Go
- golang-github-odeke-em-ripper-dev (0.0~git20150415.0.bd1a682-3)
- scrape licenses out of files -- library
- golang-github-ogier-pflag-dev (0.0~git20160129.0.45c278a-4)
- POSIX/GNU-style command-line flags for Go
- golang-github-oklog-ulid-dev (0.3.0+git20170117.66bb656-1)
- ULID generation library for Golang
- golang-github-okzk-sdnotify-dev (0.0~git20180710.d9becc3-3)
- systemd's service notification protocol (sd_notify)
- golang-github-oleiade-reflections-dev (0.1.2+git20131121.2.632977f-2)
- high level abstractions over Golang reflect library
- golang-github-olekukonko-tablewriter-dev (0.0.1-1)
- generate ASCII tables in Golang
- golang-github-olekukonko-ts-dev (0.0~git20140412.0.ecf753e-2)
- Simple go library to get Terminal Size
- golang-github-oneofone-xxhash-dev (1.2.4-1)
- native implementation of the excellent XXHash hashing algorithm
- golang-github-opencontainers-go-digest-dev (1.0.0~rc1-1)
- Common digest package used across the container ecosystem
- golang-github-opencontainers-image-spec-dev (1.0.1-1)
- Open Container Initiative (OCI) Image Format Specification
- golang-github-opencontainers-runc-dev (1.0.0~rc6+dfsg1-3+deb10u3) [security]
- Open Container Project - development files
- golang-github-opencontainers-runtime-spec-dev
- virtueel pakket geboden door golang-github-opencontainers-specs-dev
- golang-github-opencontainers-runtime-tools-dev (0.8.0+dfsg-1)
- OCI Runtime Tools
- golang-github-opencontainers-selinux-dev (1.0.0~rc1+git20170621.5.4a2974b-1+deb10u1) [security]
- common selinux implementation
- golang-github-opencontainers-specs-dev (1.0.1-5)
- Open Container Specifications
- golang-github-opennota-urlesc-dev (0.0~git20160726.0.5bd2802-1)
- Proper URL escaping as per RFC3986 for Go
- golang-github-opentracing-contrib-go-stdlib-dev (0.0~git20170528.48e4d76-1)
- OpenTracing instrumentation for packages in the Go stdlib
- golang-github-opentracing-opentracing-go-dev (1.0.2-1)
- Go platform API for OpenTracing
- golang-github-openzipkin-zipkin-go-dev (0.1.5+git20190103.2fd7f4a-1)
- Zipkin tracer library for go
- golang-github-oschwald-geoip2-golang-dev (1.1.0-4)
- Unofficial MaxMind GeoIP2 Reader for Go
- golang-github-oschwald-maxminddb-golang-dev (1.2.0-4)
- MaxMind DB Reader for Go
- golang-github-oxtoacart-bpool-dev (0.0~git20150712.4e1c556-1)
- Buffer/Byte pool for Go
- (0.0~git20170607.0.078cc0a-1)
- copy files to remote hosts using WinRM (Go library)
- golang-github-parnurzeal-gorequest-dev (0.2.15-1)
- Go library for simplified HTTP client
- golang-github-patrickmn-go-cache-dev (2.1.0-1)
- in-memory key:value store/cache (similar to Memcached)
- golang-github-paulbellamy-ratecounter-dev (0.2.0+git20170719.a803f0e-3)
- thread-safe RateCounter implementation in Golang
- golang-github-paulrosania-go-charset-dev (0.0~git20151028.0.621bb39-3)
- character set conversion for Go
- golang-github-pborman-uuid-dev (1.1-1)
- Go bindings to work with UUIDs
- golang-github-pelletier-go-buffruneio-dev (0.2.0-1)
- wrapper around bufio to provide buffered runes access
- golang-github-pelletier-go-toml (1.4.0+really1.2.0-1)
- Go library for the TOML language -- commandline programs
- golang-github-pelletier-go-toml-dev (1.4.0+really1.2.0-1)
- Go library for the TOML language
- golang-github-petar-gollrb-dev (0.0~git20130427.0.53be0d3+dfsg-4)
- LLRB implementation of balanced binary search trees for Go
- golang-github-peterbourgon-diskv-dev (2.0.1-1)
- disk-backed key-value store
- golang-github-peterh-liner-dev (0.0~git20171122.3681c2a-3)
- Command line editor with history
- golang-github-peterhellberg-link-dev (1.0.0-4)
- Go package for parsing link headers
- golang-github-philhofer-fwd-dev (0.0~git20151005.0.8fd9a4b-1)
- Buffered Reader/Writer
- golang-github-pierrec-lz4-dev (0.0~git20170519.0.5a3d224-2)
- LZ4 compression and decompression in pure Go
- golang-github-pierrec-xxhash-dev (0.1.1-4)
- pure Go implementation of xxHash (32 and 64 bits versions)
- golang-github-pingcap-check-dev (0.0~git20170902.0.4c65d06-4)
- rich testing extension for Go's testing package
- golang-github-pivotal-golang-clock-dev (0.0~git20151018.0.3fd3c19-2)
- Time provider and clock testing library for Go
- golang-github-pkg-errors-dev (0.8.1-1)
- Simple error handling primitives for Go
- golang-github-pkg-profile-dev (1.2.1-2)
- Simple profiling for Go
- golang-github-pkg-sftp-dev (1.8.3-1)
- SFTP support for the golang.org/x/crypto/ssh package
- golang-github-pkg-term-dev (0.0~git20181116.27bbf2e-1+deb10u1)
- package term manages POSIX terminals
- golang-github-pkg-xattr-dev (0.4.0-1)
- Extended attribute support for Go
- golang-github-pmezard-go-difflib-dev (1.0.0-2)
- partial port of Python difflib package to Go
- golang-github-pmylund-go-cache-dev (0.0~git20150304-2)
- Go library for in-memory key/value store similar to memcached
- golang-github-pointlander-compress-dev (1.1.0-5)
- parallelized modular compression library
- golang-github-pointlander-jetset-dev (1.0.0-4)
- JetSet is a compressed set of numbers
- golang-github-pointlander-peg-dev (1.0.0-5)
- implementation of a Packrat parser generator - dev package
- golang-github-posener-complete-dev (1.1+git20180108.57878c9-3)
- bash completion written in go
- golang-github-pquerna-cachecontrol-dev (0.0~git20171018.0dec1b3-1)
- HTTP Cache-Control Parser and Interpretation
- golang-github-pquerna-ffjson-dev (0.0~git20181028.e517b90-1)
- faster JSON serialization for Go
- golang-github-pquerna-otp-dev (1.0.0+git20170913.6.c70cbf6-3)
- Google Authenticator compatible one time passwords for Go
- golang-github-proglottis-gpgme-dev (0.0~git20181127.3b0be09-2)
- Go wrapper for the GPGME library
- golang-github-prometheus-alertmanager-dev (0.15.3+ds-3+deb10u1) [security]
- Handle and deliver alerts created by Prometheus -- source
- golang-github-prometheus-client-golang-dev (0.9.0-1)
- Prometheus instrumentation library for Go applications
- golang-github-prometheus-client-model-dev (0.0.2+git20171117.99fa1f4-1)
- data model artifacts for Prometheus
- golang-github-prometheus-common-dev (0+git20181119.b36ad28-1+deb10u1)
- Common libraries for Prometheus components
- golang-github-prometheus-tsdb-dev (0.4.0-1)
- Prometheus storage layer library
- golang-github-protonmail-go-autostart-dev (0.0~git20181114.c527205-2)
- Go library to run a command after login
- golang-github-puerkitobio-goquery-dev (1.1.0+git20170324.3.ed7d758-1)
- jQuery-style HTML manipulation in Go
- golang-github-puerkitobio-purell-dev (1.1.0-2)
- tiny Go library to normalize URLs
- golang-github-puerkitobio-urlesc-dev
- virtueel pakket geboden door golang-github-opennota-urlesc-dev
- golang-github-pzhin-go-sophia-dev (0.0~git20180715.8bdc218-2)
- Golang binding for the Sophia database
- golang-github-qor-inflection-dev (0.0~git20151009.0.3272df6-2)
- Pluralizes and singularizes English nouns
- golang-github-quobyte-api-dev (0.0~git20160913.0.bf713b5-1)
- Quobyte API client for Go
- golang-github-racksec-srslog-dev (0.0~git20160120.0.259aed1-2)
- replacement for the standard Golang library "log/syslog"
- golang-github-raintank-met-dev (0.0~git20161103.0.05a94bb-2)
- wrapper around metric client libraries for Go
- golang-github-rainycape-unidecode-dev (0.0~git20150906.0.c9cf8cd-6)
- replace non-ASCII characters with their ASCII approximations
- golang-github-rakyll-globalconf-dev (0.0~git20140819-2)
- Effortlessly persist/retrieve flags in Go programs
- golang-github-rakyll-statik-dev (0.1.1-3)
- embed static files into a Go executable. Dev package
- golang-github-rcrowley-go-metrics-dev (0.0~git20180125.8732c61-2)
- Application level metrics capturing library for Go
- golang-github-remeh-sizedwaitgroup-dev (0.0~git20180822.5e7302b-1)
- Go library for limiting the amount of concurrent goroutines
- golang-github-remyoudompheng-bigfft-dev (0.0~git20170806.52369c6-1)
- Golang big integer multiplication library
- golang-github-renstrom-dedent-dev (1.0.0+git20150819.3.020d11c-1)
- Remove any common leading whitespace from multiline strings
- golang-github-restic-chunker-dev (0.2.0-1)
- implementation of Content Defined Chunking (CDC) in Go
- golang-github-retailnext-hllpp-dev (1.0.0+git20170901.6e8b6d3-3)
- HyperLogLog++ cardinality estimation algorithm
- golang-github-revel-revel-dev (0.12.0+dfsg-4)
- high productivity, full-stack web framework for the Go language
- golang-github-rfjakob-eme-dev (1.1-4)
- EME wide-block encryption for Go
- golang-github-rifflock-lfshook-dev (2.2-1)
- local filesystem hook for logrus (Go library)
- golang-github-rivo-tview-dev (0.0~git20181018.a7c1880-1)
- Rich interactive widgets for terminal-based UIs in Go
- golang-github-rjeczalik-notify-dev (0.9.2-1)
- file system event notification library on steroids
- golang-github-roaringbitmap-roaring-dev (0.4.7-1)
- Roaring bitmaps in Go
- golang-github-robfig-config-dev (0.0~git20141208-4)
- Configuration file parser for INI format
- golang-github-robfig-cron-dev (1+git20160914.40.783cfcb-2)
- cron library for go
- golang-github-robfig-pathtree-dev (0.0~git20140121-3)
- Go library for fast lookups using trees
- golang-github-rogpeppe-fastuuid-dev (0.0~git20150106.0.6724a57-2)
- fast generation of 192-bit UUIDs
- golang-github-rogpeppe-go-charset-dev
- virtueel pakket geboden door golang-github-paulrosania-go-charset-dev
- golang-github-rs-xid-dev (1.1-4)
- globally unique id generator thought for the web
- golang-github-rsc-letsencrypt-dev (0.0~git20160929.0.76104d2-4)
- Go library to manage TLS certificates automatically via LetsEncrypt.org
- golang-github-rubyist-tracerx-dev (0.0~git20170927.0.7879593-1)
- Output tracing information in your Go app based on environment variables
- golang-github-russellhaering-goxmldsig-dev (0.0~git20170911.b7efc62-1+deb10u1)
- Pure Go implementation of XML Digital Signatures
- golang-github-russross-blackfriday-dev (1.5.2-1)
- Blackfriday: a markdown processor for Go
- golang-github-rwcarlsen-goexif-dev (0.0~git20180410.fb35d3c-1)
- Decode embedded EXIF meta data from image files with Go
- golang-github-ryanuber-columnize-dev (2.1.0-2)
- Easy column formatted output for golang
- golang-github-safchain-ethtool-dev (0.0~git20180308.79559b4-1)
- simple ethtool-like library for Go
- golang-github-sahilm-fuzzy-dev (0.1.0-1)
- Go library for fuzzy string matching
- golang-github-saintfish-chardet-dev
- virtueel pakket geboden door golang-github-gogits-chardet-dev
- golang-github-samalba-dockerclient-dev (0.0~git20160531.0.a303626-2)
- Docker client library in Go
- golang-github-samuel-go-zookeeper-dev (0.0~git20180130.c4fab1a-1)
- native ZooKeeper client for Go
- golang-github-sanity-io-litter-dev (1.1.0+git20171129.f8fd6a5-1)
- Pretty printer library for Go data structures to aid in debugging and testing
- golang-github-sasha-s-go-deadlock-dev (0.1.0-4)
- Online deadlock detection in go
- golang-github-satori-go.uuid-dev (1.2.0-2)
- Go package for creating and parsing UUID
- golang-github-satta-ifplugo-dev (0.0~git20180801.8b80699-1)
- ifplugd-powered network link status notification for Go
- golang-github-seandolphin-bqschema-dev (0.0~git20150424.0.f92a08f-3)
- Go library to process Google Big Query
- golang-github-sebest-xff (0.0~git20160910.6c115e0-1+b10)
- Golang Middleware to handle X-Forwarded-For Header
- golang-github-sebest-xff-dev (0.0~git20160910.6c115e0-1)
- Golang Middleware to handle X-Forwarded-For Header (development files)
- golang-github-seccomp-libseccomp-golang-dev (0.9.0-2)
- golang bindings for libseccomp
- golang-github-segmentio-kafka-go-dev (0.2.1-1)
- Kafka library in Go
- golang-github-seiflotfy-cuckoofilter-dev (0.0~git20170413.0.5bd91bc-4)
- Bloom filter replacement using cuckoo hashing
- golang-github-serenize-snaker-dev (0.0~git20170425.0.1c7f653-1)
- Convert camel cased strings to snake case and back
- golang-github-sergi-go-diff-dev (1.0.0-1)
- Diff, match and patch text in Go
- golang-github-sevlyar-go-daemon-dev (0.1.4-1)
- library for writing system daemons
- golang-github-shibukawa-configdir-dev (0.0~git20170330.e180dbd-1)
- multi platform library of configuration directories for Golang
- golang-github-shiena-ansicolor-dev (0.0~git20151119.0.a422bbe-2)
- Ansicolor library for Golang
- golang-github-shirou-gopsutil-dev (2.18.06-1)
- Go package implementing psutils
- golang-github-shogo82148-go-shuffle-dev (0.0~git20170808.0.5982909-1)
- Primitives for shuffling slices and user-defined collections in Go
- golang-github-shopify-logrus-bugsnag-dev (0.0~git20171204.577dee2-1)
- logrus-bugsnag is a hook that interfaces Logrus with Bugsnag
- golang-github-shopify-sarama-dev (1.20.1-1)
- Go library for Apache Kafka
- golang-github-shopspring-decimal-dev (0.0~git20170816.b9ab2bc-1)
- arbitrary-precision fixed-point decimal numbers in go
- golang-github-showmax-go-fqdn-dev (0.0~git20160909.2501cdd-1)
- Golang library to provide local machine FQDN
- golang-github-shurcool-sanitized-anchor-name-dev (0.0~git20170918.86672fc-1)
- Go package for creating sanitized anchor names
- golang-github-siddontang-go-dev (0.0~git20170517.0.cb568a3-4)
- Collection of siddontang libraries for Go
- golang-github-siddontang-go-snappy-dev (0.0~git20140704.0.d8f7bb8-4)
- Snappy compression format in Go
- golang-github-siddontang-goredis-dev (0.0~git20150324.0.760763f-4)
- Redis client implementation in Go
- golang-github-siddontang-rdb-dev (0.0~git20150307.0.fc89ed2-5)
- Read Redis rdb files in Go
- golang-github-sirupsen-logrus-dev (1.3.0-1)
- Logrus: a logging library for Go
- golang-github-sjoerdsimons-ostree-go-dev (0.0~git20180830.1ac74ff-1)
- Golang bindings for OSTree
- golang-github-skarademir-naturalsort-dev (0.0~git20150715.0.69a5d87-1)
- simple natural sorter for Go Strings
- golang-github-skratchdot-open-golang-dev (0.0~git20160302.0.75fb7ed-2)
- library to open an URI in the user's preferred application
- golang-github-smartystreets-assertions-dev (1.6.0+dfsg-1)
- fluent assertion-style functions
- golang-github-smartystreets-goconvey-dev (1.6.1-3)
- Go testing in browser - sources
- golang-github-smartystreets-gunit-dev (1.2.0+git20180314.6f0d627-2)
- xUnit-style test fixture adapter for go test
- golang-github-smira-commander-dev (0.0~git20140515.f408b00-1)
- library to provide command-line commands and sub-commands
- golang-github-smira-flag-dev (0.0~git20170926.695ea5e-1)
- flag with a Get() interface{} method
- golang-github-smira-go-aws-auth-dev (0.0~git20160320.0070896-1)
- signs requests to Amazon Web Services
- golang-github-smira-go-ftp-protocol-dev (0.0~git20140829.066b75c-2)
- plugin for http.Transport with support for ftp:// protocol
- golang-github-smira-go-xz-dev (0.0~git20150414.0c531f0-2)
- simple .xz decompression using external program (xz --decompress)
- golang-github-snapcore-snapd-dev (2.37.4-1+deb10u3) [security]
- snappy development go packages.
- golang-github-socketplane-libovsdb-dev (0.1+git20160503.9.d4b9e7a53548-2)
- OVSDB client library written in Go
- golang-github-soniah-gosnmp-dev (1.16-1)
- SNMP library written in Go
- golang-github-spacejam-loghisto-dev (0.0~git20150819.0.3233097-2)
- counters and logarithmically bucketed histograms for distributed systems
- golang-github-spaolacci-murmur3-dev (1.1-3)
- Native MurmurHash3 Go implementation
- golang-github-spf13-afero-dev (1.2.2+really1.2.1-1)
- FileSystem Abstraction System for Go
- golang-github-spf13-cast-dev (1.3.0-1)
- Safe and easy casting from one type to another in Go
- golang-github-spf13-cobra-dev (0.0.3-1)
- Go library for creating powerful modern Go CLI applications
- golang-github-spf13-cobra-dev
- virtueel pakket geboden door golang-github-dnephin-cobra-dev
- golang-github-spf13-fsync-dev (0.0~git20170320.0.12a01e6-1)
- Keeps files or directories in sync for Go
- golang-github-spf13-jwalterweatherman-dev (1.1.0+really1.0.0+git20181028.94f6ae3-1)
- Go library for both printing to the terminal and logging to files
- golang-github-spf13-nitro-dev (0.0~git20131003.0.24d7ef3-4)
- Quick and easy performance analyzer library for Go
- golang-github-spf13-pflag-dev (1.0.3-1)
- Drop-in replacement for Go's flag package, implementing POSIX/GNU-style --flags
- golang-github-spf13-viper-dev (1.3.2+really1.3.1-1)
- Go configuration with fangs
- golang-github-ssor-bom-dev (0.0~git20170718.0.6386211-4)
- small Go library to clean bom from byte array or reader
- golang-github-stacktic-dropbox-dev (0.0~git20160424.0.58f839b-2)
- Go client library for the Dropbox core and Datastore API
- golang-github-stathat-go-dev (0.0~git20130314.0.01d012b-2)
- Go package for reporting stat counts and values to StatHat
- golang-github-steveyen-gtreap-dev (0.0~git20150807.0.0abe01e-4)
- gtreap is an immutable treap implementation in the Go Language
- golang-github-stevvooe-resumable-dev (0.0~git20150521.0.51ad441-2)
- Subset of the Go `crypto` Package with a Resumable Hash Interface
- golang-github-stevvooe-ttrpc-dev (0.0~git20180205.d452837-1)
- GRPC for low-memory environments
- golang-github-streadway-amqp-dev (0.0~git20150820.0.f4879ba-6)
- Go client for AMQP 0.9.1
- golang-github-stretchr-objx-dev (0.1.1+git20180825.ef50b0d-1)
- Go package for dealing with maps, slices, JSON and other data
- golang-github-stretchr-testify-dev (1.3.0+ds-1)
- sacred extension to the standard Go testing package
- golang-github-stvp-go-udp-testing-dev (0.0~git20150316.0.abcd331-2)
- UDP test helpers for Go
- golang-github-stvp-tempredis-dev (0.0~git20160122.0.83f7aae-2)
- Go package to start and stop temporary redis-server processes
- golang-github-surma-gocpio-dev (1.1.0+git20160926.fcb6877-1)
- Go package for cpio archives
- golang-github-svent-go-flags-dev (1-2)
- go library for parsing command line arguments
- golang-github-svent-go-nbreader-dev (0~20150201-3)
- non-blocking io.Reader for go
- golang-github-syncthing-notify-dev (0.0~git20180806.b76b458-1)
- File system event notification library on steroids
- golang-github-syncthing-syncthing-dev (1.0.0~ds1-1+deb10u1) [security]
- decentralized file synchronization - dev package
- golang-github-syndtr-goleveldb-dev (0.0~git20170725.0.b89cc31-2)
- LevelDB key/value database in Go
- golang-github-tarm-serial-dev (0.0+git20151113-5)
- Go package for serial port communucation
- golang-github-tchap-go-patricia-dev (2.2.6-5)
- golang-go-patricia is a generic patricia trie (also called radix tree).
- golang-github-tcnksm-go-gitconfig-dev (0.1.2-1)
- Golang library for gitconfig values
- golang-github-tcnksm-go-input-dev (0.0~git20180404.548a7d7-1)
- Go package for ideal tty prompt
- golang-github-tdewolff-minify-dev (2.3.8-1)
- Go minifiers for web formats
- golang-github-tdewolff-parse-dev (2.3.5-1)
- Go parsers for web formats
- golang-github-tdewolff-test-dev (1.0.0-2)
- Go test helper functions
- golang-github-tealeg-xlsx-dev (1.0.3+git20181024.dbf71b6-1)
- golang library for reading and writing XLSX files
- golang-github-templexxx-cpufeat-dev (0.0~git20170927.0.3794dfb-5)
- internal/cpu in Go
- golang-github-templexxx-reedsolomon-dev (0.1.1+git20170927.7092926-4)
- Reed-Solomon Erasure Code engine in Go
- golang-github-templexxx-xor-dev (0.1.2-5)
- XOR in Go, more than 10GB/s per core
- golang-github-tendermint-ed25519-dev (0.0~git20160723.0.1f52c6f-2)
- public-key signature library for Go
- golang-github-tendermint-go-autofile-dev (0.0~20170129~0git48b17de-1)
- Library for creating log files, WAL files, and more
- golang-github-tendermint-go-clist-dev (0~20161010~0git3baa390-3)
- goroutine-safe linked-list implementation
- golang-github-tendermint-go-common-dev (0~20170309~0gitdcb015d-1)
- Tendermint Go common utilities
- golang-github-tendermint-go-config-dev (0.0~git20160626.0.e64b424-1)
- Simple Go configuration tool
- golang-github-tendermint-go-crypto-dev (0.0~git20160724.0.4b11d62-1)
- Go library for cryptography
- golang-github-tendermint-go-db-dev (0.1~20170131~0git72f6dac-2)
- Tendermint key-value database library
- golang-github-tendermint-go-event-meter-dev (0.0~git20160420.0.c9240a5-2)
- publisher-subscriber pattern over websockets
- golang-github-tendermint-go-events-dev (0.0~git20161021.0.1c85cb9-1)
- Tendermint publisher-subscriber pattern implementation
- golang-github-tendermint-go-flowrate-dev (0.0~git20161104.0.a20c98e-1)
- library for arbitrary data stream's transfer rate handling
- golang-github-tendermint-go-logger-dev (0~20161018~0gitcefb3a4-3)
- Tendermint Go logging utilities
- golang-github-tendermint-go-merkle-dev (0.1~20170131~0git7a86b44-1)
- Merkle-ized data structures with proofs
- golang-github-tendermint-go-p2p-dev (0.0~git20170113.0.3d98f67-1)
- Tendermint P2P library
- golang-github-tendermint-go-process-dev (0.1~20170125~0gitb27edfd-1)
- Tendermint process library
- golang-github-tendermint-go-rpc-dev (0.0~git20161021.0.e6e3853-2)
- RPC server in Go supporting multiple request formats
- golang-github-tendermint-go-wire-dev (1.0~rc+git20161223.40.2f3b7aa-2)
- Go bindings for the Wire encoding protocol
- golang-github-tendermint-log15-dev (2.3-67-g9545b24-2)
- Tendermint logging toolkit
- golang-github-tent-canonical-json-go-dev (0.0~git20130607.0.96e4ba3-2)
- Canonical JSON marshaling in Go
- golang-github-tent-http-link-go-dev (0.0~git20130702.0.ac974c6-6)
- HTTP Link parsing in Go
- golang-github-thecreeper-go-notify-dev (0.0~git20160203.0.b5cd147-4)
- implementation of the GNOME DBus Notifications Specification
- golang-github-thejerf-suture-dev (3.0.0-1)
- supervisor trees for Go
- golang-github-theupdateframework-notary-dev
- virtueel pakket geboden door golang-github-docker-notary-dev
- golang-github-thomsonreuterseikon-go-ntlm-dev (0.0~git20151030.0.b00ec39-1)
- NTLM Implementation for Go
- golang-github-throttled-throttled-dev (2.2.1-1)
- rate limiting access to resources such as HTTP endpoints
- golang-github-tideland-golib-dev (4.24.2-1)
- collection of misc Go packages
- golang-github-tidwall-gjson-dev (1.1.5-2)
- JSON parser for Go
- golang-github-tidwall-match-dev (1.0.1-2)
- simple string pattern matcher for Go
- golang-github-tinylib-msgp-dev (1.0.2-2)
- Go code generator for MessagePack (source)
- golang-github-tj-go-spin-dev (1.1.0-4)
- terminal spinner package for Golang
- golang-github-tjfoc-gmsm-dev (1.1-6)
- GM SM2/3/4 library based for Golang
- golang-github-tmc-scp-dev (0.0+20170825-1)
- basic implementation of scp for go
- golang-github-tobi-airbrake-go-dev (0.0~git20150109-2)
- Go library to report exceptions and errors to the Airbrake service
- golang-github-tonistiigi-fifo-dev (0.0~git20161203.0.fe870cc-1)
- Go package for handling FIFOs in a sane way
- golang-github-tsenart-tb-dev (0.0~git20151208.0.19f4c3d-2)
- generic lock-free implementation of the "Token-Bucket" algorithm
- golang-github-ttacon-chalk-dev (0.1-2)
- Go library for prettifying terminal/console output
- golang-github-tv42-zbase32-dev (0.0~git20160707.5015726-3)
- Human-oriented encoding for binary data
- golang-github-twinj-uuid-dev (0.10.0+git20160909.96.7bbe408-4)
- RFC 4122 and DCE 1.1 compliant UUIDs in Go
- golang-github-twstrike-gotk3adapter-dev (0.0~git20170505.0.901a95d+ds-3)
- adapters and interfaces for gotk3
- golang-github-twstrike-otr3-dev (0.0~git20161015.0.744856d-3)
- Go implementation of the OTR 3 protocol
- golang-github-ubuntu-core-snappy-dev (2.37.4-1+deb10u3) [security]
- transitional dummy package
- golang-github-ugorji-go-codec (1.1.1-1+b10)
- encode/decode and rpc library for msgpack, binc, cbor and json
- golang-github-ugorji-go-codec-dev (1.1.1-1)
- encode/decode and rpc library for msgpack, binc, cbor and json
- golang-github-ugorji-go-msgpack-dev (0.0~git20130605.792643-5)
- encode/decode and rpc library for msgpack and Binc
- golang-github-ulule-limiter-dev (2.2.1-1)
- Dead simple rate limit middleware for Go
- golang-github-ungerik-go-sysfs-dev (0.0~git20170424.9c991ee-2)
- Go package for Linux sysfs
- golang-github-unknwon-com-dev (1+git20170819.13.7677a1d-4)
- commonly used functions for Golang
- golang-github-unknwon-goconfig-dev (0.0~git20160828.0.5aa4f8c-3)
- configuration file (.ini) parser
- golang-github-unknwon-i18n-dev (0.0~git20170218.0.8372b90-7)
- Internationalization and Localization in Go
- golang-github-unknwon-paginater-dev (0.0~git20170405.0.45e5d63-5)
- Helper module for custom pagination calculation
- golang-github-urfave-cli-dev (1.20.0-1)
- package for building command line apps in Go
- golang-github-urfave-negroni-dev (0.2.0-1)
- Idiomatic HTTP Middleware for Golang
- golang-github-valyala-bytebufferpool-dev (0.0~git20160817.0.e746df9-3)
- Anti-memory-waste byte buffer pool for go
- golang-github-valyala-fasthttp-dev (20160617-2)
- Fast HTTP library for Go
- golang-github-valyala-fasttemplate-dev (0.0~git20170224.0.dcecefd+dfsg-3)
- Simple and fast template engine for Go
- golang-github-vaughan0-go-ini-dev (0.0~git20130923.0.a98ad7e-3)
- INI parsing library for Go
- golang-github-vbatts-go-mtree-dev (0.4.2-1)
- file systems verification library, in likeness of mtree(8)
- golang-github-vbatts-tar-split-dev (0.10.2-1)
- tar archive assembly/disassembly (source)
- golang-github-vdemeester-shakers-dev (0.0~git20160210.0.24d7f1d-2)
- collection of go-checker checkers
- golang-github-viki-org-dnscache-dev (0.0~git20130720.0.c70c1f2-1)
- DNS cache for Go
- golang-github-vimeo-go-magic-dev (1.0.0-1)
- Go bindings for libmagic
- golang-github-vishvananda-netlink-dev (1.0.0-1)
- netlink library for go
- golang-github-vishvananda-netns-dev (0.0~git20170707.0.86bef33-1)
- network namespaces in go
- golang-github-vitrun-qart-dev (0.1-4)
- generates not-so-ugly qr codes
- golang-github-vividcortex-ewma-dev (0.0~git20160822.20.c595cd8-3)
- Exponentially Weighted Moving Average algorithms for Go
- golang-github-vividcortex-godaemon-dev (0.0~git20150910.3d9f6e0-1)
- Daemonize Go applications deviously
- golang-github-vjeantet-grok-dev (1.0.0-3)
- simple library to use/parse grok patterns with Go
- golang-github-vmware-govmomi-dev (0.15.0-1)
- Go library for the VMware vSphere API
- golang-github-vmware-photon-controller-go-sdk-dev (0.0~PROMOTED-339-1)
- VMware Photon Controller API library
- golang-github-voxelbrain-goptions-dev (2.5.11-2)
- flexible Go parser for command line options
- golang-github-weaveworks-mesh-dev (0.1+git20180323.0c91e69-1)
- go library to build distributed systems
- golang-github-wellington-go-libsass-dev (0.9.2+git20181130.4ef5b9d-1)
- Go wrapper for libsass, the only Sass 3.5 compiler for Go
- golang-github-weppos-dnsimple-go-dev (0.0~git20160204.0.65c1ca7-2)
- DNSimple API v1 client for Go
- golang-github-willf-bitset-dev (1.1.3-3)
- Implements bitsets, a mapping between non-negative integers and boolean values
- golang-github-willfaught-gockle-dev (0.0~git20160623.4f254e1-1)
- simpler and mockable gocql
- golang-github-wsxiaoys-terminal-dev (0.0~git20160513.0.0940f3f-1)
- Colorful terminal output for Golang
- golang-github-xanzy-go-cloudstack-dev (2.1.1+git20160728.1.1e2cbf6-1)
- Golang API client to interact with Apache CloudStack
- golang-github-xanzy-ssh-agent-dev (0.2.0-1)
- Go library for creating SSH agents
- golang-github-xeipuuv-gojsonpointer-dev (0.0~git20151027.0.e0fe6f6-2)
- JSON Pointer implementation in Golang
- golang-github-xeipuuv-gojsonreference-dev (0.0~git20150808.0.e02fc20-2)
- JSON Reference implementation in Golang
- golang-github-xeipuuv-gojsonschema-dev (0.0~git20170210.0.6b67b3f-2)
- implementation of JSON Schema, draft v4
- golang-github-xenolf-lego-dev (0.3.1-5)
- Let's Encrypt ACME library
- golang-github-xi2-xz-dev (0.0~git20171230.48954b6-1)
- native Go XZ decompression
- golang-github-xiang90-probing-dev (0.0.2-1)
- Go library for HTTP probing
- golang-github-xiaq-persistent-dev (0.0~git20180301.cd415c6-1)
- Persistent data structure in Go
- golang-github-xlab-treeprint-dev (0.0~git20180324.505f0ee-1)
- simple ASCII tree composing tool
- golang-github-xordataexchange-crypt (0.0.2+git20170626.21.b2862e3-2+b21)
- Store/retrieve encrypted configs from etcd or Consul (CLI tool)
- golang-github-xordataexchange-crypt-dev (0.0.2+git20170626.21.b2862e3-2)
- Store/retrieve encrypted configs from etcd or Consul (Go library)
- golang-github-xorpaul-uiprogress-dev (0.0~git20170224.d0567a9-1)
- Go library to render progress bars in terminal applications
- golang-github-xtaci-kcp-dev (5.0.7-1)
- Full-Featured Reliable-UDP Library for golang
- golang-github-xtaci-smux-dev (1.1.0+ds-2)
- Simple Multiplexing for golang
- golang-github-xyproto-pinterface-dev (2.0-2)
- Interface types for simple* and permission* packages
- golang-github-xyproto-simpleredis-dev (2.0-2)
- easy way to use Redis from Go
- golang-github-yl2chen-cidranger-dev (0.0~git20180214.928b519-1)
- Fast IP to CIDR lookup in Golang
- golang-github-ymomoi-goval-parser-dev (0.0~git20170813.0.0a0be1d-2)
- OVAL parser written in go
- golang-github-yohcop-openid-go-dev (0.0~git20170901.0.cfc72ed-3)
- OpenID 2.0 implementation in Go
- golang-github-yosssi-ace-dev (0.0.5-3)
- HTML template engine for Go (library package)
- golang-github-yosssi-ace-proxy-dev (0.0~git20141007.0.ecd9b78-5)
- Proxy for the Ace template engine (Go library)
- golang-github-yosssi-gohtml-dev (0.0~git20180130.97fbf36-1)
- HTML formatter for Go
- golang-github-yuin-gopher-lua-dev (0.0~git20170915.0.eb1c729-3)
- virtual machine and compiler for Lua in Go
- golang-github-zenazn-goji-dev (1.0+git20170812.c05078c-4)
- minimalistic web framework for Golang
- golang-github-zyedidia-clipboard-dev (0.0~git20180718.bd31d74-1)
- clipboard for golang
- golang-github-zyedidia-glob-dev (0.0~git20170209.dd4023a-1)
- Go package for glob matching
- golang-github-zyedidia-tcell-dev (0.0~git20190212.5c58b4e-1)
- Cell based view for text terminals
- golang-glide (0.13.1-3+b11)
- Vendor package management for Go
- golang-glog-dev (0.0~git20160126.23def4e-2)
- Leveled execution logs for Go
- golang-go (2:1.11~1)
- Go programming language compiler, linker, compiled stdlib
- golang-go-dbus-dev (1~bzr20150122-3)
- Go interface for D-Bus
- golang-go-flags-dev (1.3.0-4)
- Go library for parsing command line arguments
- golang-go-md2man-dev
- virtueel pakket geboden door golang-github-cpuguy83-go-md2man-dev
- golang-go-patricia-dev
- virtueel pakket geboden door golang-github-tchap-go-patricia-dev
- golang-go-semver-dev (0.2.0-3)
- Transitional package for golang-github-coreos-go-semver-dev
- golang-go-semver-dev
- virtueel pakket geboden door golang-github-coreos-go-semver-dev
- golang-go-systemd-dev
- virtueel pakket geboden door golang-github-coreos-go-systemd-dev
- golang-go-xdg-dev (0~bzr20140219-3)
- Go interface for XDG standards
- golang-go-zfs-dev (2.1.1-2)
- Go library for ZFS manipulation
- golang-go.net-dev (1:0.0+git20181201.351d144+dfsg-3)
- Transitional package for golang-golang-x-net-dev
- golang-go.net-dev
- virtueel pakket geboden door golang-golang-x-net-dev
- golang-go.opencensus-dev (0.19.0-2)
- Stats collection and distributed tracing framework
- golang-go.pedge-env-dev (0.0~git20171203.5f5a7de-4)
- structured handling of environment variables in Go
- golang-go.tools (1:0.0~git20190125.d66bd3c+ds-4+b1)
- Transitional package for golang-golang-x-tools
- golang-go.tools
- virtueel pakket geboden door golang-golang-x-tools
- golang-go.tools-dev (1:0.0~git20190125.d66bd3c+ds-4)
- Transitional package for golang-golang-x-tools-dev
- golang-go.tools-dev
- virtueel pakket geboden door golang-golang-x-tools-dev
- golang-go.uber-atomic-dev (1.2.0+git20170719.9.70bd126-1)
- Simple wrappers for primitive types to enforce atomic access
- golang-go.uber-multierr-dev (1.1.0-1)
- multierr allows combining one or more Go errors together
- golang-go.uber-zap-dev (1.9.1-1)
- Blazing fast, structured, leveled logging in Go
- golang-go4-dev (0.0~git20180103.fba789b-1)
- go4 collection of packages
- golang-gocapability-dev (0.0~git20160928.0.e7cb7fa-2)
- Utilities for manipulating POSIX capabilities in Go.
- golang-godebiancontrol-dev (0.0~git20140119-2)
- Go Debian control file parser
- golang-gogoprotobuf-dev (1.0.0+git20180330.1ef32a8b-1)
- transitional package -- safe to remove
- golang-golang-x-crypto-dev (1:0.0~git20181203.505ab14-1+deb10u1) [security]
- Supplementary Go cryptography libraries
- golang-golang-x-image-dev (0.0~git20190321.3fc05d4+really0.0~git20181116.cd38e80-1)
- supplementary Go image libraries
- golang-golang-x-net-dev (1:0.0+git20181201.351d144+dfsg-3)
- Supplementary Go networking libraries
- golang-golang-x-oauth2-dev (0.0~git20180821.d2e6202-1)
- make OAuth2 authorized and authenticated HTTP requests
- golang-golang-x-oauth2-google-dev (0.0~git20180821.d2e6202-1)
- Google APIs support for OAuth2
- golang-golang-x-sync-dev (0.0~git20171101.fd80eb9-1)
- Supplemental Go synchronization libraries
- golang-golang-x-sys-dev (0.0~git20190412.9773273+really0.0~git20181228.9a3f9b0-1)
- Low-level interaction with the operating system
- golang-golang-x-text-dev (0.3.0-2)
- Supplementary Go text-related libraries
- golang-golang-x-time-dev (0.0~git20161028.0.f51c127-2)
- Go supplementary time packages
- golang-golang-x-tools (1:0.0~git20190125.d66bd3c+ds-4+b1)
- supplementary Go tools
- golang-golang-x-tools-dev (1:0.0~git20190125.d66bd3c+ds-4)
- supplementary Go tools (development files)
- golang-gomega-dev (1.0+git20160910.d59fa0a-1)
- Matcher/assertion library for the Go programming language
- golang-google-api-dev (0.0~git20180916.19ff876-2)
- Google APIs Client Library
- golang-google-appengine-dev (1.4.0-1)
- basic functionality for Google App Engine
- golang-google-cloud-compute-metadata-dev (0.9.0-10)
- Google Cloud Platform APIs (only cloud/compute/metadata)
- golang-google-cloud-dev (0.9.0-10)
- Google Cloud Platform APIs
- golang-google-genproto-dev (0.0~git20190111.db91494-4)
- Generated Go packages for common protocol buffer types
- golang-google-grpc-dev (1.11.0-1)
- implementation of gRPC in Go
- golang-googlecode-p-gcfg-dev
- virtueel pakket geboden door golang-gopkg-gcfg.v1-dev
- golang-gopkg-alecthomas-kingpin.v2-dev (2.2.6-1)
- command-line and flag parser for Go
- golang-gopkg-alecthomas-kingpin.v3-dev (3.0~git20180227.b8d601d-1)
- command-line and flag parser for Go
- golang-gopkg-alecthomas-kingpin.v3-unstable-dev (3.0~git20180227.b8d601d-1)
- Transitional package for golang-gopkg-check.v1-dev
- golang-gopkg-alecthomas-kingpin.v3-unstable-dev
- virtueel pakket geboden door golang-gopkg-alecthomas-kingpin.v3-dev
- golang-gopkg-alexcesaro-quotedprintable.v3-dev (0.0~git20150716.0.2caba25-4)
- Implements quoted-printable and message header encoding in Go
- golang-gopkg-alexcesaro-statsd.v1-dev (0.0~git20160306.0.c289775-2)
- simple and efficient Golang StatsD client
- golang-gopkg-asn1-ber.v1-dev (1.2-4)
- ASN1 BER Encoding / Decoding Library for the GO programming language
- golang-gopkg-bufio.v1-dev (1-2)
- buffered I/O in Golang
- golang-gopkg-check.v1-dev (0.0+git20180628.788fd78-1)
- Rich testing extension for Go's testing package
- golang-gopkg-cheggaaa-pb.v1-dev (1.0.25-1)
- simple console progress bar for Go
- golang-gopkg-cheggaaa-pb.v2-dev (2.0.6-3)
- simple console progress bar for Go
- golang-gopkg-dancannon-gorethink.v1-dev (1.4.1-5)
- RethinkDB driver for Go
- golang-gopkg-dancannon-gorethink.v2-dev (2.0.4-1)
- Go driver for RethinkDB
- golang-gopkg-eapache-channels.v1-dev (1.1.0-1)
- collection of helpers around golang's existing channels
- golang-gopkg-eapache-go-resiliency.v1-dev (1.0.0-4)
- resiliency patterns for golang
- golang-gopkg-eapache-queue.v1-dev (1.0.2-4)
- fast golang queue using ring-buffer
- golang-gopkg-editorconfig-editorconfig-core-go.v1-dev (1.2.0-4)
- EditorConfig file parser and writer in Go
- golang-gopkg-elazarl-goproxy.v1-dev
- virtueel pakket geboden door golang-github-elazarl-goproxy-dev
- golang-gopkg-errgo.v1-dev (0.0~git20161222.442357a-3)
- Dependable Go errors with tracebacks, version 1.
- golang-gopkg-fatih-pool.v2-dev (0.0~git20171010.010e0b7-3)
- thread safe connection pool for net.Conn interface
- golang-gopkg-flosch-pongo2.v3-dev (3.0-4)
- Django-syntax like template-engine for Go
- golang-gopkg-freddierice-go-losetup.v1-dev (0.0~git20170407.fc9adea-1)
- Golang losetup implementation
- golang-gopkg-gcfg.v1-dev (1.2.3-1)
- read INI-style configuration files into Go structs
- golang-gopkg-go-playground-assert.v1-dev (1.2.1-1)
- Basic Assertion Library used along side native go testing
- golang-gopkg-go-playground-validator.v8-dev (8.18.1-1)
- Go Struct and Field validation (version 8.x)
- golang-gopkg-gomail.v2-dev (2.0.0+git20160411.23.81ebce5-2)
- simple and efficient package to send emails in Go
- golang-gopkg-gorethink-gorethink.v3-dev (3.0.5-1)
- Go language driver for RethinkDB
- golang-gopkg-guregu-null.v2-dev (2.2+git20150913.0.4ac4f00-1)
- Reasonable handling of nullable SQL and JSON values
- golang-gopkg-guregu-null.v3-dev (3.1+git20160228.0.41961ce-1)
- Reasonable handling of nullable SQL and JSON values
- golang-gopkg-h2non-filetype.v1-dev (1.0.5+ds1-2)
- infer file types checking the magic numbers signature
- golang-gopkg-hlandau-configurable.v1-dev (1.0.1-5)
- Go package for managing program configuration
- golang-gopkg-hlandau-easyconfig.v1-dev (1.0.17-3)
- Go package with easy bindings for configurable
- golang-gopkg-hlandau-service.v2-dev (2.0.16-4)
- Go package for writing services
- golang-gopkg-hlandau-svcutils.v1-dev (1.0.10-6)
- utilities for writing services in Go
- golang-gopkg-httprequest.v1-dev (0.0~git20171212.fdaf1bf-5)
- helper types for issuing and handling HTTP requests
- golang-gopkg-inf.v0-dev (0.9.0-3)
- Golang library for "infinite-precision" decimal arithmetic
- golang-gopkg-inf.v0-dev
- virtueel pakket geboden door golang-speter-go-exp-math-dec-inf-dev
- golang-gopkg-ini.v1-dev (1.37.0-1)
- INI file read and write functionality in Go
- golang-gopkg-jarcoal-httpmock.v1-dev (0.0~git20180304.61bcb58-2)
- HTTP mocking for Golang
- golang-gopkg-lxc-go-lxc.v2-dev (0.0~git20181101.0aadfc3-1)
- Go bindings for liblxc
- golang-gopkg-macaron.v1-dev (1.2.2-2)
- modular web framework in Go
- golang-gopkg-macaroon.v1-dev (0.0~git20150121.0.ab3940c-1)
- Macaroon implementation in go
- golang-gopkg-mcuadros-go-syslog.v2-dev (2.2.1-1)
- syslog server library for Golang
- golang-gopkg-mgo.v2-dev (2016.08.01-5)
- Go library for MongoDB
- golang-gopkg-natefinch-lumberjack.v2-dev (2.1-1)
- Go package for writing logs to rolling files
- golang-gopkg-neurosnap-sentences.v1-dev (1.0.6-1)
- Sentence tokenizer for Go
- golang-gopkg-olivere-elastic.v2-dev (2.0.12-2)
- Elasticsearch client for Golang
- golang-gopkg-olivere-elastic.v3-dev (3.0.41-1)
- Elasticsearch client for Golang
- golang-gopkg-olivere-elastic.v5-dev (5.0.69-1)
- Elasticsearch client for Golang
- golang-gopkg-pg.v5-dev (5.3.3-3)
- PostgreSQL client and ORM for Golang
- golang-gopkg-readline.v1-dev (1.4-1)
- Pure Go implementation for GNU Readline-like library
- golang-gopkg-redis.v2-dev (2.3.2-2)
- Redis client for Golang
- golang-gopkg-retry.v1-dev (0.0~git20161025.0.c09f6b8-1)
- Simple retry mechanism for Go
- golang-gopkg-robfig-cron.v2-dev (1+git20150107.be2e0b0-1)
- cron library for go
- golang-gopkg-sourcemap.v1-dev (1.0.5-2)
- Source Maps consumer for Golang
- golang-gopkg-square-go-jose.v1-dev (1.1.2-2)
- Javascript Object Signing and Encryption (JOSE) for Go
- golang-gopkg-testfixtures.v2-dev (2.2.0-2)
- Rails-like test fixtures for Go
- golang-gopkg-throttled-throttled.v2-dev
- virtueel pakket geboden door golang-github-throttled-throttled-dev
- golang-gopkg-tomb.v1-dev (0.0~git20141024.0.dd63297-5)
- API to track the lifecyle of Golang goroutines, and provide clean termination
- golang-gopkg-tomb.v2-dev (0.0~git20161208.d5d1b58-3)
- API to track the lifecyle of Golang goroutines, and provide clean termination
- golang-gopkg-tylerb-graceful.v1-dev (1.2.15-4)
- Go package for gracefully shutting down HTTP server
- golang-gopkg-validator.v2-dev (0.0+git20160116-3)
- Go package for validator library
- golang-gopkg-vmihailenco-msgpack.v2-dev (3.1.1-4)
- Msgpack encoding for Golang
- golang-gopkg-warnings.v0-dev (0.1.2-1)
- implements error handling with non-fatal errors (warnings)
- golang-gopkg-xmlpath.v2-dev (0.0~git20150820.0.860cbec-5)
- strict subset of the XPath specification for the Go language
- golang-gopkg-yaml.v2-dev (2.2.2-1+deb10u1) [security]
- YAML support for the Go language
- golang-goprotobuf-dev (1.2.0-1+b10)
- Protocol buffer support for the Go programming language
- golang-goptlib-dev (0.6-3)
- library for Tor pluggable transports written in Go
- golang-gosqlite-dev (0.0~hg20130601-1)
- Trivial sqlite3 binding for Go (development files)
- golang-grpc-gateway (1.6.4-2+b11)
- gRPC to JSON proxy generator for Golang -- binaries
- golang-guestfs-dev (1:1.40.2-2)
- guest disk image management system - Golang bindings
- golang-honnef-go-augeas-dev (0.0~git20161110.0.ca62e35-1)
- Go bindings for Augeas
- golang-layeh-gopher-luar-dev (1.0.4-1)
- Simplifies data passing between Go and GopherLua
- golang-libgeoip-dev (0.0~git20140312.0.c78e8bd-1)
- Go implementation for lookups against GeoIP files
- golang-logrus-dev (1.3.0-1)
- Transitional package for golang-github-sirupsen-logrus-dev
- golang-logrus-dev
- virtueel pakket geboden door golang-github-sirupsen-logrus-dev
- golang-metrics-dev (0.0~git20150823-3)
- Go package to capture application-level metrics
- golang-mode (3:1.5.0-2)
- Emacs mode for editing Go code -- transitional package
- golang-mreiferson-httpclient-dev (0.0~git20160630.0.31f0106-2)
- Transitional package for golang-github-mreiferson-go-httpclient-dev
- golang-mreiferson-httpclient-dev
- virtueel pakket geboden door golang-github-mreiferson-go-httpclient-dev
- golang-nzaat-dev (1.0-2)
- Go implementation of the NZAAT hash algorithm
- golang-objx-dev (0.1.1+git20180825.ef50b0d-1)
- Transitional package for golang-github-stretchr-objx-dev
- golang-objx-dev
- virtueel pakket geboden door golang-github-stretchr-objx-dev
- golang-openldap-dev (0.2-2)
- OpenLDAP client integration for Go, using cgo
- golang-pault-go-archive-dev (1.0-1)
- bindings to work with a Debian archive
- golang-pault-go-blobstore-dev (0.0~git20180314.d6d187c-1)
- de-duplicating storage abstraction
- golang-pault-go-config-dev (0.1-2)
- Go package for using structs for configuration/flags
- golang-pault-go-debian-dev (0.9-1)
- library to parse and handle common Debian file formats
- golang-pault-go-gecos-dev (1.0-1)
- read GECOS entries from /etc/passwd programmatically
- golang-pault-go-macchanger-dev (0.0~git20170902.0.0252df5-1)
- library to change MAC addresses on Linux systems
- golang-pault-go-technicolor-dev (0.1-1)
- implements a high level interface to write ANSI color sequences
- golang-pault-go-topsort-dev (0.0~git20160529.f98d2ad-1)
- library to topologically sort a DAG
- golang-pq-dev (0.0~git20151007.0.ffe986a-2)
- Transitional package for golang-github-lib-pq-dev
- golang-pq-dev
- virtueel pakket geboden door golang-github-lib-pq-dev
- golang-pretty-dev (0.1.0-1)
- Transitional package for golang-github-kr-pretty-dev
- golang-pretty-dev
- virtueel pakket geboden door golang-github-kr-pretty-dev
- golang-procfs-dev (0+git20181204.1dc9a6c-1)
- Golang library to retrieve metrics from the proc pseudo-filesystem
- golang-prometheus-client-dev (0.9.0-1)
- Transitional package for golang-github-prometheus-client-golang-dev
- golang-prometheus-client-dev
- virtueel pakket geboden door golang-github-prometheus-client-golang-dev
- golang-protobuf-extensions-dev (1.0.1-1)
- Protocol Buffer extensions for the Go language
- golang-pty-dev
- virtueel pakket geboden door golang-github-kr-pty-dev
- golang-redhat-audit-dev (1:2.8.4-3)
- Go client bindings for the libaudit library
- golang-redoctober (0.0~git20161017.0.78e9720-3+b10)
- Software-based two-man rule style encryption and decryption server
- golang-rice (0.0~git20160123.0.0f3f5fd-4+b10)
- Go package for embedding web resources into Go executables
- golang-robustirc-bridge-dev (1.8-1)
- Go packages to connect to RobustIRC
- golang-rsc-qr-dev (0.0~git20161121.48b2ede-1)
- Basic QR encoder
- golang-siphash-dev (1.0.0-2)
- Go implementation of SipHash-2-4
- golang-snappy-go-dev (0.0+git20160529.d9eb7a3-3)
- Transitional package for golang-github-golang-snappy-dev
- golang-snappy-go-dev
- virtueel pakket geboden door golang-github-golang-snappy-dev
- golang-sorcix-irc-dev (1.1.0-2)
- generic support for the IRC protocol in Go
- golang-speter-go-exp-math-dec-inf-dev (0.0~git20140417.0.42ca6cd-2)
- "infinite-precision" decimal arithmetic in Golang
- golang-src (2:1.11~1)
- Go programming language - source files
- golang-statik (0.1.1-3+b11)
- embed static files into a Go executable
- golang-strk.kbt-projects-go-libravatar-dev (0.0~git20161111.0.d628b68-5)
- Simple Go library for serving federated avatars
- golang-testify-dev
- virtueel pakket geboden door golang-github-stretchr-testify-dev
- golang-text-dev (0.1.0-1)
- Transitional package for golang-github-kr-text-dev
- golang-text-dev
- virtueel pakket geboden door golang-github-kr-text-dev
- golang-thrift-dev (0.11.0-4)
- Go language support for Thrift
- golang-toml-dev (0.3.0+git20170626.7.a368813-1)
- Transitional package for golang-github-burntsushi-toml-dev
- golang-toml-dev
- virtueel pakket geboden door golang-github-burntsushi-toml-dev
- golang-uuid-dev (1.1-1)
- Transitional package for golang-github-pborman-uuid-dev
- golang-uuid-dev
- virtueel pakket geboden door golang-github-pborman-uuid-dev
- golang-vhost-dev (0.0~git20140120-2+b11)
- Go library to implement virtual hosting for different protocols
- golang-websocket-dev (1.4.0-1+deb10u1) [security]
- Transitional package for golang-github-gorilla-websocket-dev
- golang-websocket-dev
- virtueel pakket geboden door golang-github-gorilla-websocket-dev
- golang-x-text-dev (0.3.0-2)
- Transitional package for golang-golang-x-text-dev
- golang-x-text-dev
- virtueel pakket geboden door golang-golang-x-text-dev
- golang-xi2-x-xz-dev (0.0~git20171230.48954b6-1)
- transitional package
- golang-yaml.v2-dev (2.2.2-1+deb10u1) [security]
- Transitional package for golang-gopkg-yaml.v2-dev
- golang-yaml.v2-dev
- virtueel pakket geboden door golang-gopkg-yaml.v2-dev
- goldencheetah (1:3.5~DEV1810-1)
- set of analysis tools for cycling performance
- goldendict (1.5.0~rc2+git20181207+ds-1)
- feature-rich dictionary lookup program
- goldendict-wordnet (1:3.0-35)
- electronic lexical database of English language (goldendict)
- goldeneye (1.2.0-5)
- HTTP DoS test tool
- golearn
- virtueel pakket geboden door goplay
- golint (0.0+git20181214.8f45f77-1+b10)
- Linter for Go source code
- golly (3.2-2)
- Game of Life simulator using hashlife algorithm
- gom (0.30.2-9)
- Command line and interactive ncurses-based OSS audio mixer
- gomoku.app (1.2.9-3+b1)
- Uitgebreid vijf-op-een-rij spel voor GNUstep
- goo (0.155-17)
- generic object-orientator (programming language)
- goobook (3.3-1)
- command-line interface to Google contacts
- goobox (3.5.1-6)
- Cd-speler en -ripper met GNOME 3-integratie
- goodvibes (0.4.2-1)
- lightweight internet radio player
- google-android-build-tools-17-installer (17.0.0+nmu3) [contrib]
- Google build tools 17 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-18-installer (18.1.1+nmu3) [contrib]
- Google build tools 18 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-19-installer (19.0.3+nmu3) [contrib]
- Google build tools 19 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-20-installer (20.0.0+nmu3) [contrib]
- Google build tools 20 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-21-installer (21.1.2+nmu3) [contrib]
- Google build tools 21 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-22-installer (22.0.1+nmu3) [contrib]
- Google build tools 22 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-23-installer (23.0.3+nmu3) [contrib]
- Google build tools 23 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-24-installer (24.0.2+nmu3) [contrib]
- Google build tools 24 for Android (aapt, aidl, dexdump, dx)
- google-android-build-tools-installer (23.0.3) [contrib]
- Google build tools for Android (aapt, aidl, dexdump, dx)
- google-android-m2repository-installer (41) [contrib]
- Google Android support m2 repository
- google-android-platform-10-installer (10+r02+nmu3) [contrib]
- Google's Android SDK Platform 10 Installer
- google-android-platform-11-installer (11+r02+nmu3) [contrib]
- Google's Android SDK Platform 11 Installer
- google-android-platform-12-installer (12+r03+nmu3) [contrib]
- Google's Android SDK Platform 12 Installer
- google-android-platform-13-installer (13+r01+nmu3) [contrib]
- Google's Android SDK Platform 13 Installer
- google-android-platform-14-installer (14+r04+nmu3) [contrib]
- Google's Android SDK Platform 14 Installer
- google-android-platform-15-installer (15+r05+nmu3) [contrib]
- Google's Android SDK Platform 15 Installer
- google-android-platform-16-installer (16+r05+nmu3) [contrib]
- Google's Android SDK Platform 16 Installer
- google-android-platform-17-installer (17+r03+nmu3) [contrib]
- Google's Android SDK Platform 17 Installer
- google-android-platform-18-installer (18+r03+nmu3) [contrib]
- Google's Android SDK Platform 18 Installer
- google-android-platform-19-installer (19+r04+nmu3) [contrib]
- Google's Android SDK Platform 19 Installer
- google-android-platform-2-installer (2+r1+nmu3) [contrib]
- Google's Android SDK Platform 2 Installer
- google-android-platform-20-installer (20+r02+nmu3) [contrib]
- Google's Android SDK Platform 20 Installer
- google-android-platform-21-installer (21+r02+nmu3) [contrib]
- Google's Android SDK Platform 21 Installer
- google-android-platform-22-installer (22+r02+nmu3) [contrib]
- Google's Android SDK Platform 22 Installer
- google-android-platform-23-installer (23+r03+nmu3) [contrib]
- Google's Android SDK Platform 23 Installer
- google-android-platform-24-installer (24+r02+nmu3) [contrib]
- Google's Android SDK Platform 24 Installer
- google-android-platform-3-installer (3+r04+nmu3) [contrib]
- Google's Android SDK Platform 3 Installer
- google-android-platform-4-installer (4+r03+nmu3) [contrib]
- Google's Android SDK Platform 4 Installer
- google-android-platform-5-installer (5+r01+nmu3) [contrib]
- Google's Android SDK Platform 5 Installer
- google-android-platform-6-installer (6+r01+nmu3) [contrib]
- Google's Android SDK Platform 6 Installer
- google-android-platform-7-installer (7+r03+nmu3) [contrib]
- Google's Android SDK Platform 7 Installer
- google-android-platform-8-installer (8+r03+nmu3) [contrib]
- Google's Android SDK Platform 8 Installer
- google-android-platform-9-installer (9+r02+nmu3) [contrib]
- Google's Android SDK Platform 9 Installer
- google-cloud-print-connector (1.12-1+b22)
- Google Cloud Print CUPS Connector
- google-compute-engine (20190124-3)
- Google Compute Engine guest environment for cloud images
- google-compute-engine-oslogin (20190124-3)
- OS Login guest environment for Google Compute Engine
- google-mock (1.8.1-3)
- Google's framework for writing and using C++ mock classes
- google-perftools (2.7-1)
- command line utilities to analyze the performance of C++ programs
- google-translate-cli
- virtueel pakket geboden door translate-shell
- googlefontdirectory-tools (20120309.1-1.1)
- various tools for generating, analysing and manipulating font files
- googler (3.7.1-1)
- Power tool to Google (Web & News) and Google Site Search from the terminal
- googletest (1.8.1-3)
- Google's C++ test framework sources
- googletest-tools (1.8.1-3)
- Google's C++ test framework sources
- gopass (1.2.0-2+deb10u1) [security]
- pass implementation in Go
- gopchop (1.1.8-6+b1)
- fast, lossless cuts-only editor for MPEG2 video files
- gopher (3.0.16+b2)
- Distributed Hypertext Client, Gopher protocol
- gopher-client
- virtueel pakket geboden door gopher
- gopher-server
- virtueel pakket geboden door pygopherd
- goplay (0.9.1+nmu1+b2)
- games (and more) package browser using DebTags
- gorm.app (1.2.24-1)
- Visual Interface Builder for GNUstep
- gosa (2.7.4+reloaded3-8+deb10u2)
- Web Based LDAP Administration Program
- gosa-desktop (2.7.4+reloaded3-8+deb10u2)
- Desktop integration for GOsa²
- gosa-dev (2.7.4+reloaded3-8+deb10u2)
- GOsa² development utilities
- gosa-help-de (2.7.4+reloaded3-8+deb10u2)
- German online help for GOsa²
- gosa-help-en (2.7.4+reloaded3-8+deb10u2)
- English online help for GOsa
- gosa-help-fr (2.7.4+reloaded3-8+deb10u2)
- French online help for GOsa²
- gosa-help-nl (2.7.4+reloaded3-8+deb10u2)
- Dutch online help for GOsa
- gosa-plugin-connectivity (2.7.4+reloaded3-8+deb10u2)
- connectivity plugin for GOsa²
- gosa-plugin-dhcp (2.7.4+reloaded3-8+deb10u2)
- dhcp plugin for GOsa²
- gosa-plugin-dhcp-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² dhcp plugin
- gosa-plugin-dns (2.7.4+reloaded3-8+deb10u2)
- dns plugin for GOsa²
- gosa-plugin-dns-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² dns plugin
- gosa-plugin-gofax (2.7.4+reloaded3-8+deb10u2)
- gofax plugin for GOsa²
- gosa-plugin-gofon (2.7.4+reloaded3-8+deb10u2)
- gofon plugin for GOsa²
- gosa-plugin-goto (2.7.4+reloaded3-8+deb10u2)
- goto plugin for GOsa²
- gosa-plugin-kolab (2.7.4+reloaded3-8+deb10u2)
- kolab plugin for GOsa²
- gosa-plugin-kolab-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² kolab plugin
- gosa-plugin-ldapmanager (2.7.4+reloaded3-8+deb10u2)
- ldapmanager plugin for GOsa²
- gosa-plugin-mail (2.7.4+reloaded3-8+deb10u2)
- base mail plugin for GOsa²
- gosa-plugin-mailaddress (0.99.7-1)
- Simple plugin to manage user mail addresses in GOsa²
- gosa-plugin-mit-krb5 (2.7.4+reloaded3-8+deb10u2)
- mit-krb5 plugin for GOsa²
- gosa-plugin-mit-krb5-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² mit-krb5 plugin
- gosa-plugin-nagios (2.7.4+reloaded3-8+deb10u2)
- nagios plugin for GOsa²
- gosa-plugin-nagios-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² nagios plugin
- gosa-plugin-netatalk (2.7.4+reloaded3-8+deb10u2)
- netatalk plugin for GOsa²
- gosa-plugin-netgroups (0.2-1)
- NIS netgroups plugin for GOsa²
- gosa-plugin-opengroupware (2.7.4+reloaded3-8+deb10u2)
- opengroupware plugin for GOsa²
- gosa-plugin-openxchange (2.7.4+reloaded3-8+deb10u2)
- openxchange plugin for GOsa²
- gosa-plugin-openxchange-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² openxchange plugin
- gosa-plugin-phpgw (2.7.4+reloaded3-8+deb10u2)
- phpgw plugin for GOsa²
- gosa-plugin-phpgw-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² phpgw plugin
- gosa-plugin-phpscheduleit (2.7.4+reloaded3-8+deb10u2)
- phpscheduleit plugin for GOsa²
- gosa-plugin-phpscheduleit-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² phpscheduleit plugin
- gosa-plugin-pptp (2.7.4+reloaded3-8+deb10u2)
- pptp plugin for GOsa²
- gosa-plugin-pptp-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² pptp plugin
- gosa-plugin-pureftpd (2.7.4+reloaded3-8+deb10u2)
- pureftpd plugin for GOsa²
- gosa-plugin-pureftpd-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² pureftpd plugin
- gosa-plugin-pwreset (0.99.5-2)
- Password Management Add-On for GOsa²
- gosa-plugin-rolemanagement (2.7.4+reloaded3-8+deb10u2)
- rolemanagement plugin for GOsa²
- gosa-plugin-rsyslog (2.7.4+reloaded3-8+deb10u2)
- rsyslog plugin for GOsa²
- gosa-plugin-samba (2.7.4+reloaded3-8+deb10u2)
- samba3 plugin for GOsa²
- gosa-plugin-scalix (2.7.4+reloaded3-8+deb10u2)
- scalix plugin for GOsa²
- gosa-plugin-squid (2.7.4+reloaded3-8+deb10u2)
- squid plugin for GOsa²
- gosa-plugin-ssh (2.7.4+reloaded3-8+deb10u2)
- ssh plugin for GOsa²
- gosa-plugin-ssh-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² ssh plugin
- gosa-plugin-sudo (2.7.4+reloaded3-8+deb10u2)
- sudo plugin for GOsa²
- gosa-plugin-sudo-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa² sudo plugin
- gosa-plugin-systems (2.7.4+reloaded3-8+deb10u2)
- systems plugin for GOsa²
- gosa-plugin-uw-imap (2.7.4+reloaded3-8+deb10u2)
- uw-imap plugin for GOsa²
- gosa-plugin-webdav (2.7.4+reloaded3-8+deb10u2)
- webdav plugin for GOsa²
- gosa-schema (2.7.4+reloaded3-8+deb10u2)
- LDAP schema for GOsa
- gost (0.1.0+git20181204.5afeda5e-1+b11)
- local copy tool of Security Tracker (Red Hat/Debian) written in go
- gost-crypto-dkms (0.3-1)
- Linux kernel modules implementing GOST cryptography
- gostsum (1.1.0.3-1)
- Utility to compute GOST hashes
- gosu (1.10-1+b23)
- Simple Go-based setuid+setgid+setgroups+exec
- gotail (1.0.0+git20180327.c434825-1+b10)
- Go implementation of tail
- gource (0.49-1+b2)
- graphical source control visualisation
- gourmet (0.17.4-6)
- Recipe organizer and shopping list generator
- goval-dictionary (0.1.1-1)
- Tools to create DB of data written in OVAL
- govendor (1.0.9+ds1-1+b20)
- Go vendor tool that works with the standard vendor file
- gox (0.3.0-3+b10)
- simple cross compilation tool for Go
- goxel (0.8.1-1)
- 3D voxel editor
- gozer (0.7.nofont.1-7)
- text renderer
- gozerbot (0.99.1-5)
- IRC and Jabber bot written in Python
- gpa (0.10.0-1)
- GNU Privacy Assistant (GPA)
- gpac (0.5.2-426-gc5ad4e4+dfsg5-5)
- GPAC Project on Advanced Content - utilities
- gpac-modules-base (0.5.2-426-gc5ad4e4+dfsg5-5)
- GPAC Project on Advanced Content - modules
- gpaco (2:0.10-1.1)
- Transitional package to pull in grop
- gpaint (0.3.3-6.1+b1)
- GNU Paint - a small, easy to use paint program for GNOME
- gpart (1:0.3-6)
- Guess PC disk partition table, find lost partitions
- gparted (0.32.0-2)
- GNOME-partitiebewerker
- gpasm
- virtueel pakket geboden door gputils
- gpaste (3.30.2-1)
- Clipboard management system for GNOME
- gpaw (1.5.1-1)
- DFT and beyond within the projector-augmented wave method
- gpaw-data (0.9.20000-2)
- gpaw datasets/setups
- gperf (3.1-1)
- Perfect hash function generator
- gperf-ace (6.4.5+dfsg-1)
- ACE perfect hash function generator (transitional package)
- gperiodic (3.0.3-1)
- periode tabel applicatie
- gpg (2.2.12-1+deb10u2)
- GNU Privacy Guard -- minimalist public key operations
- gpg-agent (2.2.12-1+deb10u2)
- GNU privacy guard - cryptographic agent
- gpg-remailer (3.04.04-1)
- Forwards re-encrypted PGP/GPG mail to a group
- gpg-wks-client (2.2.12-1+deb10u2)
- GNU privacy guard - Web Key Service client
- gpg-wks-server (2.2.12-1+deb10u2)
- GNU privacy guard - Web Key Service server
- gpgconf (2.2.12-1+deb10u2)
- GNU privacy guard - core configuration utilities
- gpgrt-tools (1.35-1)
- GnuPG development runtime library (executable tools)
- gpgsm (2.2.12-1+deb10u2)
- GNU privacy guard - S/MIME version
- gpgv (2.2.12-1+deb10u2)
- GNU privacy guard - signature verification tool
- gpgv-static (2.2.12-1+deb10u2)
- minimal signature verification tool (static build)
- gpgv-udeb (2.2.12-1+deb10u2)
- minimal signature verification tool
- gpgv-win32 (2.2.12-1+deb10u2)
- GNU privacy guard - signature verification tool (win32 build)
- gpgv1 (1.4.23-1)
- GNU privacy guard - signature verification tool (deprecated "classic" version)
- gpgv2 (2.2.12-1+deb10u2)
- GNU privacy guard - signature verification tool (dummy transitional package)
- gphoto2 (2.5.20-3)
- digital camera command-line client
- gphotofs (0.5-6)
- filesystem to mount digital cameras
- gpick (0.2.5+git20161221-1+b1)
- advanced GTK+ color picker
- gpicview (0.2.5-2+b1)
- lichtgewicht afbeeldingsweergave
- gpicview-dbg (0.2.5-2+b1)
- lightweight image viewer (debug)
- gpiod (1.2-3)
- Tools for interacting with Linux GPIO character device - binary
- gplanarity (17906-7)
- simple puzzle game involving untangling planar graphs
- gplcver (2.12a-1.1+b2)
- Verilog simulator
- gpm (1.20.7-5)
- General Purpose Mouse interface
- gpodder (3.10.7-2)
- podcast client and feed aggregator
- gpomme (1.39~dfsg-5)
- graphical client for pommed
- gpp (2.25-1)
- general-purpose preprocessor with customizable syntax
- gpr (0.15deb-2+b2)
- GUI for lpr: print files and configure printer-specific options
- gprbuild (2018-6)
- multi-language extensible build tool
- gpredict (2.3-33-gca42d22-1)
- Satellite tracking program
- gprename (20140325-1)
- Complete batch renamer for Linux
- gprompter (0.9.1-2.1+b2)
- intelligent predictive GTK+ text editor
- gprompter-dbg (0.9.1-2.1+b2)
- intelligent predictive GTK+ text editor (debugging symbols)
- gpsbabel (1.5.4-2)
- GPS file conversion plus transfer to/from GPS units
- gpsbabel-doc (1.5.4-2)
- GPS file conversion plus transfer to/from GPS units
- gpsbabel-gui (1.5.4-2)
- GPS file conversion plus transfer to/from GPS units - GUI
- gpscorrelate (1.6.1-7)
- correlates digital photos with GPS data filling EXIF fields (command line)
- gpscorrelate-gui (1.6.1-7)
- correlates digital photos with GPS data filling EXIF fields (GUI)
- gpsd (3.17-7)
- Global Positioning System - daemon
- gpsd-clients (3.17-7)
- Global Positioning System - clients
- gpsd-dbg (3.17-7)
- Global Positioning System - debugging symbols
- gpsim (0.30.0-1)
- Simulator for Microchip's PIC microcontrollers
- gpsim-dev (0.30.0-1)
- Libraries needed only for building gpsim components
- gpsim-doc (0.22.0-2.1)
- Documentation for gpsim
- gpsman (6.4.4.2-2)
- GPS data graphical manager
- gpsmanshp (1.2.3-6)
- Tcl interface to shapelib
- gpsprune (19.2-1)
- visualize, edit, convert and prune GPS data
- gpstrans (0.41-6)
- communicate with a Garmin Global Positioning System receiver
- gpt (1.1-5)
- G-Portugol is a portuguese structured programming language
- gputils (1.4.0-0.1+b1)
- GNU PIC utilities
- gputils-common (1.4.0-0.1)
- headers and linker scripts for gputils
- gputils-doc (1.4.0-0.1)
- documentation for gputils
- gpw (0.0.19940601-9+b1)
- Trigraph Password Generator
- gpx (2.5.2-3)
- Gcode to x3g conversion post processor
- gpx2shp (0.71.0-7)
- convert GPS or GPX file to ESRI Shape file
- gpxinfo (1.3.4-1)
- Command line utility to extract basic statistics from a GPX file
- gpxviewer (0.5.2-2)
- views GPS traces collected in the GPX format
- gqrx-sdr (2.11.5-1+b2)
- Software defined radio receiver
- gr-air-modes (0.0.2.c29eb60-2+b3)
- Gnuradio Mode-S/ADS-B radio
- gr-dab (0.3-4)
- Gnuradio blocks and tools for receiving DAB and DAB+ radio
- gr-dab-dev (0.3-4)
- Development files for gr-dab (DAB/DAB+ receiver)
- gr-fcdproplus (3.7.25.4b6464b-5+b3)
- Funcube Dongle Pro Plus controller for GNU Radio
- gr-fosphor (3.7.0.2.7b6b996-3+b2)
- gnuradio fosphor block (GPU spectrum display)
- gr-gsm (0.42.2-1+b2)
- Gnuradio blocks and tools for receiving GSM transmissions
- gr-hpsdr (1.2-1+b3)
- gnuradio interface module for OpenHPSDR protocol 1
- gr-iio (0.3-4+b1)
- IIO blocks for GnuRadio
- gr-iqbal (0.37.2-11+b3)
- GNU Radio Blind IQ imbalance estimator and correction
- gr-limesdr (0.9~beta-1+b1 [amd64], 0.9~beta-1 [arm64, armhf, i386])
- LimeSDR blocks for GnuRadio
- gr-osmosdr (0.1.4-14+b10)
- Gnuradio blocks from the OsmoSDR project
- gr-radar (0.0.0.20180308-1+b3)
- GNU Radio Radar Toolbox
- gr-radar-doc (0.0.0.20180308-1)
- GNU Radio Radar Toolbox - Documentation
- gr-rds (3.7.0.2.a542331-2+b3)
- GNU Radio FM RDS/TMC Transceiver
- grabc (1.1-2+b2)
- simple program to determine the color string in hex by clicking on a pixel
- grabix (0.1.7-1)
- wee tool for random access into BGZF files
- grabserial (1.9.8-1)
- python-based serial dump and timing program
- grace (1:5.1.25-6)
- XY graphing and plotting tool
- gradle (4.4.1-6)
- Powerful build system for the JVM
- gradle-apt-plugin (0.10-1)
- Gradle plugin to use Java annotation processors
- gradle-completion (1.3.1-1)
- Bash and Zsh completion support for Gradle
- gradle-debian-helper (2.0.2)
- Helper tools for building Debian packages with Gradle
- gradle-doc (4.4.1-6)
- Powerful build system for the JVM - Documentations
- gradle-ice-builder-plugin (1.4.5-1)
- Gradle plug-in for developing Ice applications in Java
- gradle-plugin-protobuf (0.9.2-1)
- Protobuf Plugin for Gradle
- gradle-propdeps-plugin (0.0.7-3)
- Gradle plugin enhancing the Maven integration
- gradm2 (3.1~201709030627-1)
- Administration program for the grsecurity2 RBAC based ACL system
- grads (3:2.2.1-1+b1)
- Grid Analysis and Display System for earth science data
- grafx2 (2.6-2)
- ultimate 256-color bitmap paint program
- grail-tools (3.1.1-2)
- Gesture Recognition And Instantiation Library - test tools
- gramadoir (0.7-4)
- Irish language grammar checker (integration scripts)
- gramofile (1.6-11+b1)
- transfer sound from gramophone records to CD
- gramophone2 (0.8.13a-3+b1)
- GRAMophone II is an algorithmic music generator
- gramps (5.0.1-1)
- Genealogical research program
- granatier (4:18.04.1-1)
- Bomberman clone
- granite-demo (5.2.3-1)
- extension of GTK+ libraries (demo binary)
- grantlee-dbg (0.4.0-4)
- Grantlee templating library (debugging symbols)
- granule (1.4.0-7-9+b1)
- flashcard program for learning new words
- granule-docs (1.1.0+dfsg-3)
- flashcard program for learning new words -- documentation
- grap (1.45-1)
- program for typesetting graphs
- graphdefang (2.84-3)
- grapher for MIMEDefang spam and virus logs
- graphene-tests (1.8.4-1)
- library of graphic data types (installed tests)
- graphicsmagick (1.4+really1.3.35-1~deb10u3) [security]
- collection of image processing tools
- graphicsmagick-dbg (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - debugging symbols
- graphicsmagick-imagemagick-compat (1.4+really1.3.35-1~deb10u3) [security]
- image processing tools providing ImageMagick interface
- graphicsmagick-libmagick-dev-compat (1.4+really1.3.35-1~deb10u3) [security]
- image processing libraries providing ImageMagick interface
- graphite-carbon (1.1.4-2)
- backend data caching and persistence daemon for Graphite
- graphite-web (1.1.4-3+deb10u2) [security]
- Enterprise Scalable Realtime Graphing
- graphlan (1.1.3-1)
- circular representations of taxonomic and phylogenetic trees
- graphmonkey (1.7-4)
- a GTK#-based graphing calculator
- graphviz (2.40.1-6+deb10u1)
- rich set of graph drawing tools
- graphviz-dev
- virtueel pakket geboden door libgraphviz-dev
- graphviz-doc (2.40.1-6+deb10u1)
- additional documentation for graphviz
- grass (7.6.0-1)
- Geographic Resources Analysis Support System (GRASS GIS)
- grass-core (7.6.0-1)
- GRASS GIS core components
- grass-dev (7.6.0-1)
- GRASS GIS development files
- grass-dev-doc (7.6.0-1)
- GRASS GIS Programmers' Manual
- grass-doc (7.6.0-1)
- GRASS GIS user documentation
- grass-gui (7.6.0-1)
- GRASS GIS graphical user interfaces
- grass760
- virtueel pakket geboden door grass-core
- gravit (0.5.1+dfsg-3)
- visually stunning gravity simulator
- gravit-data (0.5.1+dfsg-3)
- data files for Gravit
- gravitation (3+dfsg1-5)
- game about mania, melancholia, and the creative process
- gravitywars (1.102-34+b1)
- clone of Gravity Force
- graywolf (0.1.6-1)
- Placement for digital VLSI design
- grc (1.11.3-1)
- generic colouriser for everything
- grcompiler (4.2-7)
- Compiler of smart (graphite) fonts
- grcov (0.4.1-1)
- Collects and aggregates code coverage information for multiple source files
- grdesktop (0.23+d040330-3.1)
- GNOME frontend for the rdesktop client
- greed (4.2-1)
- curses-based clone of the DOS free-ware game Greed
- greenbone-security-assistant (7.0.3+dfsg.1-1)
- remote network security auditor - web interface
- greenbone-security-assistant-common (7.0.3+dfsg.1-1)
- architecture independent files for greenbone-security-assistant
- gregorio
- virtueel pakket geboden door texlive-music
- gregoriotex
- virtueel pakket geboden door texlive-music
- grep (3.3-1)
- GNU grep, egrep en fgrep
- grep-dctrl
- virtueel pakket geboden door dctrl-tools
- grepcidr (2.0-2)
- Filter IP addresses matching IPv4/IPv6 CIDR/network specification
- grepmail (5.3104-1)
- search mailboxes for mail matching an expression
- gretl (2019a-1)
- GNU Regression, Econometric & Time-Series Library
- gretl-common (2019a-1)
- GNU Regression, Econometric & Time-Series Library -- scripts package
- gretl-data (2019a-1)
- GNU Regression, Econometric & Time-Series Library -- data package
- gretl-doc (2019a-1)
- GNU Regression, Econometric & Time-Series Library -- doc package
- greybird-gtk-theme (3.22.10-1)
- grey GTK+ theme from the Shimmer Project
- greylistd (0.8.8.7)
- Greylisting daemon for use with Exim 4
- grfcodec (6.0.6-3)
- suite of programs to modify Transport Tycoon Deluxe's GRF files
- grhino (0.16.1-4)
- othello/reversi boardgame
- grhino-data (0.16.1-4)
- othello/reversi boardgame - data-files
- gri (2.12.26-1+b1)
- a language for scientific illustration
- gri-el (2.12.26-1)
- Emacs major-mode for gri, a language for scientific graphics
- gri-html-doc (2.12.26-1)
- HTML manual for gri, a language for scientific graphics
- gri-pdf-doc (2.12.26-1)
- PostScript manual for gri, a language for scientific graphics
- gridengine-client (8.1.9+dfsg-9)
- Utilities for Grid Engine queue management
- gridengine-common (8.1.9+dfsg-9)
- Distributed resource management - common files
- gridengine-dev (8.1.9+dfsg-9)
- Distributed resource management - development files
- gridengine-drmaa-dev (8.1.9+dfsg-9)
- Distributed resource management Application API library - development files
- gridengine-drmaa1.0 (8.1.9+dfsg-9)
- Distributed resource management Application API library
- gridengine-exec (8.1.9+dfsg-9)
- Distributed resource management - Execution Server
- gridengine-master (8.1.9+dfsg-9)
- Distributed resource management - Master Server
- gridengine-qmon (8.1.9+dfsg-9)
- Graphical utilities for Grid Engine queue management
- gridlock.app (1.10-4+b5)
- Collection of grid-based board games for GNUstep
- gridsite (3.0.0~20180202git2fdbc6f-1+b2)
- Grid Security for the Web, Web platforms for Grids
- gridsite-clients (3.0.0~20180202git2fdbc6f-1+b2)
- Clients to gridsite: htcp, htrm, htmv
- gridsite-doc (3.0.0~20180202git2fdbc6f-1)
- Developers Documentation for gridsite
- grig (0.8.1-2)
- graphical user interface to the Ham Radio Control Libraries
- grilo-plugins-0.3 (0.3.8-2)
- Framework for discovering and browsing media - Plugins
- grilo-plugins-dvb-daemon (1:0.2.91~git20170110-4)
- grilo plugin for gnome-dvb-daemon
- grim (1.1+ds-1)
- command-line utility to make screenshots of Wayland desktops
- grinder (0.5.4-5)
- Versatile omics shotgun and amplicon sequencing read simulator
- gringo (5.3.0-10)
- grounding tools for (disjunctive) logic programs
- gringotts (1.2.10-3)
- secure password and data storage manager
- grip (4.2.0-3)
- Preview GitHub Markdown files like Readme locally
- grisbi (1.2.2-1)
- personal finance management program
- grisbi-common (1.2.2-1)
- shared files for the finance management program Grisbi
- grml-debootstrap (0.89)
- wrapper around debootstrap for installing pure Debian
- grml-rescueboot (0.5.0)
- Integrates Grml ISO booting into GRUB
- grml2usb (0.16.4)
- install Grml system / ISO to usb device
- groff (1.22.4-3+deb10u1)
- GNU troff text-formatting system
- groff-base (1.22.4-3+deb10u1)
- GNU troff tekstopmaak systeem (basis systeemcomponenten)
- groff-x11
- virtueel pakket geboden door groff
- grok (1.20110708.1-4.3)
- powerful pattern-matching and reacting tool
- grok-dbg (1.20110708.1-4.3)
- debugging symbols for grok
- grokevt (0.5.0-2)
- scripts for reading Microsoft Windows event log files
- grokmirror (1.0.0-1.1)
- framework to smartly mirror git repositories
- gromacs (2019.1-1)
- Molecular dynamics simulator, with building and analysis tools
- gromacs-data (2019.1-1)
- GROMACS molecular dynamics sim, data and documentation
- gromacs-mpich (2019.1-1)
- Molecular dynamics sim, binaries for MPICH parallelization
- gromacs-openmpi (2019.1-1)
- Molecular dynamics sim, binaries for OpenMPI parallelization
- gromit (20041213-9+b2)
- GTK based tool to make annotations on screen
- gromit-mpx (1.3-1)
- GTK+ based tool to make annotations on screen with multiple pointers
- gron (0.6.0-1+b10)
- tool to transform JSON into discrete, greppable assignments
- groonga (9.0.0-1+deb10u1)
- Fulltext search engine (metapackage for library use)
- groonga-bin (9.0.0-1+deb10u1)
- Commands for Groonga
- groonga-doc (9.0.0-1+deb10u1)
- Documentation of Groonga
- groonga-examples (9.0.0-1+deb10u1)
- Examples of Groonga
- groonga-httpd (9.0.0-1+deb10u1)
- Groonga HTTP server
- groonga-munin-plugins (9.0.0-1+deb10u1)
- munin-node plugins for Groonga
- groonga-normalizer-mysql (1.1.3-2)
- MySQL derived normalizer for Groonga
- groonga-plugin-suggest (9.0.0-1+deb10u1)
- Suggest plugin for Groonga
- groonga-server-common (9.0.0-1+deb10u1)
- Fulltext search engine (metapackage for server use)
- groonga-server-gqtp (9.0.0-1+deb10u1)
- Fulltext search engine (metapackage for GQTP server use)
- groonga-server-http
- virtueel pakket geboden door groonga-httpd
- groonga-token-filter-stem (9.0.0-1+deb10u1)
- Stemming token filter for Groonga
- groonga-tokenizer-mecab (9.0.0-1+deb10u1)
- MeCab tokenizer for Groonga
- groovy (2.4.16-2)
- Agile dynamic language for the Java Virtual Machine
- groovy-doc (2.4.16-2)
- Agile dynamic language for the Java Virtual Machine (documentation)
- grop (2:0.10-1.1)
- Graphic interface for the porg package manager/organizer
- gross (1.0.2-4.1~deb10u1) [security]
- fast and efficient greylist server with DNSBL support
- groundhog (1.4-10+b1)
- simple logic game
- growisofs (7.1-14)
- DVD+-RW/R recorder
- growl-for-linux (0.8.5-4)
- Pluggable notification system which supports GNTP
- grpn (1.4.1-1)
- GTK+ reverse polish notation calculator
- grr-client-templates (3.1.0.2-2) [non-free]
- incident response framework - pre-built client templates
- grr.app (1.0-1+b5)
- RSS reader for GNUstep
- grsync (1.2.6-2)
- GTK+ grafische gebruikersinterface voor rsync
- grub
- virtueel pakket geboden door grub-legacy
- grub-cloud-amd64 (0.0.4)
- GRand Unified Bootloader (cloud setup)
- grub-common (2.06-3~deb10u4) [security]
- GRand Unified Bootloader (common files)
- grub-coreboot (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Coreboot version)
- grub-coreboot-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Coreboot modules)
- grub-coreboot-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Coreboot debug files)
- grub-customizer (5.1.0-1)
- GUI to configure GRUB2 and BURG
- grub-disk (0.97-75)
- GRUB bootable disk image (dummy package)
- grub-doc (0.97-75)
- Documentation for GRand Unified Bootloader (dummy package)
- grub-efi (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (dummy package)
- grub-efi-amd64 (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-AMD64 version)
- grub-efi-amd64-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-AMD64 modules)
- grub-efi-amd64-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-AMD64 debug files)
- grub-efi-amd64-signed (1+2.06+3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (amd64 UEFI signed by Debian)
- grub-efi-amd64-signed-template (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-AMD64 signing template)
- grub-efi-arm (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM UEFI version)
- grub-efi-arm-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM UEFI modules)
- grub-efi-arm-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM UEFI debug files)
- grub-efi-arm64 (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM64 UEFI version)
- grub-efi-arm64-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM64 UEFI modules)
- grub-efi-arm64-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM64 UEFI debug files)
- grub-efi-arm64-signed (1+2.06+3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (arm64 UEFI signed by Debian)
- grub-efi-arm64-signed-template (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM64 UEFI signing template)
- grub-efi-ia32 (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-IA32 version)
- grub-efi-ia32-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-IA32 modules)
- grub-efi-ia32-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-IA32 debug files)
- grub-efi-ia32-signed (1+2.06+3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (i386 UEFI signed by Debian)
- grub-efi-ia32-signed-template (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (EFI-IA32 signing template)
- grub-emu (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (emulated version)
- grub-emu-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (emulated debug files)
- grub-firmware-qemu (2.06-3~deb10u4) [security]
- GRUB firmware image for QEMU
- grub-ieee1275 (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Open Firmware version)
- grub-ieee1275-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Open Firmware modules)
- grub-ieee1275-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Open Firmware debug files)
- grub-imageboot (0.6)
- boot iso, harddisk and floppy images with grub2 and syslinux memdisk
- grub-installer (1.165)
- Install GRUB on a hard disk
- grub-invaders (1.0.0-13)
- multiboot compliant kernel game
- grub-legacy (0.97-75)
- GRand Unified Bootloader (Legacy version)
- grub-legacy-doc (0.97-75)
- Documentation for GRUB Legacy
- grub-legacy-ec2
- virtueel pakket geboden door pv-grub-menu
- grub-linuxbios (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (dummy package)
- grub-mount-udeb (2.06-3~deb10u1)
- export GRUB filesystems using FUSE
- grub-pc (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (PC/BIOS version)
- grub-pc-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (PC/BIOS modules)
- grub-pc-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (PC/BIOS debug files)
- grub-rescue-pc (2.06-3~deb10u4) [security]
- GRUB bootable rescue images, version 2 (PC/BIOS version)
- grub-splashimages (1.2.3)
- a collection of great GRUB splashimages
- grub-theme-starfield (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (starfield theme)
- grub-uboot (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM U-Boot version)
- grub-uboot-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM U-Boot modules)
- grub-uboot-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (ARM U-Boot debug files)
- grub-xen (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Xen version)
- grub-xen-bin (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Xen modules)
- grub-xen-dbg (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Xen debug files)
- grub-xen-host (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (Xen host version)
- grub2 (2.06-3~deb10u4) [security]
- GRand Unified Bootloader, version 2 (dummy package)
- grub2-common (2.06-3~deb10u4) [security]
- GRand Unified Bootloader (common files for version 2)
- grub2-splashimages (1.0.1+nmu1)
- a collection of great GRUB2 splashimages
- grun (0.9.3-2+b1)
- GTK+ based Run dialog
- grunt (1.0.1-8+deb10u3) [security]
- JavaScript task runner/build system/maintainer tool
- gs-cjk-resource
- virtueel pakket geboden door poppler-data
- gsalliere (0.10-3)
- Bridge duplicate scorer (GUI frontend)
- gsasl (1.8.0-8+deb10u1)
- GNU SASL command line utility
- gsasl-dbg (1.8.0-8+deb10u1)
- GNU SASL debugging symbols
- gscan2pdf (2.3.0-1)
- GUI to produce PDFs or DjVus from scanned documents
- gscanbus (0.8-2+b1)
- scan IEEE1394 (firewire/i.link) bus
- gsequencer (2.1.53-2)
- Advanced Gtk+ Sequencer audio processing engine
- gsequencer-doc (2.1.53-2)
- documentation for Advanced Gtk+ Sequencer
- gsetroot (1.1-3)
- grahical GTK-based front-end for Esetroot
- gsettings-backend
- virtueel pakket geboden door gconf-gsettings-backend, dconf-gsettings-backend
- gsettings-desktop-schemas (3.28.1-1)
- GSettings desktop-wide schemas
- gsettings-desktop-schemas-dev (3.28.1-1)
- Development files for GSettings desktop-wide schemas
- gsfonts (1:8.11+urwcyr1.0.7~pre44-4.4)
- Fonts for the Ghostscript interpreter(s)
- gsfonts-other (6.0-4) [non-free]
- Additional fonts for the ghostscript interpreter
- gsfonts-x11 (0.26)
- Make Ghostscript fonts available to X11
- gsimplecal (2.1-1+b1)
- lightweight GUI calendar application
- gsl-bin (2.5+dfsg-6+deb10u1) [security]
- GNU Scientific Library (GSL) -- binary package
- gsl-doc-info (2.3-1) [non-free]
- GNU Scientific Library (GSL) Reference Manual in info
- gsl-doc-pdf (2.3-1) [non-free]
- GNU Scientific Library (GSL) Reference Manual in pdf
- gsl-ref-html (2.3-1) [non-free]
- GNU Scientific Library (GSL) Reference Manual in html
- gsl-ref-psdoc (2.3-1) [non-free]
- GNU Scientific Library (GSL) Reference Manual in postscript
- gsm-utils (1.10+20120414.gita5e5ae9a-0.3+b1)
- GSM mobile phone access applications
- gsm0710muxd (1.13-3+b1)
- GSM 07.10 Multiplexer
- gsmartcontrol (1.1.3-2)
- graphical user interface for smartctl
- gsmc (1.2.1-1)
- Smith Chart calculator for impedance matching
- gsoap (2.8.75-1+deb10u1) [security]
- Stub generators for gSOAP
- gsoap-doc (2.8.75-1+deb10u1) [security]
- gSOAP documentation
- gsound-tools (1.0.2-4)
- small library for playing system sounds (tools)
- gspiceui (1.1.00+dfsg-2)
- Graphical user interface for gnucap and ngspice
- gss-doc (1.0.3-3)
- Documentation for GSS (except man pages)
- gss-man (1.0.3-3)
- Man pages for GSS
- gss-ntlmssp (0.7.0-4)
- GSSAPI NTLMSSP Mechanism -- MIT GSSAPI plugin
- gss-ntlmssp-dev (0.7.0-4)
- GSSAPI NTLMSSP Mechanism -- development headers
- gss-proxy
- virtueel pakket geboden door gssproxy
- gssdp-tools (1.0.5-0+deb10u1)
- GObject-based library for SSDP (tools)
- gssproxy (0.8.0-1.1)
- Privilege separation daemon for GSSAPI
- gst-omx-listcomponents (1.14.4-1)
- OpenMax plugins for GStreamer
- gst123 (0.3.5-2)
- GStreamer based command line media player
- gstreamer-qapt (3.0.4-1)
- GStreamer plugin to install codecs using QApt
- gstreamer0.10-qapt (3.0.4-1)
- transitional dummy package
- gstreamer1.0-alsa (1.14.4-2+deb10u2) [security]
- GStreamer plug-in voor ALSA
- gstreamer1.0-audiosink
- virtueel pakket geboden door gstreamer1.0-alsa, gstreamer1.0-plugins-bad, gstreamer1.0-pulseaudio, gstreamer1.0-plugins-good
- gstreamer1.0-audiosource
- virtueel pakket geboden door gstreamer1.0-plugins-base, gstreamer1.0-plugins-bad, gstreamer1.0-alsa, gstreamer1.0-plugins-good, gstreamer1.0-pulseaudio
- gstreamer1.0-clutter-3.0 (3.0.26-2)
- Clutter PLugin for GStreamer 1.0
- gstreamer1.0-crystalhd (1:0.0~git20110715.fdd2f19-13)
- Crystal HD Video Decoder (GStreamer plugin)
- gstreamer1.0-doc (1.14.4-1)
- GStreamer core documentation and manuals
- gstreamer1.0-espeak (0.5.0-1)
- GStreamer plugin for eSpeak speech synthesis
- gstreamer1.0-gl (1.14.4-2+deb10u2) [security]
- GStreamer plugins for GL
- gstreamer1.0-gtk3 (1.14.4-1+deb10u3) [security]
- GStreamer plugin for GTK+3
- gstreamer1.0-libav (1.15.0.1+git20180723+db823502-2+deb10u1)
- libav plugin for GStreamer
- gstreamer1.0-libav-dbg (1.15.0.1+git20180723+db823502-2+deb10u1)
- libav plugin for GStreamer (debug symbols)
- gstreamer1.0-nice (0.1.14-1)
- ICE library (GStreamer plugin)
- gstreamer1.0-omx-bellagio-config (1.14.4-1)
- OpenMax plugins for GStreamer
- gstreamer1.0-omx-generic (1.14.4-1)
- OpenMax plugins for GStreamer
- gstreamer1.0-omx-generic-config (1.14.4-1)
- OpenMax plugins for GStreamer
- gstreamer1.0-opencv (1.14.4-1+deb10u5) [security]
- GStreamer OpenCV plugins
- gstreamer1.0-packagekit (1.1.12-5)
- GStreamer plugin to install codecs using PackageKit
- gstreamer1.0-pipewire (0.2.5-1)
- GStreamer 1.0 plugin for the PipeWire multimedia server
- gstreamer1.0-plugins-bad (1.14.4-1+deb10u5) [security]
- GStreamer-plug-ins uit de "bad"-set
- gstreamer1.0-plugins-bad-dbg (1.14.4-1+deb10u5) [security]
- GStreamer plugins from the "bad" set (debug symbols)
- gstreamer1.0-plugins-bad-doc (1.14.4-1+deb10u5) [security]
- GStreamer documentation for plugins from the "bad" set
- gstreamer1.0-plugins-bad-faad
- virtueel pakket geboden door gstreamer1.0-plugins-bad
- gstreamer1.0-plugins-bad-videoparsers
- virtueel pakket geboden door gstreamer1.0-plugins-bad
- gstreamer1.0-plugins-base (1.14.4-2+deb10u2) [security]
- GStreamer plugins from the "base" set
- gstreamer1.0-plugins-base-apps (1.14.4-2+deb10u2) [security]
- GStreamer helper programs from the "base" set
- gstreamer1.0-plugins-base-dbg (1.14.4-2+deb10u2) [security]
- GStreamer plugins from the "base" set
- gstreamer1.0-plugins-base-doc (1.14.4-2+deb10u2) [security]
- GStreamer documentation for plugins from the "base" set
- gstreamer1.0-plugins-good (1.14.4-1+deb10u3) [security]
- GStreamer plugins uit de ‘good’ set
- gstreamer1.0-plugins-good-dbg (1.14.4-1+deb10u3) [security]
- GStreamer plugins from the "good" set
- gstreamer1.0-plugins-good-doc (1.14.4-1+deb10u3) [security]
- GStreamer documentation for plugins from the "good" set
- gstreamer1.0-plugins-rtp (1.14.4.1)
- GStreamer elements from the "rtp" set
- gstreamer1.0-plugins-ugly (1.14.4-1+deb10u2) [security]
- GStreamer-plug-ins uit de "ugly"-set
- gstreamer1.0-plugins-ugly-amr
- virtueel pakket geboden door gstreamer1.0-plugins-ugly
- gstreamer1.0-plugins-ugly-dbg (1.14.4-1+deb10u2) [security]
- GStreamer plugins from the "ugly" set (debug symbols)
- gstreamer1.0-plugins-ugly-doc (1.14.4-1+deb10u2) [security]
- GStreamer documentation for plugins from the "ugly" set
- gstreamer1.0-pocketsphinx (0.8+5prealpha+1-2+b2)
- Speech recognition tool - GStreamer plugin
- gstreamer1.0-pulseaudio (1.14.4-1+deb10u3) [security]
- GStreamer plug-in voor PulseAudio
- gstreamer1.0-python3-dbg-plugin-loader (1.14.4-1+b1)
- GStreamer Loader for Python Plugin (Python 3, debug extensions)
- gstreamer1.0-python3-plugin-loader (1.14.4-1+b1)
- GStreamer Loader for Python Plugin (Python 3)
- gstreamer1.0-qt5 (1.14.4-1+deb10u3) [security]
- GStreamer plugin for Qt5
- gstreamer1.0-rtsp (1.14.4-1)
- RTSP plugin for GStreamer
- gstreamer1.0-rtsp-dbg (1.14.4-1)
- RTSP plugin for GStreamer
- gstreamer1.0-tools (1.14.4-1)
- Hulpprogramma's voor gebruik met Gstreamer
- gstreamer1.0-vaapi (1.14.4-1)
- VA-API plugins for GStreamer
- gstreamer1.0-vaapi-doc (1.14.4-1)
- GStreamer VA-API documentation and manuals
- gstreamer1.0-videosink
- virtueel pakket geboden door gstreamer1.0-x, gstreamer1.0-plugins-bad, gstreamer1.0-qt5, gstreamer1.0-gl, gstreamer1.0-plugins-good, gstreamer1.0-gtk3
- gstreamer1.0-videosource
- virtueel pakket geboden door gstreamer1.0-plugins-base, gstreamer1.0-plugins-bad, gstreamer1.0-qt5, gstreamer1.0-plugins-good, gstreamer1.0-gl
- gstreamer1.0-visualization
- virtueel pakket geboden door gstreamer1.0-plugins-good, gstreamer1.0-plugins-bad
- gstreamer1.0-x (1.14.4-2+deb10u2) [security]
- GStreamer plug-ins voor X11 en Pango
- gsutil (3.1-1)
- configure and manage Grandstream BudgeTone 100 VOIP and GX2000 phones
- gt5 (1.5.0~20111220+bzr29-2)
- shell program to display visual disk usage with navigation
- gtamsanalyzer.app (0.42-7+b6)
- Text analysis application for GNUstep
- gtans (1.99.0-2+b1)
- Tangram (puzzle) game using GTK+
- gtg (0.3.1-4)
- agenda voor de GNOME-werkomgeving
- gthumb (3:3.6.2-4+deb10u1)
- image viewer and browser
- gthumb-data (3:3.6.2-4+deb10u1)
- image viewer and browser - arch-independent files
- gthumb-dev (3:3.6.2-4+deb10u1)
- image viewer and browser - development files
- gthumb2
- virtueel pakket geboden door gthumb
- gtick (0.5.4-1+b2)
- Metronome application
- gtimelog (0.11.2-1)
- time logging application
- gtimer (2.0.0-1.2+b1)
- GTK-based X11 task timer
- gtk-3-examples (3.24.5-1)
- example files for GTK+ 3
- gtk-chtheme (0.3.1-6)
- GTK+ 2.0 theme changer and previewer utility
- gtk-doc-tools (1.28-1)
- GTK+ documentation tools
- gtk-im-libthai (0.2.1-8)
- GTK+ 2 Input Method Module using LibThai
- gtk-recordmydesktop (0.3.8-4.1)
- Graphical frontend for recordMyDesktop screencast tool
- gtk-redshift (1.12-2)
- transitional dummy package
- gtk-sharp2 (2.12.40-2)
- GTK# 2.10 suite, CLI bindings for GTK+
- gtk-sharp2-examples (2.12.40-2)
- sample applications for the GTK# 2.10 toolkit
- gtk-sharp2-gapi (2.12.40-2)
- C source parser and C# code generator for GObject based APIs
- gtk-sharp3 (2.99.3-3)
- GTK# 3.0 suite, CLI bindings for GTK+
- gtk-sharp3-examples (2.99.3-3)
- sample applications for the GTK# 3.0 toolkit
- gtk-sharp3-gapi (2.99.3-3)
- C source parser and C# code generator for GObject based APIs
- gtk-theme-switch (2.1.0-5+b1)
- GTK+ theme switching utility
- gtk-update-icon-cache (3.24.5-1)
- icon theme caching utility
- gtk-vector-screenshot (0.3.2.1-2+b1)
- takes screenshots of applications as PDF or SVG files
- gtk2-engines (1:2.20.2-5)
- theme engines for GTK+ 2.x
- gtk2-engines-aurora (1.5.1-4)
- Aurora gtk+-2.0 theme engine
- gtk2-engines-cleanice (2.4.1-3)
- CleanIce themes for GTK+ 2.x
- gtk2-engines-gartoon
- virtueel pakket geboden door gnome-icon-theme-gartoon
- gtk2-engines-moblin (1.1.1-1.1)
- gtk2 engines for moblin
- gtk2-engines-murrine (0.98.2-2+deb10u1)
- cairo-based gtk+-2.0 theme engine
- gtk2-engines-nodoka (0.7.0-1.2)
- Nodoka theme engine for GTK+ 2.x
- gtk2-engines-oxygen (1.4.6-1.1)
- Oxygen widget theme for GTK+-based applications
- gtk2-engines-pixbuf (2.24.32-3)
- pixbuf-based theme for GTK+ 2.x
- gtk2-engines-qtcurve (1.9-2+b2)
- QtCurve widget style for applications based on GTK+ 2.x
- gtk2-engines-sugar (0.112-1)
- Sugar Learning Platform - GTK+ 2.x theme engine
- gtk2-engines-udeb (1:2.20.2-5)
- theme engines for GTK+ 2.x in the Debian installer
- gtk2-engines-xfce (3.2.0-4)
- GTK+-2.0 theme engine for Xfce
- gtk2.0-binver-2.10.0
- virtueel pakket geboden door libgtk2.0-0, libgtk2.0-0-udeb
- gtk2.0-examples (2.24.32-3)
- example files for GTK+ 2.0
- gtk2hs-buildtools (0.13.4.0-2+b3 [amd64, arm64], 0.13.4.0-2+b2 [armhf], 0.13.4.0-2+b1 [i386])
- Tools to build the Gtk2Hs suite of User Interface libraries
- gtk3-binver-3.0.0
- virtueel pakket geboden door libgtk-3-0, libgtk-3-0-udeb
- gtk3-engines-breeze (5.14.5-1)
- Transitional package for KDE's Breeze
- gtk3-engines-xfce (3.2.0-4)
- GTK+-3.0 theme engine for Xfce
- gtk3-im-libthai (0.2.1-8)
- GTK+ 3 Input Method Module using LibThai
- gtk3-nocsd (3-1)
- Disable Gtk+ 3 client side decorations (CSD)
- gtkam (1.0-3)
- application for retrieving media from digital cameras
- gtkam-gimp (1.0-3)
- gtkam gimp plugin to open digital camera pictures
- gtkatlantic (0.6.2-2)
- Game like Monopoly
- gtkballs (3.1.5-11+b1)
- simple logic game
- gtkboard (0.11pre0+cvs.2003.11.02-9)
- many board games in one program
- gtkcookie (0.4-9)
- editor for cookie files
- gtkgl-dev
- virtueel pakket geboden door libgtkgl2.0-dev
- gtkguitune (0.8-6+b3)
- Guitar and other instruments tuner
- gtkhash (1.2-1)
- GTK+ utility for computing checksums and more
- gtklick (0.6.4-5)
- simple metronome GUI for JACK
- gtklp (1.3.1-0.1+b1)
- printing tool for CUPS on the GNOME Desktop
- gtkmm-documentation (3.24.0-1)
- Documentation of C++ wrappers for GLib/GTK+
- gtkmorph (1:20140707+nmu2)
- Digital image warp and morph (gtk)
- gtkmorph-example (1:20140707+nmu2)
- digital image warp and morph, examples
- gtkperf (0.40+ds-2+b2)
- GTK+ performance benchmark
- gtkpod (2.1.5-6)
- muziek en afspeellijsten op een Apple iPod beheren
- gtkpod-data (2.1.5-6)
- architecture-independent files for gtkpod
- gtkpod-dbg (2.1.5-6)
- debugging symbols for gtkpod
- gtkpool (0.5.0-9+b1)
- simple pool billiard game written with GTK+
- gtkterm (0.99.7+git9d63182-2)
- simple GTK+ serial port terminal
- gtkwave (3.3.98+really3.3.118-0+deb10u1) [security]
- VCD (Value Change Dump) file waveform viewer
- gtm
- virtueel pakket geboden door fis-gtm-6.3-007
- gtml (3.5.4-26)
- HTML pre-processor
- gtranscribe (0.8-1)
- simple GTK+ tool focussed on easy transcription of spoken words
- gtranslator (3.30.1-2)
- PO-file editor for the GNOME Desktop
- gtrayicon (1.1-1+b2)
- Generic tray icon for GNOME
- gtrayicon-dbg (1.1-1+b2)
- Generic tray icon for GNOME - debug symbols
- gtypist (2.9.5-3+b1)
- simple ncurses touch typing tutor
- guake (3.4.0-1)
- Een uitklapbare Terminal voor de Gnome-werkomgeving
- guake-indicator (1.4-1)
- Guake terminal app indicator
- gubbins (2.3.4-1)
- phylogenetic analysis of genome sequences
- gucharmap (1:11.0.3-3)
- Symbolenkiezer en lettertypeviewer voor Unicode
- gucumber (0.0~git20160715.0.71608e2-1+b14)
- Cucumber BDD-style testing for Go -- utility
- gudhi-utils (2.3.0+dfsg-2+b1)
- Utilities from the GUDHI library
- gudhui (2.3.0+dfsg-2+b1)
- GUI for some of the functionality of the GUDHI library
- guessnet (0.56+b2)
- Guess which LAN a network device is connected to
- guestfsd (1:1.40.2-2)
- Daemon to access to guest virtual machine through virtio serial
- guetzli (1.0.1-1)
- perceptual JPEG encoder
- gufw (18.10.0-1)
- grafische gebruikersinterface voor ufw
- guidedog (1.3.0-1)
- NAT/masquerading/port-forwarding configuration tool in Qt5
- guile
- virtueel pakket geboden door guile-2.0, guile-2.2
- guile-2.0 (2.0.13+1-5.1)
- GNU extension language and Scheme interpreter
- guile-2.0-dev (2.0.13+1-5.1)
- Development files for Guile 2.0
- guile-2.0-doc (2.0.13+1-5.1)
- Documentation for Guile 2.0
- guile-2.0-libs (2.0.13+1-5.1)
- Core Guile libraries
- guile-2.0-slib
- virtueel pakket geboden door guile-2.0-libs
- guile-2.2 (2.2.4+1-2+deb10u1)
- GNU extension language and Scheme interpreter
- guile-2.2-dev (2.2.4+1-2+deb10u1)
- Development files for Guile 2.2
- guile-2.2-doc (2.2.4+1-2+deb10u1)
- Documentation for Guile 2.2
- guile-2.2-libs (2.2.4+1-2+deb10u1)
- Core Guile libraries
- guile-cairo (1.10.0-5)
- Guile bindings for Cairo
- guile-cairo-dev (1.10.0-5)
- Guile bindings for Cairo, development files
- guile-g-wrap (1.9.15-3)
- scripting interface generator for C - Guile runtime
- guile-gnome2-dev (2.16.5-2)
- Guile GObject binding support library, development files
- guile-gnome2-glib (2.16.5-2)
- Guile bindings for GLib
- guile-gnome2-gtk (2.16.5-2)
- Guile bindings for GTK+, libglade, Pango and ATK
- guile-json (0.4.0-1)
- JSON module for Guile
- guile-library (0.2.6.1-1)
- Library of useful Guile modules
- guilt (0.36-2)
- quilt for git; similar to Mercurial queues
- guitarix (0.36.1-1+b1)
- Rock guitar amplifier for Jack
- guitarix-common (0.36.1-1)
- Guitarix - Common files
- guitarix-doc (0.36.1-1)
- Guitarix - Development documentation
- guitarix-ladspa (0.36.1-1+b1)
- Guitarix - ladspa plugins
- guitarix-lv2 (0.36.1-1+b1)
- Guitarix - LV2 plugins
- gulp (3.9.1-7)
- streaming build system to automate painful or time-consuming tasks
- gummi (0.6.6-5)
- GTK+ based LaTeX editor with live preview
- guncat (1.02.00-1)
- Catenates files while decrypting PGP-encrypted sections
- gunicorn (19.9.0-1)
- Event-based HTTP/WSGI server (Python 2 version)
- gunicorn-examples (19.9.0-1)
- Event-based HTTP/WSGI server (examples)
- gunicorn3 (19.9.0-1)
- Event-based HTTP/WSGI server (Python 3 version)
- gunroar (0.15.dfsg1-9)
- 360-degree gunboat shooter
- gunroar-data (0.15.dfsg1-9)
- 360-degree gunboat shooter - game data
- gup (0.5.15+b1)
- let a remote site change their newsgroups subscription
- gupnp-dlna-tools (0.10.5-4)
- GObject-based library for GUPnP DLNA (tools)
- gupnp-tools (0.8.15-1)
- tools for testing UPnP devices and control points
- gutenprint-doc (5.3.1-7)
- users' guide for Gutenprint and CUPS
- gutenprint-locales (5.3.1-7)
- locale data files for Gutenprint
- guvcview (2.0.6+debian-1)
- GTK+ base UVC Viewer
- guymager (0.8.8-3)
- Forensic imaging tool based on Qt
- gv (1:3.7.4-2)
- PostScript- en PDF-weergaveprogramma voor X
- gvb (1.4-1)
- visual simulator of 1 and 2-dimensional vibrations
- gvfs (1.38.1-5)
- userspace virtual filesystem - GIO module
- gvfs-backends (1.38.1-5)
- userspace virtual filesystem - backends
- gvfs-bin (1.38.1-5)
- userspace virtual filesystem - deprecated command-line tools
- gvfs-common (1.38.1-5)
- userspace virtual filesystem - common data files
- gvfs-daemons (1.38.1-5)
- userspace virtual filesystem - servers
- gvfs-fuse (1.38.1-5)
- userspace virtual filesystem - fuse server
- gvfs-libs (1.38.1-5)
- userspace virtual filesystem - private libraries
- gvidm (0.8-13)
- quickly and easily change video resolutions in X
- gvim
- virtueel pakket geboden door vim-gtk, vim-gtk3, vim-athena
- gvncviewer (0.9.0-1.1)
- VNC viewer using gtk-vnc
- gvpe (3.1-2)
- creates a virtual ethernet between multiple endpoints
- gwaei (3.6.2-5+b1)
- Japanese-English Dictionary for GNOME
- gwakeonlan (0.5.1-1.2)
- wakes up your machines using Wake on LAN
- gwama (2.2.2+dfsg-2)
- Genome-Wide Association Meta Analysis
- gwaterfall (0.1-5.1+b1)
- View all characters of a font in all sizes
- gwc (0.22.01-1)
- Audio file denoiser
- gweled (0.9.1-7)
- "Diamond Mine"-style puzzle game
- gwenhywfar-tools (4.20.0-9)
- helper applications for Gwenhywfar library
- gwenview (4:18.04.0-1.1)
- image viewer
- gwhois (20120626-1.2)
- generic Whois Client / Server
- gworkspace-apps-wrappers (0.9.4-1)
- Application wrappers for GWorkspace
- gworkspace-common (0.9.4-1)
- GNUstep Workspace Manager - common files
- gworkspace.app (0.9.4-1+b2)
- GNUstep Workspace Manager
- gworldclock (1.4.4-11)
- Displays time and date in specified time zones
- gwsetup (6.08+git20181019+dfsg-2)
- utilities to configure and manipulate Geneweb databases
- gwtp (6.08+git20181019+dfsg-2)
- web interface interacting with Geneweb databases
- gwyddion (2.52-1)
- Scanning Probe Microscopy visualization and analysis tool
- gwyddion-common (2.52-1)
- architecture-independent files for Gwyddion SPM analysis tool
- gwyddion-plugins (2.52-1)
- plugins for Gwyddion SPM analysis tool
- gxemul (0.6.1-1)
- machine emulator for multiple architectures
- gxemul-doc (0.6.1-1)
- gxemul documentation
- gxkb (0.8.0-1)
- X11 keyboard indicator and switcher
- gxmessage (3.4.3-1)
- xmessage clone based on GTK+
- gxmms2 (0.7.1-3+b1)
- XMMS2 client for the GNOME desktop
- gxneur (0.20.0-2)
- GTK+ frontend for xneur keyboard layout switcher
- gxw-glade (0.36.1-1+b1)
- Guitarix - GTK+ library Glade support
- gyoto (1.3.1-1)
- General relativistic geodesic integration and ray-tracing
- gyoto-bin (1.3.1-1)
- General relativistic ray-tracing command-line interface
- gyoto-doc (1.3.1-1)
- documentation for the Gyoto library
- gyp (0.1+20180428git4d467626-3)
- Cross-platform build script generator
- gyrus (0.3.10-3+b1)
- GNOME tool for Cyrus-IMAP servers administration
- gzip (1.9-3+deb10u1)
- GNU compression utilities
- gzip-win32 (1.9-3+deb10u1)
- GNU compression utility (win32 build)
- gzrt (0.8-1)
- gzip recovery toolkit
- h2o (2.2.5+dfsg2-2+deb10u2) [security]
- optimized HTTP/1.x, HTTP/2 server
- h2o-doc (2.2.5+dfsg2-2+deb10u2) [security]
- optimized HTTP/1.x, HTTP/2 server - documentation
- h5utils (1.13.1-3+b1)
- HDF5 files visualization tools
- hachu (0.21-7-g1c1f14a-2)
- AI engine for many Shogi and Chess variants, including Chu, Sho, and Dai Shogi
- haci (0.98c-2)
- web based IP(v6) address and network administration tool
- hackrf (2018.01.1-2)
- Software defined radio peripheral - utilities
- haddock
- virtueel pakket geboden door ghc
- haddock-interface-33
- virtueel pakket geboden door ghc
- hadori (1.0-1+b1)
- Hardlinks identical files
- halibut (1.2-2)
- yet another free document preparation system
- hamexam (1.6.0-2)
- Study tool for USA FCC amateur radio (ham) exams.
- hamfax (0.8.1-1+b2 [armhf], 0.8.1-1+b1 [amd64, i386], 0.8.1-1 [arm64])
- Receive/send radio facsimile transmissions with Soundcard/PTC-II
- haml-elisp (1:3.1.0-3.1)
- Emacs Lisp mode for the Haml markup language
- hamradio-antenna (0.5)
- Debian Hamradio Antenna Packages
- hamradio-datamodes (0.5)
- Debian Hamradio Data Modes Packages
- hamradio-digitalvoice (0.5)
- Debian Hamradio Digital Voice Packages
- hamradio-logging (0.5)
- Debian Hamradio Logging Packages
- hamradio-maintguide (0.5)
- Debian Hamradio Maintainers Guide
- hamradio-morse (0.5)
- Debian Hamradio Morse/CW Packages
- hamradio-nonamateur (0.5)
- Debian Hamradio Non-Amateur Modes Packages
- hamradio-packetmodes (0.5)
- Debian Hamradio Packet Mode Packages
- hamradio-rigcontrol (0.5)
- Debian Hamradio Rig Control Packages
- hamradio-satellite (0.5)
- Debian Hamradio Satellite Operation Packages
- hamradio-sdr (0.5)
- Debian Hamradio Software Defined Radio Packages
- hamradio-tasks (0.5)
- Debian Hamradio Tasks
- hamradio-tools (0.5)
- Debian Hamradio Tools Packages
- hamradio-training (0.5)
- Debian Hamradio Training Packages
- handbrake (1.2.2+ds1-1)
- versatile DVD ripper and video transcoder (GTK+ GUI)
- handbrake-cli (1.2.2+ds1-1)
- versatile DVD ripper and video transcoder (command line)
- handlebars (3:4.1.0-1+deb10u3)
- build semantic templates effectively with no frustration
- hannah (1.0-3+b1)
- pacman-like game, child oriented
- hannah-data (1.0-3)
- pacman-like game, child oriented - data files
- hannah-foo2zjs (1:4) [contrib]
- Graphical firmware downloader for the foo2zjs package
- hapolicy (1.35-5)
- Balancing and fallback postfix policy delegation service
- happy (1.19.9-6)
- Parser generator for Haskell
- haproxy (1.8.19-1+deb10u5) [security]
- fast and reliable load balancing reverse proxy
- haproxy-doc (1.8.19-1+deb10u5) [security]
- fast and reliable load balancing reverse proxy (HTML documentation)
- haproxy-log-analysis (2.0~b0-1)
- analyse the HTTP logs generated by HAProxy
- haproxyctl (1.3.0-3)
- Utility to manage HAProxy
- harddrive-detection
- virtueel pakket geboden door disk-detect
- harden-doc (3.19)
- useful documentation to secure a Debian system
- hardening-runtime (1)
- Runtime hardening configuration files
- hardinfo (0.5.1+git20180227-2)
- Geeft systeem informatie weer
- hardlink (0.3.2)
- Hardlinks multiple copies of the same file
- harminv (1.4.1-2)
- extraction of complex frequencies and amplitudes from time series
- harp (1.5+data-3)
- Data harmonization toolset for Earth Observation formats
- harvest-tools (1.3-4)
- archiving and postprocessing for reference-compressed genomic multi-alignments
- harvid (0.8.2-1+b1)
- HTTP Ardour Video Server
- hasciicam (1.1.2-1+b3 [amd64, armhf, i386], 1.1.2-1 [arm64])
- (h)ascii for the masses: live video as text
- haserl (0.9.35-2+b1)
- CGI scripting program for embedded environments
- hashalot (0.3-8)
- Read and hash a passphrase
- hashcash (1.21-2)
- postage payment scheme for email based on hash calculations
- hashcat (5.1.0+ds1-1)
- World's fastest and most advanced password recovery utility
- hashcat-data (5.1.0+ds1-1)
- Data files for hashcat advanced password recovery utility
- hashcat-nvidia (20180828+nmu1) [contrib]
- Installs hashcat and its dependencies for users with NVIDIA GPU
- hashcheck (1.0.0-1)
- verifies the files on a live mounted ISO image
- hashdeep (4.4-5)
- recursively compute hashsums or piecewise hashings
- hashid (3.1.4-2)
- Identify the different types of hashes used to encrypt data
- hashrat (1.10.2-2)
- hashing tool supporting several hashes and recursivity
- haskell-agda-doc
- virtueel pakket geboden door libghc-agda-doc
- haskell-compiler
- virtueel pakket geboden door ghc
- haskell-convertible-doc
- virtueel pakket geboden door libghc-convertible-doc
- haskell-cpphs-doc
- virtueel pakket geboden door libghc-cpphs-doc
- haskell-cracknum-utils (2.2-1+b1)
- crack various integer, floating-point data formats
- haskell-dbus-hslogger-utils (0.1.0.1-1)
- expose a dbus server to control hslogger
- haskell-debian-utils (3.93.2-5+b2 [amd64, arm64], 3.93.2-5+b1 [armhf, i386])
- Various helpers to work with Debian packages
- haskell-derive-utils (2.6.4-2+b2)
- Deriving instances for data types in Haskell
- haskell-devscripts (0.14.0)
- Tools to help Debian developers build Haskell packages
- haskell-devscripts-minimal (0.14.0)
- Tools to help Debian developers build Haskell packages
- haskell-doc (20061128)
- Assorted Haskell language documentation
- haskell-edison-api-doc
- virtueel pakket geboden door libghc-edison-api-doc
- haskell-edison-core-doc
- virtueel pakket geboden door libghc-edison-core-doc
- haskell-gtk-sni-tray-utils (0.1.5.0-1)
- standalone StatusNotifierItem/AppIndicator tray
- haskell-hdbc-doc
- virtueel pakket geboden door libghc-hdbc-doc
- haskell-hdbc-postgresql-doc
- virtueel pakket geboden door libghc-hdbc-postgresql-doc
- haskell-hdbc-sqlite3-doc
- virtueel pakket geboden door libghc-hdbc-sqlite3-doc
- haskell-hosc-utils (0.16-2)
- Haskell Open Sound Control
- haskell-http-doc
- virtueel pakket geboden door libghc-http-doc
- haskell-lazy-csv-utils (0.5.1-3+b2)
- efficient lazy parsers for CSV (comma-separated values)
- haskell-misfortune (0.1.1.2-9+b1)
- fortune-mod clone
- haskell-mode (16.1-6)
- transitional package
- haskell-pcre-light-doc
- virtueel pakket geboden door libghc-pcre-light-doc
- haskell-platform (2014.2.0.0.debian8)
- Standard Haskell libraries and tools
- haskell-platform-doc (2014.2.0.0.debian8)
- Standard Haskell libraries and tools; documentation
- haskell-platform-prof (2014.2.0.0.debian8)
- Standard Haskell libraries and tools; profiling libraries
- haskell-regex-compat-doc
- virtueel pakket geboden door libghc-regex-compat-doc
- haskell-regex-posix-doc
- virtueel pakket geboden door libghc-regex-posix-doc
- haskell-relational-record (0.2.2.0-2)
- metapackage of Relational Record
- haskell-relational-record-dev (0.2.2.0-2)
- metapackage of Relational Record
- haskell-relational-record-doc (0.2.2.0-2)
- metapackage of Relational Record; documentation
- haskell-relational-record-prof (0.2.2.0-2)
- metapackage of Relational Record; profiling libraries
- haskell-src-exts-doc
- virtueel pakket geboden door libghc-src-exts-doc
- haskell-stack (1.7.1-3)
- The Haskell Tool Stack
- haskell-status-notifier-item-utils (0.3.0.0-1)
- StatusNotifierItem/libappindicator dbus implementation
- haskell-zlib-doc
- virtueel pakket geboden door libghc-zlib-doc
- haskell98-report (20080907-9)
- The Haskell 98 Language and Libraries Revised Report & addenda
- haskell98-tutorial (200006-2-2)
- A Gentle Introduction to Haskell 98
- hasktags (0.71.2-1)
- Producer of ctags "tags" and etags "TAGS" files for Haskell programs
- hatari (2.2.0+dfsg-1)
- Emulator for the Atari ST, STE, TT, and Falcon computers
- hatop (0.7.7-1)
- interactive ncurses client for haproxy
- haveged (1.9.1-7)
- Linux entropy source using the HAVEGE algorithm
- haveged-udeb (1.9.1-7)
- Linux entropy source using the HAVEGE algorithm -- udeb
- havp (0.93-2)
- HTTP Anti Virus Proxy
- haxe (1:3.4.7-1+b1)
- multi-target universal programming language
- haxml (1:1.25.4-4+b2)
- utilities for using XML documents with Haskell
- haxml-doc
- virtueel pakket geboden door libghc-haxml-doc
- hdapsd (1:20141203-1+b1)
- HDAPS daemon for various laptops with motion sensors
- hdate (1.6.02-2+b1)
- Provides the hcal and hdate binaries that help use Hebrew dates
- hdate-applet (0.15.11-3)
- Hebrew calendar applet
- hdav (1.3.3-1+b1)
- command-line WebDAV client
- hddemux (0.4-7)
- HTTP/1.x and DNS demultiplexer
- hddtemp (0.3-beta15-53)
- hard drive temperature monitoring utility
- hdevtools (0.1.7.0-1+b1)
- GHC powered daemon for fast Haskell development
- hdf-compass (0.6.0-1)
- viewer for HDF5 and related formats
- hdf-compass-doc (0.6.0-1)
- documentation and examples for the HDF Compass
- hdf4-tools (4.2.13-4)
- Hierarchical Data Format library -- runtime package
- hdf5-helpers (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - Helper tools
- hdf5-tools (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - Runtime tools
- hdhomerun-config (20180817-2)
- Configuration utility for Silicon Dust HD HomeRun
- hdmi2usb-fx2-firmware (0.0.0~git20151225-2)
- FX2 firmware for hdmi2usb board development
- hdmi2usb-mode-switch (0.0.1-2)
- Configuration and firmware tool for HDMI2USB devices
- hdmi2usb-udev (0.0.1-2)
- udev rules for HDMI2USB devices
- hdparm (9.58+ds-1)
- tune hard disk parameters for high performance
- hdparm-udeb (9.58+ds-1)
- tune hard disk parameters for high performance
- hdup (2.0.14-4+b2 [amd64, armhf, i386], 2.0.14-4 [arm64])
- Filesystem duplicator and backup
- headache (1.03-27)
- Tool to manage license notes of source files
- healpy-data (1.12.8-8)
- HEALPix representation of spherical data - Python data
- health-check (0.03.00-1)
- process monitoring tool
- heaptrack (1.1.0+20180922.gitf752536-4)
- heap memory profiler for Linux
- heaptrack-gui (1.1.0+20180922.gitf752536-4)
- heap memory profiler for Linux
- hearse (1.5-8.3)
- exchange Nethack bones files with other players
- heartbeat (1:3.0.6-9)
- Subsystem for High-Availability Linux
- heartbeat-dev (1:3.0.6-9)
- Subsystem for High-Availability Linux - development files
- heartbleeder (0.1.1-8+b10)
- test servers for OpenSSL CVE-2014-0160 aka Heartbleed
- heat-api (1:11.0.0-6)
- OpenStack orchestration service - API server
- heat-api-cfn (1:11.0.0-6)
- OpenStack orchestration service - CFN API
- heat-cfntools (1.4.2-2)
- Tools required to be installed on Heat provisioned cloud
- heat-common (1:11.0.0-6)
- OpenStack orchestration service - common files
- heat-doc (1:11.0.0-6)
- OpenStack orchestration service - Documentation
- heat-engine (1:11.0.0-6)
- OpenStack orchestration service - engine
- hebcal (3.5-2.1+b1)
- A Perpetual Jewish Calendar
- hedgewars (0.9.25-5)
- Funny turn-based artillery game, featuring fighting hedgehogs!
- hedgewars-data (0.9.25-5)
- Data files for hedgewars
- heif-thumbnailer (1.3.2-2~deb10u1)
- ISO/IEC 23008-12:2017 HEIF file format decoder - thumbnailer
- heimdal-clients (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - clients
- heimdal-dev (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - development files
- heimdal-docs (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - documentation
- heimdal-hdb-api-8
- virtueel pakket geboden door libhdb9-heimdal
- heimdal-kcm (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - KCM daemon
- heimdal-kdc (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - key distribution center (KDC)
- heimdal-multidev (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - Multi-implementation Development
- heimdal-servers (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - server programs
- heimdall-flash (1.4.1-2+b1)
- tool for flashing firmware on Samsung Galaxy S devices
- heimdall-flash-frontend (1.4.1-2+b1)
- tool for flashing firmware on Samsung Galaxy S devices - Qt GUI
- hellfire (0.0~git20180708.bf3c390-1+b10)
- PATHspider Effects List Resolver
- hello (2.10-2)
- example package based on GNU hello
- hello
- virtueel pakket geboden door hello-traditional
- hello-traditional (2.10-3+b1)
- example package not using any helper package
- help2man (1.47.8)
- Automatic manpage generator
- helpman (2.4-1)
- quick & easy access to 4000+ manuals / guides / tutorials
- helpviewer.app (0.3-8+b6)
- Online help viewer for GNUstep programs
- herbstluftwm (0.7.1-2)
- manual tiling window manager for X11
- hercules (3.13-1)
- System/370, ESA/390 and z/Architecture Emulator
- herculesstudio (1.5.0-2+b2)
- Hercules GUI front-end
- heretic-engine
- virtueel pakket geboden door doomsday, chocolate-doom
- herisvm (0.8.2-1)
- machine learning tools for classification algorithms
- heroes (0.21-17)
- Collect powerups and avoid your opponents' trails
- heroes-common
- virtueel pakket geboden door heroes
- heroes-data (1.5-4)
- Required data files for heroes
- heroes-sdl
- virtueel pakket geboden door heroes
- heroes-sound-effects (1.0-6)
- Optional sound files for heroes
- heroes-sound-tracks (1.0-6)
- Optional sound files for heroes
- herold (8.0.1-1)
- HTML to DocBook XML conversion
- hershey-font-gnuplot (0.1-1+b1)
- Hershey vector fonts renderer for gnuplot
- hershey-fonts-data (0.1-1)
- Hershey vector fonts collection
- hesiod (3.2.1-3.1)
- Project Athena's DNS-based directory service - utilities
- hevea (2.32-2)
- translates from LaTeX to HTML, info, or text
- hevea-doc (2.32-1) [non-free]
- HeVeA documentation
- hex-a-hop (1.1.0+git20140926-1)
- puzzle game based on hexagonal tiles
- hex-a-hop-data (1.1.0+git20140926-1)
- graphics, audio, levels and translations for Hex-a-hop
- hexalate (1.1.4-1)
- Color matching puzzle
- hexbox (1.5.0-5)
- Hex Edit Control for .NET developers - apps
- hexchat (2.14.2-4)
- IRC client for X based on X-Chat 2
- hexchat-common (2.14.2-4)
- Common files for HexChat
- hexchat-dev (2.14.2-4)
- Development files for HexChat
- hexchat-lua (2.14.2-4)
- Lua plugin for HexChat
- hexchat-otr (0.2.2-2)
- hexchat plugin to support Off The Record (OTR)
- hexchat-perl (2.14.2-4)
- Perl plugin for HexChat
- hexchat-plugins (2.14.2-4)
- Common plugins for HexChat
- hexchat-python2 (2.14.2-4)
- Python 2 plugin for HexChat
- hexchat-python3 (2.14.2-4)
- Python 3 plugin for HexChat
- hexcompare (1.0.4-1+b1)
- utility to compare and identify binary files
- hexcurse (1.58-1.1+b1)
- Ncurses-based hex editor with many features
- hexec (0.2.1-4)
- Command line tool to hook into exec calls
- hexedit (1.4.2-5)
- viewer and editor in hexadecimal or ASCII for files or devices
- hexen-engine
- virtueel pakket geboden door doomsday, chocolate-doom
- hexen2-engine
- virtueel pakket geboden door uhexen2
- hexer (1.0.5-3)
- interactive binary editor with a Vi-like interface
- hexter (1.0.2-3+b1)
- Yamaha DX7 modeling DSSI plugin
- hexxagon (1.0pl1-3.1+b3)
- Hexagonal Ataxx clone
- hexyl (0.4.0-1)
- Command-line hex viewer with colored output
- hfsplus (1.0.4-15)
- Tools to access HFS+ formatted volumes
- hfsprogs (332.25-11+b2)
- mkfs and fsck for HFS and HFS+ file systems
- hfst (3.15.0-1.1~deb10u1)
- Helsinki Finite-State Transducer Technology
- hfst-ospell (0.5.0-2)
- Spell checker library and tool based on HFST
- hfst-ospell-dev (0.5.0-2)
- HFST spell checker development files
- hfsutils (3.2.6-14)
- Tools for reading and writing Macintosh volumes
- hfsutils-tcltk (3.2.6-14)
- Tcl/Tk interfaces for reading and writing Macintosh volumes
- hgsubversion (1.9.3-1)
- Subversion client as Mercurial extension
- hhsuite (3.0~beta3+dfsg-3)
- sensitive protein sequence searching based on HMM-HMM alignment
- hhsuite-data (3.0~beta3+dfsg-3)
- sensitive protein sequence searching based on HMM-HMM alignment (data)
- hhsuite-doc (3.0~beta3+dfsg-3)
- documentation for HHsuite for HMM-HMM comparisons
- hibernate (2.0+15+g88d54a8-1)
- smartly puts your computer to sleep (suspend to RAM or disk)
- hibiscus (2.8.21+dfsg-1+deb10u1)
- Java online banking client using the HBCI standard
- hibiscus-doc (2.8.21+dfsg-1+deb10u1)
- Java online banking client using the HBCI standard - documentation package
- hicolor-icon-theme (0.17-2)
- default fallback theme for FreeDesktop.org icon themes
- hiera (3.2.0-2)
- Light weight hierarchical data store
- hiera-eyaml (2.1.0-1)
- OpenSSL Encryption backend for Hiera
- higan (106-2)
- Accurate multi-system emulator
- highlight (3.41-2+b1)
- Universal source code to formatted text converter
- highlight-common (3.41-2)
- source code to formatted text converter (architecture independent files)
- highlight.js-doc (9.12.0+dfsg1-4+deb10u1)
- JavaScript library for syntax highlighting - documentation
- hijra-applet (0.4.1-1) [non-free]
- Hijri Islamic Calendar tray applet and GNOME shell extension
- hiki (1.0.0-2)
- Wiki Engine written in Ruby
- hilive (1.1-2)
- realtime alignment of Illumina reads
- hime (0.9.10+git20170427+dfsg1-3+b3)
- GTK+ based input method for Chinese users
- hime-anthy (0.9.10+git20170427+dfsg1-3+b3)
- support library to use Anthy in HIME
- hime-chewing (0.9.10+git20170427+dfsg1-3+b3)
- support library to use Chewing in HIME
- hime-data (0.9.10+git20170427+dfsg1-3)
- icons, locales and scripts for HIME
- hime-gtk2-immodule (0.9.10+git20170427+dfsg1-3+b3)
- GTK2 input method module with HIME as backend
- hime-gtk3-immodule (0.9.10+git20170427+dfsg1-3+b3)
- GTK3 input method module with HIME as backend
- hime-qt5-immodule (0.9.10+git20170427+dfsg1-3+b3)
- Qt5 input method module with HIME as backend
- hime-tables (0.9.10+git20170427+dfsg1-3+b3)
- input method tables for HIME
- hinge (0.5.0-4)
- long read genome assembler based on hinging
- hippomocks (5.0-1)
- C++ mocking framework
- hisat2 (2.1.0-2)
- graph-based alignment of short nucleotide reads to many genomes
- hitch (1.5.0-1)
- scalable TLS proxy
- hitori (3.31.0-1)
- logic puzzle game similar to sudoku
- hivelytracker (0+git20180223-3)
- Music tracker for AHX and HVL formats
- hledger (1.10-3+b2)
- command-line double-entry accounting program
- hledger-interest (1.5.2-2)
- interest computing for (h)ledger
- hledger-ui (1.10.1-2)
- curses-style user interface for the hledger accounting tool
- hledger-web (1.10-2)
- web interface for the hledger accounting tool
- hlins (0.39-24)
- Voeg URLs in in html documenten
- hlint (2.1.10-2+b1)
- Haskell source code suggestions
- hmmer (3.2.1+dfsg-1)
- profile hidden Markov models for protein sequence analysis
- hmmer-doc (3.2.1+dfsg-1)
- profile hidden Markov models for protein sequence analysis (docs)
- hmmer-examples (3.2.1+dfsg-1)
- profile hidden Markov models for protein sequence analysis (examples)
- hmmer2 (2.3.2+dfsg-6)
- profile hidden Markov models for protein sequence analysis
- hmmer2-doc (2.3.2+dfsg-6)
- profile hidden Markov models for protein sequence analysis (docs)
- hmmer2-pvm (2.3.2+dfsg-6)
- HMMER programs with PVM (Parallel Virtual Machine) support
- hnb (1.9.18+ds1-2+b1)
- hierarchical notebook
- ho22bus (0.9.1-2+b2 [amd64, armhf, i386], 0.9.1-2 [arm64])
- simple application to memorize words
- ho22bus-data (0.9.1-2)
- common data for ho22bus
- hobbit
- virtueel pakket geboden door xymon
- hobbit-client
- virtueel pakket geboden door xymon-client
- hobbit-plugins (20190129)
- plugins for the Xymon network monitor
- hocr-gtk (0.10.18-3)
- GTK+ frontend for Hebrew OCR
- hodie (1.5.0-1)
- prints the date in Latin
- hoichess (0.22.0-1)
- xboard compatible chess engine to play chess with
- hol88 (2.02.19940316-35)
- Higher Order Logic, system image
- hol88-contrib-help (2.02.19940316-35)
- Higher Order Logic, user contributed online help files
- hol88-contrib-source (2.02.19940316-35)
- Higher Order Logic, user contributed source
- hol88-doc (2.02.19940316-35)
- Documentation for hol88
- hol88-help (2.02.19940316-35)
- Higher Order Logic, online help files
- hol88-library (2.02.19940316-35)
- Higher Order Logic, binary library modules
- hol88-library-help (2.02.19940316-35)
- Higher Order Logic, library online help files
- hol88-library-source (2.02.19940316-35)
- Higher Order Logic, library source files
- hol88-source (2.02.19940316-35)
- Higher Order Logic, source files
- holes (0.1-3)
- find runs of zero bytes
- hollywood (1.14-1.1)
- fill your console with Hollywood melodrama technobabble
- holotz-castle (1.3.14-9)
- platform game with high doses of mystery
- holotz-castle-data (1.3.14-9)
- platform game with high doses of mystery - data files
- holotz-castle-editor (1.3.14-9)
- platform game with high doses of mystery - level editor
- holotz-castle-milanb
- virtueel pakket geboden door holotz-castle-data
- homebank (5.2.2-1)
- Manage your personal accounts at home
- homebank-data (5.2.2-1)
- Data files for homebank
- homer-api (5.0.6+dfsg2-3.1)
- HOMER Capture Node REST API
- homer-api-datastore
- virtueel pakket geboden door homer-api-mysql, homer-api-postgresql
- homer-api-mysql (5.0.6+dfsg2-3.1)
- HOMER Capture Node REST API
- homer-api-postgresql (5.0.6+dfsg2-3.1)
- HOMER Capture Node REST API
- homesick (1.1.6-2)
- keep your dotfiles (configs) in git
- hoogle (5.0.17.3+dfsg1-5+b1)
- Haskell API Search for Debian system
- hopenpgp-tools (0.21.3-1)
- hOpenPGP-based command-line tools
- horae (071~svn537-2.1) [contrib]
- interactive graphical processing and analysis of EXAFS data
- horde
- virtueel pakket geboden door php-horde
- horde-bundle
- virtueel pakket geboden door php-horde-webmail, php-horde-groupware
- horgand (1.14-7)
- JACK capable organ softsynth
- horgand-data (1.14-7)
- JACK capable organ softsynth (data files)
- horizon-doc (3:14.0.2-3+deb10u3) [security]
- web application to control an OpenStack cloud - doc
- horizon-eda (0.20181108-1+b1)
- EDA layout and schematic application
- horst (5.1-2)
- Highly Optimized Radio Scanning Tool
- host
- virtueel pakket geboden door bind9-host
- hostapd (2:2.7+git20190128+0c1e29f-6+deb10u4) [security]
- IEEE 802.11 AP and IEEE 802.1X/WPA/WPA2/EAP Authenticator
- hostfiles (0.09-1)
- simple script to manage multiple sets of hostfiles
- hostname (3.21)
- utility to set/show the host name or domain name
- hoteldruid (2.3.2-1)
- web-based property management system for hotels or B&Bs
- hothasktags (0.3.8-3)
- Haskell ctags generator
- hotplug-utils
- virtueel pakket geboden door fxload
- hotspot (1.1.0+git20190211-1)
- GUI tool for performance analysis
- hotswap (0.4.0-15)
- (de)register hotswappable IDE hardware
- hotswap-gui (0.4.0-15+b1)
- (de)register hotswappable IDE hardware (GUI front-end)
- hotswap-text (0.4.0-15+b1)
- (de)register hotswappable IDE hardware (command line tool)
- hovercraft (2.6-2)
- generator for impress.js presentations from reStructuredText
- how-can-i-help (16)
- show opportunities for contributing to Debian
- howdoi (1.1.9-1)
- command line tool for instant coding answers
- howm (1.4.4-3)
- Note-taking tool on Emacs
- hoz (1.65-3)
- file splitter that uses the hacha file format
- hoz-gui (1.65-3)
- file splitter that uses the hacha file format
- hp-ppd (0.9-0.3)
- HP Postscript Printer Definition (PPD) files
- hp-search-mac (0.1.4)
- Search for a MAC address on HP switches
- hp2xx (3.4.4-11)
- HPGL converter into some vector- and raster formats
- hp48cc (1.3-6)
- C-like compiler which produces HP48 RPN
- hpack (0.28.2-2+b1)
- alternative format for Haskell packages
- hpanel (0.3.2-4+b1 [armhf], 0.3.2-4 [amd64, arm64, i386])
- minimalist panel for X
- hpcc (1.5.0-1+b1)
- HPC Challenge benchmark
- hpijs-ppds (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - HPIJS PPD files
- hping3 (3.a2.ds2-7)
- Active Network Smashing Tool
- hplip (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging System (HPLIP)
- hplip-data (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - data files
- hplip-doc (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - documentation
- hplip-gui (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - GUI utilities (Qt-based)
- hplip-ppds
- virtueel pakket geboden door hpijs-ppds
- hprof-conv (8.1.0+r23-2)
- HPROF Converter
- hpsockd (0.17+b3)
- HP SOCKS server
- hsail-tools (0~20170314-3+b1)
- tools for parsing, assembling, and disassembling HSAIL
- hsbrainfuck (0.1.0.3-6+b2)
- interpreter for the brainfuck programming language
- hscolour (1.24.4-2+b2)
- Haskell program to colourise Haskell code
- hsetroot (1.0.2-5+b1)
- tool for composing root-pixmaps for X11
- hslogger-doc
- virtueel pakket geboden door libghc-hslogger-doc
- hspec-discover (2.5.5-1)
- Automatically discover and run Hspec tests
- hspell (1.4-3)
- Hebrew spell checker and morphological analyzer
- hspell-gui (0.2.6-6)
- graphical front-end for the hspell hebrew spell checker
- hsqldb-utils (2.4.1-2+deb10u2) [security]
- Java SQL database engine (utilities)
- hsx2hs (0.14.1.3-3+b3 [amd64, arm64], 0.14.1.3-3+b2 [armhf], 0.14.1.3-3+b1 [i386])
- literal XML syntax in Haskell source code - preprocessor
- ht (2.1.0+repack1-3+b1)
- Viewer/editor/analyser (mostly) for executables
- htag (0.0.24-1.1)
- A tagline/.signature adder for email, news and FidoNet messages
- htcondor (8.6.8~dfsg.1-2+deb10u1)
- distributed workload management system
- htcondor-dbg (8.6.8~dfsg.1-2+deb10u1)
- distributed workload management system - debugging symbols
- htcondor-dev (8.6.8~dfsg.1-2+deb10u1)
- distributed workload management system - development files
- htcondor-doc (8.6.8~dfsg.1-2+deb10u1)
- distributed workload management system - documentation
- htdig (1:3.2.0b6-18)
- web search and indexing system - binaries
- htdig-doc (1:3.2.0b6-18)
- web search and indexing system - documentation
- html-xml-utils (7.7-1)
- HTML and XML manipulation utilities
- html2ps (1.0b7-3)
- HTML to PostScript converter
- html2text (1.3.2a-24)
- advanced HTML to text converter
- html2wml (0.4.11+dfsg-1)
- converts HTML pages to WML (WAP) or i-mode pages
- htmldoc (1.9.3-1+deb10u4)
- HTML processor that generates indexed HTML, PS, and PDF
- htmldoc-common (1.9.3-1+deb10u4)
- Common arch-independent files for htmldoc
- htmlmin (0.1.12-1)
- HTML Minifier - command line interface
- htop (2.2.0-1+b1)
- interactieve procesweergave
- htp (1.19-7)
- nice HTML pre-processor
- htpdate (1.2.0-3)
- HTTP based time synchronization tool
- hts-voice-nitech-jp-atr503-m001 (1.05-4) [contrib]
- Japanese male voice data for Open JTalk
- htsengine (1.10-3)
- frontend of HMM-based speech synthesis engine
- htslib-test (1.9-12~deb10u1)
- Test data for HTSlib
- httest (2.4.23-1)
- HTTP test tool
- http-icons (0~20041010-1)
- classic MIME icons
- httpcode (0.6-1)
- Explains the meaning of an HTTP status code on the command line
- httpd
- virtueel pakket geboden door webfs, lighttpd, micro-httpd, nginx-light, yaws, apache2, nginx-full, ebhttpd, nginx-extras, tntnet, mini-httpd
- httpd-cgi
- virtueel pakket geboden door nginx-full, lighttpd, nginx-extras, tntnet, nginx-light, yaws, mini-httpd, apache2
- httpd-wsgi
- virtueel pakket geboden door uwsgi-plugin-python, libapache2-mod-wsgi, gunicorn, libapache2-mod-wsgi-py3, uwsgi-plugin-python3
- httperf (0.9.0-9)
- HTTP server performance tester
- httpfs2 (0.1.4-1.1)
- FUSE filesystem for mounting files from http servers
- httpie (0.9.8-2)
- CLI, cURL-like tool for humans
- httping (2.5-5)
- ping-like program for http-requests
- httpry (0.1.8-1)
- HTTP logging and information retrieval tool
- httpry-daemon (0.1.8-1)
- HTTP logging and information retrieval tool - daemon
- httpry-dbg (0.1.8-1)
- HTTP logging and information retrieval tool - debug symbols
- httpry-tools (0.1.8-1)
- HTTP logging and information retrieval tool - log parsing scripts
- httptunnel (3.3+dfsg-4)
- Tunnels a data stream in HTTP requests
- httrack (3.49.2-1)
- Copy websites to your computer (Offline browser)
- httrack-doc (3.49.2-1)
- Httrack website copier additional documentation
- httraqt (1.4.9-1)
- program for downloading of internet sites
- hub (2.7.0~ds1-1+deb10u1) [security]
- make git easier with GitHub
- hubicfuse (3.0.1-2+b1)
- Support for mounting hubiC drives
- hugin (2018.0.0+dfsg-3+b1)
- panorama photo stitcher - GUI tools
- hugin-data (2018.0.0+dfsg-3)
- panorama photo stitcher - common data files
- hugin-tools (2018.0.0+dfsg-3+b1)
- panorama photo stitcher - commandline tools
- hugo (0.55.6+really0.54.0-1+deb10u1) [security]
- Fast and flexible Static Site Generator written in Go
- hugs (98.200609.21-5.4+b4)
- A Haskell 98 interpreter
- hugs98
- virtueel pakket geboden door hugs
- human-icon-theme (0.28.debian-6.1) [non-free]
- Human Icon theme
- humanfriendly (4.18-1)
- Helper command for the humanfriendly Python3 library
- hunspell (1.7.0-2)
- spell checker and morphological analyzer (program)
- hunspell-af (1:6.2.0-1)
- Afrikaans dictionary for hunspell
- hunspell-an (0.2-4)
- Aragonese dictionary for hunspell
- hunspell-ar (3.2-1.1)
- Arabic dictionary for hunspell
- hunspell-be (0.53-3)
- Belarusian dictionary for hunspell and academic orthography
- hunspell-bg (1:6.2.0-1)
- Bulgarian dictionary for hunspell
- hunspell-bn (1:6.2.0-1)
- Bengali dictionary for hunspell
- hunspell-bo (0.4.0-1)
- Classical Tibetan language dictionary for hunspell
- hunspell-br (0.12-2)
- Breton language dictionary for hunspell
- hunspell-bs (1:6.2.0-1)
- Bosnian dictionary for hunspell
- hunspell-ca (3.0.3+repack1-1)
- Catalan dictionaries for hunspell
- hunspell-cs (1:6.2.0-1)
- Czech dictionary for hunspell
- hunspell-da (1:6.2.0-1)
- Danish dictionary for hunspell
- hunspell-de-at (20161207-7)
- Austrian (German) dictionary for hunspell
- hunspell-de-at-frami (1:6.2.0-1)
- German (Austria) dictionary for hunspell ("frami" version)
- hunspell-de-ch (20161207-7)
- Swiss (German) dictionary for hunspell
- hunspell-de-ch-frami (1:6.2.0-1)
- German (Switzerland) dictionary for hunspell ("frami" version)
- hunspell-de-de (20161207-7)
- German dictionary for hunspell
- hunspell-de-de-frami (1:6.2.0-1)
- German dictionary for hunspell ("frami" version)
- hunspell-de-med (20160103-3)
- German medical dictionary for hunspell
- hunspell-dictionary
- virtueel pakket geboden door hunspell-bs, hunspell-hr, hunspell-bo, hunspell-de-de, hunspell-lv, hunspell-fr-modern, hunspell-sk, hunspell-te, myspell-eo, hunspell-vi, hunspell-th, hunspell-lt, hunspell-de-ch-frami, hunspell-hi, hunspell-ca, hunspell-be, hunspell-nl, hunspell-gug, hunspell-oc, hunspell-pl, hunspell-da, hunspell-kk, hunspell-an, hunspell-sv, hunspell-he, hunspell-fr-comprehensive, hunspell-ne, hunspell-fr-classical, hunspell-hu, hunspell-de-ch, hunspell-tr, hunspell-en-ca, hunspell-it, hunspell-kmr, hunspell-br, hunspell-de-de-frami, hunspell-no, myspell-es, hunspell-fr-revised, hunspell-uz, hunspell-ar, hunspell-sr, hunspell-sw, hunspell-el, hunspell-gu, hunspell-af, hunspell-dz, myspell-tl, hunspell-de-at-frami, myspell-et, hunspell-bn, hunspell-gd, hunspell-si, hunspell-en-za, hunspell-lo, hunspell-sl, hunspell-ko, myspell-fo, hunspell-is, hunspell-se, hunspell-pt-br, hunspell-id, hunspell-en-gb, hunspell-es, hunspell-en-au, hunspell-cs, hunspell-de-at, hunspell-ro, hunspell-uk, hunspell-en-us, myspell-fr, hunspell-bg, hunspell-ru, hunspell-pt-pt, hunspell-eu, hunspell-gl
- hunspell-dictionary-af
- virtueel pakket geboden door hunspell-af
- hunspell-dictionary-an
- virtueel pakket geboden door hunspell-an
- hunspell-dictionary-ar
- virtueel pakket geboden door hunspell-ar
- hunspell-dictionary-be
- virtueel pakket geboden door hunspell-be
- hunspell-dictionary-bg
- virtueel pakket geboden door hunspell-bg
- hunspell-dictionary-bn
- virtueel pakket geboden door hunspell-bn
- hunspell-dictionary-bo
- virtueel pakket geboden door hunspell-bo
- hunspell-dictionary-br
- virtueel pakket geboden door hunspell-br
- hunspell-dictionary-bs
- virtueel pakket geboden door hunspell-bs
- hunspell-dictionary-ca
- virtueel pakket geboden door hunspell-ca
- hunspell-dictionary-cs
- virtueel pakket geboden door hunspell-cs
- hunspell-dictionary-da
- virtueel pakket geboden door hunspell-da
- hunspell-dictionary-de
- virtueel pakket geboden door hunspell-de-at, hunspell-de-de, hunspell-de-ch
- hunspell-dictionary-de-at-frami
- virtueel pakket geboden door hunspell-de-at-frami
- hunspell-dictionary-de-ch-frami
- virtueel pakket geboden door hunspell-de-ch-frami
- hunspell-dictionary-de-de-frami
- virtueel pakket geboden door hunspell-de-de-frami
- hunspell-dictionary-dz
- virtueel pakket geboden door hunspell-dz
- hunspell-dictionary-el
- virtueel pakket geboden door hunspell-el
- hunspell-dictionary-en
- virtueel pakket geboden door hunspell-en-us
- hunspell-dictionary-en-au
- virtueel pakket geboden door hunspell-en-au
- hunspell-dictionary-en-ca
- virtueel pakket geboden door hunspell-en-ca
- hunspell-dictionary-en-gb
- virtueel pakket geboden door hunspell-en-gb
- hunspell-dictionary-en-us
- virtueel pakket geboden door hunspell-en-us
- hunspell-dictionary-en-za
- virtueel pakket geboden door hunspell-en-za
- hunspell-dictionary-eo
- virtueel pakket geboden door myspell-eo
- hunspell-dictionary-es
- virtueel pakket geboden door myspell-es, hunspell-es
- hunspell-dictionary-et
- virtueel pakket geboden door myspell-et
- hunspell-dictionary-eu
- virtueel pakket geboden door hunspell-eu
- hunspell-dictionary-fo
- virtueel pakket geboden door myspell-fo
- hunspell-dictionary-fr
- virtueel pakket geboden door hunspell-fr-comprehensive, hunspell-fr-revised, myspell-fr, hunspell-fr-classical, hunspell-fr-modern
- hunspell-dictionary-gd
- virtueel pakket geboden door hunspell-gd
- hunspell-dictionary-gl
- virtueel pakket geboden door hunspell-gl
- hunspell-dictionary-gu
- virtueel pakket geboden door hunspell-gu
- hunspell-dictionary-gug
- virtueel pakket geboden door hunspell-gug
- hunspell-dictionary-he
- virtueel pakket geboden door hunspell-he
- hunspell-dictionary-hi
- virtueel pakket geboden door hunspell-hi
- hunspell-dictionary-hr
- virtueel pakket geboden door hunspell-hr
- hunspell-dictionary-hu
- virtueel pakket geboden door hunspell-hu
- hunspell-dictionary-id
- virtueel pakket geboden door hunspell-id
- hunspell-dictionary-is
- virtueel pakket geboden door hunspell-is
- hunspell-dictionary-it
- virtueel pakket geboden door hunspell-it
- hunspell-dictionary-kk
- virtueel pakket geboden door hunspell-kk
- hunspell-dictionary-kmr
- virtueel pakket geboden door hunspell-kmr
- hunspell-dictionary-ko
- virtueel pakket geboden door hunspell-ko
- hunspell-dictionary-lo
- virtueel pakket geboden door hunspell-lo
- hunspell-dictionary-lt
- virtueel pakket geboden door hunspell-lt
- hunspell-dictionary-lv
- virtueel pakket geboden door hunspell-lv
- hunspell-dictionary-ne
- virtueel pakket geboden door hunspell-ne
- hunspell-dictionary-nl
- virtueel pakket geboden door hunspell-nl
- hunspell-dictionary-no
- virtueel pakket geboden door hunspell-no
- hunspell-dictionary-oc
- virtueel pakket geboden door hunspell-oc
- hunspell-dictionary-pl
- virtueel pakket geboden door hunspell-pl
- hunspell-dictionary-pt-br
- virtueel pakket geboden door hunspell-pt-br
- hunspell-dictionary-pt-pt
- virtueel pakket geboden door hunspell-pt-pt
- hunspell-dictionary-ro
- virtueel pakket geboden door hunspell-ro
- hunspell-dictionary-ru
- virtueel pakket geboden door hunspell-ru
- hunspell-dictionary-se
- virtueel pakket geboden door hunspell-se
- hunspell-dictionary-si
- virtueel pakket geboden door hunspell-si
- hunspell-dictionary-sk
- virtueel pakket geboden door hunspell-sk
- hunspell-dictionary-sl
- virtueel pakket geboden door hunspell-sl
- hunspell-dictionary-sr
- virtueel pakket geboden door hunspell-sr
- hunspell-dictionary-sv
- virtueel pakket geboden door hunspell-sv
- hunspell-dictionary-sw
- virtueel pakket geboden door hunspell-sw
- hunspell-dictionary-te
- virtueel pakket geboden door hunspell-te
- hunspell-dictionary-th
- virtueel pakket geboden door hunspell-th
- hunspell-dictionary-tl
- virtueel pakket geboden door myspell-tl
- hunspell-dictionary-tr
- virtueel pakket geboden door hunspell-tr
- hunspell-dictionary-uk
- virtueel pakket geboden door hunspell-uk
- hunspell-dictionary-uz
- virtueel pakket geboden door hunspell-uz
- hunspell-dictionary-vi
- virtueel pakket geboden door hunspell-vi
- hunspell-dz (0.1.0-1)
- Dzongkha language dictionary for hunspell
- hunspell-el (1:6.2.0-1)
- Modern Greek dictionary for hunspell
- hunspell-en-au (1:2018.04.16-1)
- English (Australia) dictionary for hunspell
- hunspell-en-ca (1:2018.04.16-1)
- English (Canada) dictionary for hunspell
- hunspell-en-gb (1:6.2.0-1)
- English (GB) dictionary for hunspell
- hunspell-en-med (0.0.20140410-1)
- English medical dictionary for hunspell
- hunspell-en-us (1:2018.04.16-1)
- English_american dictionary for hunspell
- hunspell-en-za (1:6.2.0-1)
- English (South Africa) dictionary for hunspell
- hunspell-eo
- virtueel pakket geboden door myspell-eo
- hunspell-es (1:6.2.0-1)
- Spanish dictionary for hunspell
- hunspell-es
- virtueel pakket geboden door myspell-es
- hunspell-et
- virtueel pakket geboden door myspell-et
- hunspell-eu (0.5.20151110-4)
- Basque (Euskera) dictionary for hunspell
- hunspell-eu-es (0.5.20151110-4)
- transitional dummy package to hunspell-eu
- hunspell-eu-es
- virtueel pakket geboden door hunspell-eu
- hunspell-fo
- virtueel pakket geboden door myspell-fo
- hunspell-fr (1:6.3-2)
- French dictionary for hunspell (dependency package)
- hunspell-fr-classical (1:6.3-2)
- French dictionary for hunspell (classical version)
- hunspell-fr-comprehensive (1:6.3-2)
- French dictionary for hunspell (comprehensive version)
- hunspell-fr-modern (1:6.3-2)
- French dictionary for hunspell (modern version)
- hunspell-fr-revised (1:6.3-2)
- French dictionary for hunspell (revised version)
- hunspell-gd (1:6.2.0-1)
- Scottish Gaelic dictionary for hunspell
- hunspell-gl (1:6.2.0-1)
- Galician dictionary for hunspell
- hunspell-gl-es (1:6.2.0-1)
- Galician dictionary for hunspell - dummy transitional package
- hunspell-gu (1:6.2.0-1)
- Gujarati dictionary for hunspell
- hunspell-gug (1:6.2.0-1)
- Guarani dictionary for hunspell
- hunspell-he (1:6.2.0-1)
- Hebrew dictionary for hunspell
- hunspell-hi (1:6.2.0-1)
- Hindi dictionary for hunspell
- hunspell-hr (1:6.2.0-1)
- Croatian dictionary for hunspell
- hunspell-hu (1:6.2.0-1)
- Hungarian dictionary for hunspell
- hunspell-id (1:6.2.0-1)
- Indonesian dictionary for hunspell
- hunspell-is (1:6.2.0-1)
- Icelandic dictionary for hunspell
- hunspell-it (1:6.2.0-1)
- Italian dictionary for hunspell
- hunspell-kk (1.1-2)
- Kazakh dictionary for hunspell
- hunspell-kmr (1:6.2.0-1)
- Kurmanji dictionary for hunspell
- hunspell-ko (0.7.1-1)
- Korean dictionary for hunspell
- hunspell-ku
- virtueel pakket geboden door hunspell-kmr
- hunspell-lo (1:6.2.0-1)
- Laotian dictionary for hunspell
- hunspell-lt (1:6.2.0-1)
- Lithuanian dictionary for hunspell
- hunspell-lv (1.3.0-5)
- Latvian dictionary for hunspell
- hunspell-lv
- virtueel pakket geboden door hunspell-lv
- hunspell-ml (0.1-2)
- Malayalam dictionary for hunspell
- hunspell-ne (1:6.2.0-1)
- Nepalese dictionary for hunspell
- hunspell-nl (2:2.10-6)
- Dutch dictionary for Hunspell
- hunspell-no (1:6.2.0-1)
- Norwegian dictionary for hunspell
- hunspell-oc (1:6.2.0-1)
- Occitan dictionary for hunspell
- hunspell-pl (1:6.2.0-1)
- Polish dictionary for hunspell
- hunspell-pt-br (1:6.2.0-1)
- Brazilian Portuguese dictionary for hunspell
- hunspell-pt-pt (1:6.2.0-1)
- Portuguese dictionary for hunspell
- hunspell-ro (1:6.2.0-1)
- Romanian dictionary for hunspell
- hunspell-ru (1:6.2.0-1)
- Russian dictionary for hunspell
- hunspell-se (1.0~beta6.20081222-1.2)
- North Sámi Hunspell dictionary
- hunspell-si (1:6.2.0-1)
- Sinhala dictionary for hunspell
- hunspell-sk (1:6.2.0-1)
- Slovak dictionary for hunspell
- hunspell-sl (1:6.2.0-1)
- Slovene dictionary for hunspell
- hunspell-sr (1:6.2.0-1)
- Serbian dictionary for hunspell
- hunspell-sv (1:6.2.0-1)
- Swedish dictionary for hunspell
- hunspell-sv-se (1:6.2.0-1)
- Swedish dictionary for hunspell - dummy transitional package
- hunspell-sw (1:6.2.0-1)
- Swahili dictionary for hunspell
- hunspell-te (1:6.2.0-1)
- Telugu dictionary for hunspell
- hunspell-th (1:6.2.0-1)
- Thai dictionary for hunspell
- hunspell-tl
- virtueel pakket geboden door myspell-tl
- hunspell-tools (1.7.0-2)
- tools for hunspell
- hunspell-tr (1:6.2.0-1)
- Turkish dictionary for hunspell
- hunspell-uk (1:6.2.0-1)
- Ukrainian dictionary for hunspell
- hunspell-uz (0.6-4)
- Uzbek dictionary for Hunspell
- hunspell-vi (1:6.2.0-1)
- Vietnamese dictionary for hunspell
- hunt (1.5-6.1+b1)
- Advanced packet sniffer and connection intrusion
- hv3 (3.0~fossil20110109-7)
- Lightweight web browser
- hw-detect (1.137)
- Detect hardware and load kernel drivers for it
- hwb (1:040412-7) [non-free]
- Hardware Book
- hwdata (0.290-1)
- hardware identification / configuration data
- hwinfo (21.63-3)
- Hardware identification system
- hwloc (1.11.12-3)
- Hierarchical view of the machine - utilities
- hwloc-nox (1.11.12-3)
- Hierarchical view of the machine - non-X version of utilities
- hxtools (20180301-1)
- Collection of tools and scripts
- hy (0.12.1-2)
- Lisp (s-expression) based frontend to Python (metapackage)
- hybrid-dev (1:8.2.24+dfsg.1-1+deb10u1)
- high-performance secure IRC server - development files
- hydra (8.8-1)
- very fast network logon cracker
- hydra-gtk (8.8-1)
- very fast network logon cracker - GTK+ based GUI
- hydroffice.bag-doc (0.2.15-2)
- documentation for hydroffice.bag
- hydroffice.bag-tools (0.2.15-2)
- command-line tools for hydroffice.bag
- hydrogen (0.9.7-6)
- advanced drum machine/step sequencer
- hydrogen-data (0.9.7-6)
- advanced drum machine/step sequencer (data)
- hydrogen-doc (0.9.7-6)
- advanced drum machine/step sequencer (doc)
- hydrogen-drumkits (2017.09.19~dfsg-1)
- drumkits for Hydrogen
- hydrogen-drumkits-effects (2017.09.19~dfsg-1)
- effect drumkits for Hydrogen
- hylafax-client (3:6.0.6-8.1)
- Flexible client/server fax software - client utilities
- hylafax-client-dbg (3:6.0.6-8.1)
- Flexible client/server fax software - client utilities
- hylafax-server (3:6.0.6-8.1)
- Flexible client/server fax software - server daemons
- hylafax-server-dbg (3:6.0.6-8.1)
- Debug symbols for the hylafax server
- hyperrogue (10.4j-1)
- non-euclidean graphical rogue-like game
- hyperrogue-music (10.4j-1)
- in-game music for hyperrogue
- hyperspec (1.32) [contrib]
- Common Lisp ANSI-standard Hyperspec
- hyperv-daemons (4.19.304-1) [security]
- Support daemons for Linux running on Hyper-V
- hyphen-af (1:6.2.0-1)
- Afrikaans hyphenation patterns
- hyphen-as (0.9.0-1)
- Assamese hyphenation patterns for LibreOffice
- hyphen-bg (1:6.2.0-1)
- Bulgarian hyphenation patterns
- hyphen-bn (0.9.0-1)
- Bengali hyphenation patterns for LibreOffice
- hyphen-ca (1:6.2.0-1)
- Catalan hyphenation patterns
- hyphen-cs (1:6.2.0-1)
- Czech hyphenation patterns
- hyphen-da (1:6.2.0-1)
- Danish hyphenation patterns
- hyphen-de (1:6.2.0-1)
- German hyphenation patterns
- hyphen-el (1:6.2.0-1)
- Modern Greek hyphenation patterns
- hyphen-en-au
- virtueel pakket geboden door hyphen-en-gb
- hyphen-en-gb (1:6.2.0-1)
- English (GB) hyphenation patterns
- hyphen-en-us (2.8.8-7)
- English (US) hyphenation patterns
- hyphen-en-za
- virtueel pakket geboden door hyphen-en-gb
- hyphen-es (1:6.2.0-1)
- Spanish hyphenation patterns
- hyphen-et
- virtueel pakket geboden door myspell-et
- hyphen-fr (1:6.2.0-1)
- French hyphenation patterns
- hyphen-gl (1:6.2.0-1)
- Galician hyphenation patterns
- hyphen-gu (0.9.0-1)
- Gujarati hyphenation patterns for LibreOffice
- hyphen-hi (0.9.0-1)
- Hindi hyphenation patterns for LibreOffice
- hyphen-hr (1:6.2.0-1)
- Croatian hyphenation patterns
- hyphen-hu (1:6.2.0-1)
- Hungarian hyphenation patterns
- hyphen-hyphenation-patterns
- virtueel pakket geboden door hyphen-sl, hyphen-el, hyphen-hu, hyphen-en-gb, hyphen-sr, hyphen-id, hyphen-no, myspell-et, hyphen-uk, hyphen-pt-br, hyphen-fr, hyphen-ca, hyphen-af, hyphen-de, hyphen-sk, hyphen-en-us, hyphen-sv, hyphen-gl, hyphen-es, hyphen-nl, hyphen-pt-pt, hyphen-ro, hyphen-zu, hyphen-lt, hyphen-cs, hyphen-ru, hyphen-da, hyphen-hr, hyphen-pl, hyphen-is, hyphen-it, hyphen-bg, hyphen-lv
- hyphen-hyphenation-patterns-af
- virtueel pakket geboden door hyphen-af
- hyphen-hyphenation-patterns-bg
- virtueel pakket geboden door hyphen-bg
- hyphen-hyphenation-patterns-ca
- virtueel pakket geboden door hyphen-ca
- hyphen-hyphenation-patterns-cs
- virtueel pakket geboden door hyphen-cs
- hyphen-hyphenation-patterns-da
- virtueel pakket geboden door hyphen-da
- hyphen-hyphenation-patterns-de
- virtueel pakket geboden door hyphen-de
- hyphen-hyphenation-patterns-el
- virtueel pakket geboden door hyphen-el
- hyphen-hyphenation-patterns-en
- virtueel pakket geboden door hyphen-en-us
- hyphen-hyphenation-patterns-en-gb
- virtueel pakket geboden door hyphen-en-gb
- hyphen-hyphenation-patterns-en-us
- virtueel pakket geboden door hyphen-en-us
- hyphen-hyphenation-patterns-es
- virtueel pakket geboden door hyphen-es
- hyphen-hyphenation-patterns-et
- virtueel pakket geboden door myspell-et
- hyphen-hyphenation-patterns-fr
- virtueel pakket geboden door hyphen-fr
- hyphen-hyphenation-patterns-gl
- virtueel pakket geboden door hyphen-gl
- hyphen-hyphenation-patterns-hr
- virtueel pakket geboden door hyphen-hr
- hyphen-hyphenation-patterns-hu
- virtueel pakket geboden door hyphen-hu
- hyphen-hyphenation-patterns-id
- virtueel pakket geboden door hyphen-id
- hyphen-hyphenation-patterns-is
- virtueel pakket geboden door hyphen-is
- hyphen-hyphenation-patterns-it
- virtueel pakket geboden door hyphen-it
- hyphen-hyphenation-patterns-lt
- virtueel pakket geboden door hyphen-lt
- hyphen-hyphenation-patterns-lv
- virtueel pakket geboden door hyphen-lv
- hyphen-hyphenation-patterns-nl
- virtueel pakket geboden door hyphen-nl
- hyphen-hyphenation-patterns-no
- virtueel pakket geboden door hyphen-no
- hyphen-hyphenation-patterns-pl
- virtueel pakket geboden door hyphen-pl
- hyphen-hyphenation-patterns-pt-br
- virtueel pakket geboden door hyphen-pt-br
- hyphen-hyphenation-patterns-pt-pt
- virtueel pakket geboden door hyphen-pt-pt
- hyphen-hyphenation-patterns-ro
- virtueel pakket geboden door hyphen-ro
- hyphen-hyphenation-patterns-ru
- virtueel pakket geboden door hyphen-ru
- hyphen-hyphenation-patterns-sk
- virtueel pakket geboden door hyphen-sk
- hyphen-hyphenation-patterns-sl
- virtueel pakket geboden door hyphen-sl
- hyphen-hyphenation-patterns-sr
- virtueel pakket geboden door hyphen-sr
- hyphen-hyphenation-patterns-sv
- virtueel pakket geboden door hyphen-sv
- hyphen-hyphenation-patterns-uk
- virtueel pakket geboden door hyphen-uk
- hyphen-hyphenation-patterns-zu
- virtueel pakket geboden door hyphen-zu
- hyphen-id (1:6.2.0-1)
- Indonesian hyphenation patterns
- hyphen-is (1:6.2.0-1)
- Icelandic hyphenation patterns
- hyphen-it (1:6.2.0-1)
- Italian hyphenation patterns
- hyphen-kn (0.9.0-1)
- Kannada hyphenation patterns for LibreOffice
- hyphen-lt (1:6.2.0-1)
- Lithuanian hyphenation patterns
- hyphen-lv (1.3.0-5)
- Latvian hyphenation patterns
- hyphen-ml (0.9.0-1)
- Malayalam hyphenation patterns for LibreOffice
- hyphen-mr (0.9.0-1)
- Marathi hyphenation patterns for LibreOffice
- hyphen-nl (1:6.2.0-1)
- Dutch hyphenation patterns
- hyphen-no (1:6.2.0-1)
- Norwegian hyphenation patterns
- hyphen-or (0.9.0-1)
- Oriya hyphenation patterns for LibreOffice
- hyphen-pa (0.9.0-1)
- Punjabi hyphenation patterns for LibreOffice
- hyphen-pl (1:3.0a-4)
- Polish hyphenation patterns for LibreOffice/OpenOffice.org
- hyphen-pt-br (1:6.2.0-1)
- Brazilian Portuguese hyphenation patterns
- hyphen-pt-pt (1:6.2.0-1)
- Portuguese hyphenation patterns
- hyphen-ro (1:6.2.0-1)
- Romanian hyphenation patterns
- hyphen-ru (20030310-1)
- Russian hyphenation patterns for LibreOffice/OpenOffice.org
- hyphen-show (20000425-3+b1)
- Show hyphenations in DVI-files
- hyphen-sk (1:6.2.0-1)
- Slovak hyphenation patterns
- hyphen-sl (1:6.2.0-1)
- Slovene hyphenation patterns
- hyphen-sr (1:6.2.0-1)
- Serbian hyphenation patterns
- hyphen-sv (1:6.2.0-1)
- Swedish hyphenation patterns
- hyphen-ta (0.9.0-1)
- Tamil hyphenation patterns for LibreOffice
- hyphen-te (0.9.0-1)
- Telugu hyphenation patterns for LibreOffice
- hyphen-uk (1:6.2.0-1)
- Ukrainian hyphenation patterns
- hyphen-zu (1:6.2.0-1)
- Zulu hyphenation patterns
- hyphy-common (2.3.14+dfsg-1)
- Hypothesis testing using Phylogenies (common files)
- hyphy-mpi (2.3.14+dfsg-1)
- Hypothesis testing using Phylogenies (MPI version)
- hyphy-pt (2.3.14+dfsg-1)
- Hypothesis testing using Phylogenies (pthreads version)
- i18nspector (0.25.8-1)
- checking tool for gettext POT, PO and MO files
- i2c-modules
- virtueel pakket geboden door i2c-modules-4.19.0-21-686-pae-di, i2c-modules-4.19.0-21-amd64-di, i2c-modules-4.19.0-20-amd64-di, i2c-modules-4.19.0-20-686-pae-di, i2c-modules-4.19.0-20-686-di, i2c-modules-4.19.0-21-armmp-di, i2c-modules-4.19.0-21-686-di, i2c-modules-4.19.0-20-arm64-di, i2c-modules-4.19.0-20-armmp-di, i2c-modules-4.19.0-21-arm64-di
- i2c-modules-4.19.0-20-686-di (4.19.235-1)
- i2c support modules
- i2c-modules-4.19.0-20-686-pae-di (4.19.235-1)
- i2c support modules
- i2c-modules-4.19.0-20-amd64-di (4.19.235-1)
- i2c support modules
- i2c-modules-4.19.0-20-arm64-di (4.19.235-1)
- i2c support modules
- i2c-modules-4.19.0-20-armmp-di (4.19.235-1)
- i2c support modules
- i2c-modules-4.19.0-21-686-di (4.19.249-2)
- i2c support modules
- i2c-modules-4.19.0-21-686-pae-di (4.19.249-2)
- i2c support modules
- i2c-modules-4.19.0-21-amd64-di (4.19.249-2)
- i2c support modules
- i2c-modules-4.19.0-21-arm64-di (4.19.249-2)
- i2c support modules
- i2c-modules-4.19.0-21-armmp-di (4.19.249-2)
- i2c support modules
- i2c-tools (4.1-1)
- heterogeneous set of I2C tools for Linux
- i2p (0.9.38-3.1)
- Invisible Internet Project (I2P) - anonymous network
- i2p-doc (0.9.38-3.1)
- Invisible Internet Project (I2P) - developer documentation
- i2p-router (0.9.38-3.1)
- Invisible Internet Project (I2P) - router
- i2pd (2.23.0-1)
- I2P Router written in C++
- i2util-tools (1.6-1)
- Internet2 utility tools
- i3 (4.16.1-1)
- metapackage (i3 window manager, screen locker, menu, statusbar)
- i3-wm (4.16.1-1)
- improved dynamic tiling window manager
- i3-wm-dbg (4.16.1-1)
- Debugging symbols for the i3 window manager
- i3blocks (1.4-4)
- highly flexible status line for the i3 window manager
- i3lock (2.11.1-1)
- improved screen locker
- i3lock-fancy (0.0~git20160228.0.0fcb933-2)
- i3lock custom wrapper script
- i3pystatus (3.35+git20190107.1c972b8-1)
- Large collection of status modules compatible with i3bar
- i3status (2.12-1)
- Generates a status line for dzen2, xmobar or i3bar
- i7z (0.27.2+git2013.10.12-g5023138-5)
- reporting tool for i7, i5, i3 CPUs
- i810switch (0.6.5-7.1+b1)
- Enables/disables video output to CRT/LCD on i810 video hardware
- i8kutils (1.43)
- Fan control for Dell laptops
- i965-va-driver (2.3.0+dfsg1-1)
- VAAPI driver for Intel G45 & HD Graphics family
- i965-va-driver-shaders (2.3.0-1) [non-free]
- VAAPI driver for Intel G45 & HD Graphics family
- iagno (1:3.30.0-2)
- popular Othello game for GNOME
- iamerican (3.4.00-6)
- American English dictionary for ispell (standard version)
- iamerican-huge (3.4.00-6)
- American English dictionary for ispell (huge)
- iamerican-insane (3.4.00-6)
- American English dictionary for ispell (insane version)
- iamerican-large (3.4.00-6)
- American English dictionary for ispell (large)
- iamerican-small (3.4.00-6)
- American English dictionary for ispell (small)
- iannix (0.9.20~dfsg0-2)
- graphical OSC sequencer for digital arts
- iasl
- virtueel pakket geboden door acpica-tools
- iat (0.1.3-7+b2)
- Converts many CD-ROM image formats to iso9660
- iaxmodem (1.2.0~dfsg-3)
- software modem with IAX2 connectivity
- ibacm (22.1-1)
- InfiniBand Communication Manager Assistant (ACM)
- ibam (1:0.5.2-2.1+b2)
- Advanced battery monitor for laptops
- ibniz (1.18-1+b1)
- Virtual machine for compact low-level audiovisual programs
- ibod (1.5.0-6+b1)
- ISDN MPPP bandwidth on demand daemon
- ibrazilian (3.0~beta4-22)
- Brazilian Portuguese dictionary for ispell
- ibritish (3.4.00-6)
- British English dictionary for ispell (standard version)
- ibritish-huge (3.4.00-6)
- British English dictionary for ispell (huge)
- ibritish-insane (3.4.00-6)
- British English dictionary for ispell (insane version)
- ibritish-large (3.4.00-6)
- British English dictionary for ispell (large)
- ibritish-small (3.4.00-6)
- British English dictionary for ispell (small)
- ibsim-utils (0.7-2)
- InfiniBand fabric simulator utilities
- ibulgarian (4.1-7)
- Bulgaarse woordenboek voor ispell
- ibus (1.5.19-4+deb10u1)
- Intelligent Input Bus - core
- ibus-anthy (1.5.10-2)
- anthy engine for IBus
- ibus-anthy-dev (1.5.10-2)
- anthy engine for IBus, development files
- ibus-array (0.2.1-2)
- Array 30 input engine for iBus
- ibus-braille (0.3-4)
- Braille input engine for IBus
- ibus-cangjie (2.4-2)
- Cangjie and Quick input methods for IBus
- ibus-chewing (1.6.1-1)
- Chewing engine for IBus
- ibus-clutter (0.0+git20090728.a936bacf-5.1+b2)
- ibus input method framework for clutter
- ibus-doc (1.5.19-4+deb10u1)
- Intelligent Input Bus - development documentation
- ibus-gtk (1.5.19-4+deb10u1)
- Intelligent Input Bus - GTK+2 support
- ibus-gtk3 (1.5.19-4+deb10u1)
- Intelligent Input Bus - GTK+3 support
- ibus-hangul (1.5.1-1)
- Hangul engine for IBus
- ibus-input-pad (1.4.2-2)
- Input Pad for IBus
- ibus-keyman (11.0.103-4)
- Keyman engine for IBus
- ibus-kkc (1.5.22-2)
- Japanese Kana Kanji input engine for IBus
- ibus-kmfl (11.0.101-1)
- Input method engine for multiple languages using KMFL for IBus
- ibus-libpinyin (1.11.0-1)
- Intelligent Pinyin engine based on libpinyin for IBus
- ibus-libthai (0.1.4-4)
- Thai input method engine for IBus based on LibThai
- ibus-libzhuyin (1.9.0-1)
- New Zhuyin engine based on libzhuyin for IBus
- ibus-m17n (1.4.1-1)
- m17n engine for IBus
- ibus-mozc (2.23.2815.102+dfsg-4)
- Mozc engine for IBus - Client of the Mozc input method
- ibus-pinyin (1.5.0-5)
- Pinyin engine for IBus
- ibus-rime (1.4.0-1)
- Rime Input Method Engine for IBus
- ibus-skk (1.4.3-1)
- SKK engine for IBus
- ibus-sunpinyin (2.0.3+git20181120-4)
- sunpinyin engine for ibus
- ibus-table (1.9.21-2)
- table engine for IBus
- ibus-table-array30 (1.8.2-3)
- Array30 input method based on table engine of ibus
- ibus-table-cangjie (1.8.2-3)
- ibus-table input method: CangJie (dummy package)
- ibus-table-cangjie-big (1.8.2-3)
- ibus-table input method: CangJie (big)
- ibus-table-cangjie3 (1.8.2-3)
- ibus-table input method: CangJie3
- ibus-table-cangjie5 (1.8.2-3)
- ibus-table input method: CangJie5
- ibus-table-cantonese (1.8.2-3)
- ibus-table input method: Cantonese
- ibus-table-cantonhk (1.8.2-3)
- ibus-table input method: Cantonhk
- ibus-table-cns11643 (1.3.9-4)
- ibus-table input method: Cns11643
- ibus-table-compose (1.3.9-4)
- ibus-table input method: Compose
- ibus-table-easy (1.8.2-3)
- ibus-table input method: Easy (dummy package)
- ibus-table-easy-big (1.8.2-3)
- ibus-table input method: Easy (big)
- ibus-table-emoji (1.3.9-4)
- ibus-table input method: Emoji
- ibus-table-erbi (1.8.2-3)
- ibus-table input method: ErBi
- ibus-table-erbi-qs (1.8.2-3)
- ibus-table input method: ErBi(QS)
- (1.3.9.20110826-2)
- Extra phrase for table engine of ibus
- ibus-table-ipa-x-sampa (1.3.9-4)
- ibus-table input method: IPA-X-SAMPA
- ibus-table-jyutping (1.8.2-3)
- ibus-table input method: Jyutping
- ibus-table-latex (1.3.9-4)
- ibus-table input method: LaTeX
- ibus-table-quick (1.8.2-3)
- ibus-table input method: Quick (dummy package)
- ibus-table-quick-classic (1.8.2-3)
- ibus-table input method: Quick Classic
- ibus-table-quick3 (1.8.2-3)
- ibus-table input method: Quick3
- ibus-table-quick5 (1.8.2-3)
- ibus-table input method: Quick5
- ibus-table-rustrad (1.3.9-4)
- ibus-table input method: Russian Traditional
- ibus-table-scj6 (1.8.2-3)
- ibus-table input method: Smart CangJie 6
- ibus-table-stroke5 (1.8.2-3)
- ibus-table input method: Stroke5
- ibus-table-thai (1.3.9-4)
- ibus-table input method: Thai
- ibus-table-translit (1.3.9-4)
- ibus-table input method: Translit
- ibus-table-translit-ua (1.3.9-4)
- ibus-table input method: Ukrainian Translit
- ibus-table-viqr (1.3.9-4)
- ibus-table input method: Viqr
- ibus-table-wu (1.8.2-3)
- ibus-table input method: Wu
- ibus-table-wubi (1.8.2-3)
- ibus-table input method: Wubi
- ibus-table-yawerty (1.3.9-4)
- ibus-table input method: Yawerty
- ibus-table-yong (1.8.2-3)
- ibus-table input method: YongMa
- ibus-unikey (0.6.1-1.1+b1)
- Vietnamese Input Method Engine for IBus using Unikey Engine
- ibus-wayland (1.5.19-4+deb10u1)
- Intelligent Input Bus - Wayland support
- ibus-zhuyin (0.1.0-2)
- IBus Traditional ZhuYin Input Method
- ibutils (1.5.7+0.2.gbd7e502-2+b1)
- InfiniBand network utilities
- ibverbs-providers (22.1-1)
- User space provider drivers for libibverbs
- ibverbs-utils (22.1-1)
- Examples for the libibverbs library
- ical2html (2.1-3+b1)
- create an HTML table from icalendar data
- icatalan (0.20111230b-12)
- Catalaans woordenboek voor ispell
- icc-profiles (2.1-2) [non-free]
- ICC color profiles for use with color profile aware software
- icc-profiles-free (2.0.1+dfsg-1)
- ICC color profiles for use with color profile aware software
- icdiff (1.9.4-1)
- terminal side-by-side colorized word diff
- icebreaker (1.21-12)
- Break the iceberg
- icecast2 (2.4.4-1)
- streaming media server
- icecc (1.2-1)
- distributed compiler (client and server)
- icecc-monitor (3.2.0-1)
- monitor for icecc
- icecream (1.3-4)
- lightweight stream download utility
- icecream-sundae (1.0.0-1)
- Commandline Monitor for Icecream (icecc)
- icedax (9:1.1.11-3+b2)
- Creates WAV files from audio CDs
- icedove-enigmail
- virtueel pakket geboden door enigmail
- icedove-exteditor
- virtueel pakket geboden door xul-ext-exteditor
- icedtea-netx (1.7.2-2)
- Implementation of the Java Network Launching Protocol (JNLP)
- icedtea-netx-common
- virtueel pakket geboden door icedtea-netx
- ices2 (2.0.2-2+b1)
- Ogg Vorbis streaming source for Icecast 2
- iceweasel (115.11.0esr-1~deb10u1) [security]
- Web browser based on Firefox - Transitional package
- iceweasel-l10n-ach (1:115.11.0esr-1~deb10u1) [security]
- Acoli language package for Iceweasel - Transitional package
- iceweasel-l10n-ach
- virtueel pakket geboden door firefox-esr-l10n-ach
- iceweasel-l10n-af (1:115.11.0esr-1~deb10u1) [security]
- Afrikaans language package for Iceweasel - Transitional package
- iceweasel-l10n-af
- virtueel pakket geboden door firefox-esr-l10n-af
- iceweasel-l10n-all (1:115.11.0esr-1~deb10u1) [security]
- All language packages for Iceweasel - Transitional package
- iceweasel-l10n-all
- virtueel pakket geboden door firefox-esr-l10n-all
- iceweasel-l10n-an (1:115.11.0esr-1~deb10u1) [security]
- Aragonese language package for Iceweasel - Transitional package
- iceweasel-l10n-an
- virtueel pakket geboden door firefox-esr-l10n-an
- iceweasel-l10n-ar (1:115.11.0esr-1~deb10u1) [security]
- Arabic language package for Iceweasel - Transitional package
- iceweasel-l10n-ar
- virtueel pakket geboden door firefox-esr-l10n-ar
- iceweasel-l10n-ast (1:115.11.0esr-1~deb10u1) [security]
- Asturian language package for Iceweasel - Transitional package
- iceweasel-l10n-ast
- virtueel pakket geboden door firefox-esr-l10n-ast
- iceweasel-l10n-az (1:115.11.0esr-1~deb10u1) [security]
- Azerbaijani language package for Iceweasel - Transitional package
- iceweasel-l10n-az
- virtueel pakket geboden door firefox-esr-l10n-az
- iceweasel-l10n-be (1:115.11.0esr-1~deb10u1) [security]
- Belarusian language package for Iceweasel - Transitional package
- iceweasel-l10n-be
- virtueel pakket geboden door firefox-esr-l10n-be
- iceweasel-l10n-bg (1:115.11.0esr-1~deb10u1) [security]
- Bulgarian language package for Iceweasel - Transitional package
- iceweasel-l10n-bg
- virtueel pakket geboden door firefox-esr-l10n-bg
- iceweasel-l10n-bn (1:115.11.0esr-1~deb10u1) [security]
- Bengali language package for Iceweasel - Transitional package
- iceweasel-l10n-br (1:115.11.0esr-1~deb10u1) [security]
- Breton language package for Iceweasel - Transitional package
- iceweasel-l10n-br
- virtueel pakket geboden door firefox-esr-l10n-br
- iceweasel-l10n-bs (1:115.11.0esr-1~deb10u1) [security]
- Bosnian language package for Iceweasel - Transitional package
- iceweasel-l10n-bs
- virtueel pakket geboden door firefox-esr-l10n-bs
- iceweasel-l10n-ca (1:115.11.0esr-1~deb10u1) [security]
- Catalan language package for Iceweasel - Transitional package
- iceweasel-l10n-ca
- virtueel pakket geboden door firefox-esr-l10n-ca
- iceweasel-l10n-ca-valencia (1:115.11.0esr-1~deb10u1) [security]
- Catalan (Valencia) language package for Iceweasel - Transitional package
- iceweasel-l10n-cak (1:115.11.0esr-1~deb10u1) [security]
- Kaqchikel language package for Iceweasel - Transitional package
- iceweasel-l10n-cs (1:115.11.0esr-1~deb10u1) [security]
- Czech language package for Iceweasel - Transitional package
- iceweasel-l10n-cs
- virtueel pakket geboden door firefox-esr-l10n-cs
- iceweasel-l10n-cy (1:115.11.0esr-1~deb10u1) [security]
- Welsh language package for Iceweasel - Transitional package
- iceweasel-l10n-cy
- virtueel pakket geboden door firefox-esr-l10n-cy
- iceweasel-l10n-da (1:115.11.0esr-1~deb10u1) [security]
- Danish language package for Iceweasel - Transitional package
- iceweasel-l10n-da
- virtueel pakket geboden door firefox-esr-l10n-da
- iceweasel-l10n-de (1:115.11.0esr-1~deb10u1) [security]
- German language package for Iceweasel - Transitional package
- iceweasel-l10n-de
- virtueel pakket geboden door firefox-esr-l10n-de
- iceweasel-l10n-dsb (1:115.11.0esr-1~deb10u1) [security]
- Lower Sorbian language package for Iceweasel - Transitional package
- iceweasel-l10n-dsb
- virtueel pakket geboden door firefox-esr-l10n-dsb
- iceweasel-l10n-el (1:115.11.0esr-1~deb10u1) [security]
- Modern Greek language package for Iceweasel - Transitional package
- iceweasel-l10n-el
- virtueel pakket geboden door firefox-esr-l10n-el
- iceweasel-l10n-en-ca (1:115.11.0esr-1~deb10u1) [security]
- English (Canada) language package for Iceweasel - Transitional package
- iceweasel-l10n-en-gb (1:115.11.0esr-1~deb10u1) [security]
- English (United Kingdom) language package for Iceweasel - Transitional package
- iceweasel-l10n-en-gb
- virtueel pakket geboden door firefox-esr-l10n-en-gb
- iceweasel-l10n-eo (1:115.11.0esr-1~deb10u1) [security]
- Esperanto language package for Iceweasel - Transitional package
- iceweasel-l10n-eo
- virtueel pakket geboden door firefox-esr-l10n-eo
- iceweasel-l10n-es-ar (1:115.11.0esr-1~deb10u1) [security]
- Spanish (Argentina) language package for Iceweasel - Transitional package
- iceweasel-l10n-es-ar
- virtueel pakket geboden door firefox-esr-l10n-es-ar
- iceweasel-l10n-es-cl (1:115.11.0esr-1~deb10u1) [security]
- Spanish (Chile) language package for Iceweasel - Transitional package
- iceweasel-l10n-es-cl
- virtueel pakket geboden door firefox-esr-l10n-es-cl
- iceweasel-l10n-es-es (1:115.11.0esr-1~deb10u1) [security]
- Spanish (Spain) language package for Iceweasel - Transitional package
- iceweasel-l10n-es-es
- virtueel pakket geboden door firefox-esr-l10n-es-es
- iceweasel-l10n-es-mx (1:115.11.0esr-1~deb10u1) [security]
- Spanish (Mexico) language package for Iceweasel - Transitional package
- iceweasel-l10n-es-mx
- virtueel pakket geboden door firefox-esr-l10n-es-mx
- iceweasel-l10n-et (1:115.11.0esr-1~deb10u1) [security]
- Estonian language package for Iceweasel - Transitional package
- iceweasel-l10n-et
- virtueel pakket geboden door firefox-esr-l10n-et
- iceweasel-l10n-eu (1:115.11.0esr-1~deb10u1) [security]
- Basque language package for Iceweasel - Transitional package
- iceweasel-l10n-eu
- virtueel pakket geboden door firefox-esr-l10n-eu
- iceweasel-l10n-fa (1:115.11.0esr-1~deb10u1) [security]
- Persian language package for Iceweasel - Transitional package
- iceweasel-l10n-fa
- virtueel pakket geboden door firefox-esr-l10n-fa
- iceweasel-l10n-ff (1:115.11.0esr-1~deb10u1) [security]
- Fulah language package for Iceweasel - Transitional package
- iceweasel-l10n-ff
- virtueel pakket geboden door firefox-esr-l10n-ff
- iceweasel-l10n-fi (1:115.11.0esr-1~deb10u1) [security]
- Finnish language package for Iceweasel - Transitional package
- iceweasel-l10n-fi
- virtueel pakket geboden door firefox-esr-l10n-fi
- iceweasel-l10n-fr (1:115.11.0esr-1~deb10u1) [security]
- French language package for Iceweasel - Transitional package
- iceweasel-l10n-fr
- virtueel pakket geboden door firefox-esr-l10n-fr
- iceweasel-l10n-fur (1:115.11.0esr-1~deb10u1) [security]
- Friulian language package for Iceweasel - Transitional package
- iceweasel-l10n-fy-nl (1:115.11.0esr-1~deb10u1) [security]
- Western Frisian (Netherlands) language package for Iceweasel - Transitional package
- iceweasel-l10n-fy-nl
- virtueel pakket geboden door firefox-esr-l10n-fy-nl
- iceweasel-l10n-ga-ie (1:115.11.0esr-1~deb10u1) [security]
- Irish (Ireland) language package for Iceweasel - Transitional package
- iceweasel-l10n-ga-ie
- virtueel pakket geboden door firefox-esr-l10n-ga-ie
- iceweasel-l10n-gd (1:115.11.0esr-1~deb10u1) [security]
- Scottish Gaelic language package for Iceweasel - Transitional package
- iceweasel-l10n-gd
- virtueel pakket geboden door firefox-esr-l10n-gd
- iceweasel-l10n-gl (1:115.11.0esr-1~deb10u1) [security]
- Galician language package for Iceweasel - Transitional package
- iceweasel-l10n-gl
- virtueel pakket geboden door firefox-esr-l10n-gl
- iceweasel-l10n-gn (1:115.11.0esr-1~deb10u1) [security]
- Guarani language package for Iceweasel - Transitional package
- iceweasel-l10n-gn
- virtueel pakket geboden door firefox-esr-l10n-gn
- iceweasel-l10n-gu-in (1:115.11.0esr-1~deb10u1) [security]
- Gujarati (India) language package for Iceweasel - Transitional package
- iceweasel-l10n-gu-in
- virtueel pakket geboden door firefox-esr-l10n-gu-in
- iceweasel-l10n-he (1:115.11.0esr-1~deb10u1) [security]
- Hebrew language package for Iceweasel - Transitional package
- iceweasel-l10n-he
- virtueel pakket geboden door firefox-esr-l10n-he
- iceweasel-l10n-hi-in (1:115.11.0esr-1~deb10u1) [security]
- Hindi (India) language package for Iceweasel - Transitional package
- iceweasel-l10n-hi-in
- virtueel pakket geboden door firefox-esr-l10n-hi-in
- iceweasel-l10n-hr (1:115.11.0esr-1~deb10u1) [security]
- Croatian language package for Iceweasel - Transitional package
- iceweasel-l10n-hr
- virtueel pakket geboden door firefox-esr-l10n-hr
- iceweasel-l10n-hsb (1:115.11.0esr-1~deb10u1) [security]
- Upper Sorbian language package for Iceweasel - Transitional package
- iceweasel-l10n-hsb
- virtueel pakket geboden door firefox-esr-l10n-hsb
- iceweasel-l10n-hu (1:115.11.0esr-1~deb10u1) [security]
- Hungarian language package for Iceweasel - Transitional package
- iceweasel-l10n-hu
- virtueel pakket geboden door firefox-esr-l10n-hu
- iceweasel-l10n-hy-am (1:115.11.0esr-1~deb10u1) [security]
- Armenian (Armenia) language package for Iceweasel - Transitional package
- iceweasel-l10n-hy-am
- virtueel pakket geboden door firefox-esr-l10n-hy-am
- iceweasel-l10n-ia (1:115.11.0esr-1~deb10u1) [security]
- Interlingua language package for Iceweasel - Transitional package
- iceweasel-l10n-id (1:115.11.0esr-1~deb10u1) [security]
- Indonesian language package for Iceweasel - Transitional package
- iceweasel-l10n-id
- virtueel pakket geboden door firefox-esr-l10n-id
- iceweasel-l10n-is (1:115.11.0esr-1~deb10u1) [security]
- Icelandic language package for Iceweasel - Transitional package
- iceweasel-l10n-is
- virtueel pakket geboden door firefox-esr-l10n-is
- iceweasel-l10n-it (1:115.11.0esr-1~deb10u1) [security]
- Italian language package for Iceweasel - Transitional package
- iceweasel-l10n-it
- virtueel pakket geboden door firefox-esr-l10n-it
- iceweasel-l10n-ja (1:115.11.0esr-1~deb10u1) [security]
- Japanese language package for Iceweasel - Transitional package
- iceweasel-l10n-ja
- virtueel pakket geboden door firefox-esr-l10n-ja
- iceweasel-l10n-ka (1:115.11.0esr-1~deb10u1) [security]
- Georgian language package for Iceweasel - Transitional package
- iceweasel-l10n-kab (1:115.11.0esr-1~deb10u1) [security]
- Kabyle language package for Iceweasel - Transitional package
- iceweasel-l10n-kk (1:115.11.0esr-1~deb10u1) [security]
- Kazakh language package for Iceweasel - Transitional package
- iceweasel-l10n-kk
- virtueel pakket geboden door firefox-esr-l10n-kk
- iceweasel-l10n-km (1:115.11.0esr-1~deb10u1) [security]
- Central Khmer language package for Iceweasel - Transitional package
- iceweasel-l10n-km
- virtueel pakket geboden door firefox-esr-l10n-km
- iceweasel-l10n-kn (1:115.11.0esr-1~deb10u1) [security]
- Kannada language package for Iceweasel - Transitional package
- iceweasel-l10n-kn
- virtueel pakket geboden door firefox-esr-l10n-kn
- iceweasel-l10n-ko (1:115.11.0esr-1~deb10u1) [security]
- Korean language package for Iceweasel - Transitional package
- iceweasel-l10n-ko
- virtueel pakket geboden door firefox-esr-l10n-ko
- iceweasel-l10n-lij (1:115.11.0esr-1~deb10u1) [security]
- Ligurian language package for Iceweasel - Transitional package
- iceweasel-l10n-lij
- virtueel pakket geboden door firefox-esr-l10n-lij
- iceweasel-l10n-lt (1:115.11.0esr-1~deb10u1) [security]
- Lithuanian language package for Iceweasel - Transitional package
- iceweasel-l10n-lt
- virtueel pakket geboden door firefox-esr-l10n-lt
- iceweasel-l10n-lv (1:115.11.0esr-1~deb10u1) [security]
- Latvian language package for Iceweasel - Transitional package
- iceweasel-l10n-lv
- virtueel pakket geboden door firefox-esr-l10n-lv
- iceweasel-l10n-mk (1:115.11.0esr-1~deb10u1) [security]
- Macedonian language package for Iceweasel - Transitional package
- iceweasel-l10n-mk
- virtueel pakket geboden door firefox-esr-l10n-mk
- iceweasel-l10n-mr (1:115.11.0esr-1~deb10u1) [security]
- Marathi language package for Iceweasel - Transitional package
- iceweasel-l10n-mr
- virtueel pakket geboden door firefox-esr-l10n-mr
- iceweasel-l10n-ms (1:115.11.0esr-1~deb10u1) [security]
- Malay language package for Iceweasel - Transitional package
- iceweasel-l10n-ms
- virtueel pakket geboden door firefox-esr-l10n-ms
- iceweasel-l10n-my (1:115.11.0esr-1~deb10u1) [security]
- Burmese language package for Iceweasel - Transitional package
- iceweasel-l10n-nb-no (1:115.11.0esr-1~deb10u1) [security]
- Norwegian Bokmål (Norway) language package for Iceweasel - Transitional package
- iceweasel-l10n-nb-no
- virtueel pakket geboden door firefox-esr-l10n-nb-no
- iceweasel-l10n-ne-np (1:115.11.0esr-1~deb10u1) [security]
- Nepali (Nepal) language package for Iceweasel - Transitional package
- iceweasel-l10n-nl (1:115.11.0esr-1~deb10u1) [security]
- Dutch language package for Iceweasel - Transitional package
- iceweasel-l10n-nl
- virtueel pakket geboden door firefox-esr-l10n-nl
- iceweasel-l10n-nn-no (1:115.11.0esr-1~deb10u1) [security]
- Norwegian Nynorsk (Norway) language package for Iceweasel - Transitional package
- iceweasel-l10n-nn-no
- virtueel pakket geboden door firefox-esr-l10n-nn-no
- iceweasel-l10n-oc (1:115.11.0esr-1~deb10u1) [security]
- Occitan language package for Iceweasel - Transitional package
- iceweasel-l10n-pa-in (1:115.11.0esr-1~deb10u1) [security]
- Panjabi (India) language package for Iceweasel - Transitional package
- iceweasel-l10n-pa-in
- virtueel pakket geboden door firefox-esr-l10n-pa-in
- iceweasel-l10n-pl (1:115.11.0esr-1~deb10u1) [security]
- Polish language package for Iceweasel - Transitional package
- iceweasel-l10n-pl
- virtueel pakket geboden door firefox-esr-l10n-pl
- iceweasel-l10n-pt-br (1:115.11.0esr-1~deb10u1) [security]
- Portuguese (Brazil) language package for Iceweasel - Transitional package
- iceweasel-l10n-pt-br
- virtueel pakket geboden door firefox-esr-l10n-pt-br
- iceweasel-l10n-pt-pt (1:115.11.0esr-1~deb10u1) [security]
- Portuguese (Portugal) language package for Iceweasel - Transitional package
- iceweasel-l10n-pt-pt
- virtueel pakket geboden door firefox-esr-l10n-pt-pt
- iceweasel-l10n-rm (1:115.11.0esr-1~deb10u1) [security]
- Romansh language package for Iceweasel - Transitional package
- iceweasel-l10n-rm
- virtueel pakket geboden door firefox-esr-l10n-rm
- iceweasel-l10n-ro (1:115.11.0esr-1~deb10u1) [security]
- Romanian language package for Iceweasel - Transitional package
- iceweasel-l10n-ro
- virtueel pakket geboden door firefox-esr-l10n-ro
- iceweasel-l10n-ru (1:115.11.0esr-1~deb10u1) [security]
- Russian language package for Iceweasel - Transitional package
- iceweasel-l10n-ru
- virtueel pakket geboden door firefox-esr-l10n-ru
- iceweasel-l10n-sc (1:115.11.0esr-1~deb10u1) [security]
- Sardinian language package for Iceweasel - Transitional package
- iceweasel-l10n-sco (1:115.11.0esr-1~deb10u1) [security]
- Scots language package for Iceweasel - Transitional package
- iceweasel-l10n-si (1:115.11.0esr-1~deb10u1) [security]
- Sinhala language package for Iceweasel - Transitional package
- iceweasel-l10n-si
- virtueel pakket geboden door firefox-esr-l10n-si
- iceweasel-l10n-sk (1:115.11.0esr-1~deb10u1) [security]
- Slovak language package for Iceweasel - Transitional package
- iceweasel-l10n-sk
- virtueel pakket geboden door firefox-esr-l10n-sk
- iceweasel-l10n-sl (1:115.11.0esr-1~deb10u1) [security]
- Slovenian language package for Iceweasel - Transitional package
- iceweasel-l10n-sl
- virtueel pakket geboden door firefox-esr-l10n-sl
- iceweasel-l10n-son (1:115.11.0esr-1~deb10u1) [security]
- Songhai languages language package for Iceweasel - Transitional package
- iceweasel-l10n-son
- virtueel pakket geboden door firefox-esr-l10n-son
- iceweasel-l10n-sq (1:115.11.0esr-1~deb10u1) [security]
- Albanian language package for Iceweasel - Transitional package
- iceweasel-l10n-sq
- virtueel pakket geboden door firefox-esr-l10n-sq
- iceweasel-l10n-sr (1:115.11.0esr-1~deb10u1) [security]
- Serbian language package for Iceweasel - Transitional package
- iceweasel-l10n-sr
- virtueel pakket geboden door firefox-esr-l10n-sr
- iceweasel-l10n-sv-se (1:115.11.0esr-1~deb10u1) [security]
- Swedish (Sweden) language package for Iceweasel - Transitional package
- iceweasel-l10n-sv-se
- virtueel pakket geboden door firefox-esr-l10n-sv-se
- iceweasel-l10n-szl (1:115.11.0esr-1~deb10u1) [security]
- Silesian language package for Iceweasel - Transitional package
- iceweasel-l10n-ta (1:115.11.0esr-1~deb10u1) [security]
- Tamil language package for Iceweasel - Transitional package
- iceweasel-l10n-ta
- virtueel pakket geboden door firefox-esr-l10n-ta
- iceweasel-l10n-te (1:115.11.0esr-1~deb10u1) [security]
- Telugu language package for Iceweasel - Transitional package
- iceweasel-l10n-te
- virtueel pakket geboden door firefox-esr-l10n-te
- iceweasel-l10n-tg (1:115.11.0esr-1~deb10u1) [security]
- Tajik language package for Iceweasel - Transitional package
- iceweasel-l10n-th (1:115.11.0esr-1~deb10u1) [security]
- Thai language package for Iceweasel - Transitional package
- iceweasel-l10n-th
- virtueel pakket geboden door firefox-esr-l10n-th
- iceweasel-l10n-tl (1:115.11.0esr-1~deb10u1) [security]
- Tagalog language package for Iceweasel - Transitional package
- iceweasel-l10n-tr (1:115.11.0esr-1~deb10u1) [security]
- Turkish language package for Iceweasel - Transitional package
- iceweasel-l10n-tr
- virtueel pakket geboden door firefox-esr-l10n-tr
- iceweasel-l10n-trs (1:115.11.0esr-1~deb10u1) [security]
- Chicahuaxtla Triqui language package for Iceweasel - Transitional package
- iceweasel-l10n-uk (1:115.11.0esr-1~deb10u1) [security]
- Ukrainian language package for Iceweasel - Transitional package
- iceweasel-l10n-uk
- virtueel pakket geboden door firefox-esr-l10n-uk
- iceweasel-l10n-ur (1:115.11.0esr-1~deb10u1) [security]
- Urdu language package for Iceweasel - Transitional package
- iceweasel-l10n-uz (1:115.11.0esr-1~deb10u1) [security]
- Uzbek language package for Iceweasel - Transitional package
- iceweasel-l10n-uz
- virtueel pakket geboden door firefox-esr-l10n-uz
- iceweasel-l10n-vi (1:115.11.0esr-1~deb10u1) [security]
- Vietnamese language package for Iceweasel - Transitional package
- iceweasel-l10n-vi
- virtueel pakket geboden door firefox-esr-l10n-vi
- iceweasel-l10n-xh (1:115.11.0esr-1~deb10u1) [security]
- Xhosa language package for Iceweasel - Transitional package
- iceweasel-l10n-xh
- virtueel pakket geboden door firefox-esr-l10n-xh
- iceweasel-l10n-zh-cn (1:115.11.0esr-1~deb10u1) [security]
- Chinese (China) language package for Iceweasel - Transitional package
- iceweasel-l10n-zh-cn
- virtueel pakket geboden door firefox-esr-l10n-zh-cn
- iceweasel-l10n-zh-tw (1:115.11.0esr-1~deb10u1) [security]
- Chinese (Taiwan) language package for Iceweasel - Transitional package
- iceweasel-l10n-zh-tw
- virtueel pakket geboden door firefox-esr-l10n-zh-tw
- icewm (1.4.3.0~pre-20181030-2)
- wonderful Win95-OS/2-Motif-like window manager
- icewm-common (1.4.3.0~pre-20181030-2)
- wonderful Win95-OS/2-Motif-like window manager
- icewm-experimental (1.4.3.0~pre-20181030-2)
- wonderful Win95-OS/2-Motif-like window manager
- icewm-lite (1.4.3.0~pre-20181030-2)
- transitional package for icewm
- icheck (0.9.7-6.3+b4)
- C interface ABI/API checker
- icinga (1.14.2+ds-3+b1)
- host and network monitoring system - metapackage
- icinga-cgi (1.14.2+ds-3+b1)
- host and network monitoring system - CGI configuration
- icinga-cgi-bin (1.14.2+ds-3+b1)
- host and network monitoring system - CGI scripts
- icinga-common (1.14.2+ds-3)
- host and network monitoring system - support files
- icinga-core (1.14.2+ds-3+b1)
- host and network monitoring system - core files
- icinga-doc (1.14.2+ds-3)
- host and network monitoring system - documentation
- icinga-idoutils (1.14.2+ds-3+b1)
- host and network monitoring system - icinga-dataobjects support
- icinga2 (2.10.3-2+deb10u1)
- host and network monitoring system
- icinga2-bin (2.10.3-2+deb10u1)
- host and network monitoring system - daemon
- icinga2-classicui (2.10.3-2+deb10u1)
- host and network monitoring system - classic UI
- icinga2-common (2.10.3-2+deb10u1)
- host and network monitoring system - common files
- icinga2-doc (2.10.3-2+deb10u1)
- host and network monitoring system - documentation
- icinga2-ido-mysql (2.10.3-2+deb10u1)
- host and network monitoring system - MySQL support
- icinga2-ido-pgsql (2.10.3-2+deb10u1)
- host and network monitoring system - PostgreSQL support
- icingacli (2.6.2-3+deb10u1)
- simple CLI tool for Icingaweb2 and its modules
- icingaweb2 (2.6.2-3+deb10u1)
- simple and responsive web interface for Icinga
- icingaweb2-common (2.6.2-3+deb10u1)
- simple and responsive web interface for Icinga - common files
- icingaweb2-module-doc (2.6.2-3+deb10u1)
- simple and responsive web interface for Icinga - documentation module
- icingaweb2-module-monitoring (2.6.2-3+deb10u1)
- simple and responsive web interface for Icinga - monitoring module
- icli (0.48-1)
- command line interface for the icinga monitoring system
- icmake (9.02.08-2)
- Intelligent C-like MAKEr, or the ICce MAKE utility
- icmake-doc (9.02.08-2)
- Documentation files for icmake
- icmpinfo (1.11-12)
- interpret ICMP messages
- icmptx (0.2-1+b2)
- Tunnel IP over ICMP
- icmpush (2.2-6.1+b1)
- ICMP packet builder
- icnsutils (0.8.1-3.1)
- utilities for manipulating Mac OS icns files
- icom (20120228-3)
- Software control for ICOM radios with CI-V interface
- icon-ipl (9.4.3-7)
- Libraries for Icon, a high-level programming language
- icon-naming-utils (0.8.90-4)
- script for maintaining backwards compatibility of Tango Project
- icon-slicer (0.3-8)
- utility for generating icon themes and libXcursor cursor themes
- icont (9.4.3-7)
- Interpreter for Icon, a high-level programming language
- iconx (9.4.3-7)
- Executor for Icon, a high-level programming language
- icoutils (0.32.3-2.1)
- Create and extract MS Windows icons and cursors
- icu-devtools (63.1-6+deb10u3)
- Development utilities for International Components for Unicode
- icu-doc (63.1-6+deb10u3)
- API documentation for ICU classes and functions
- iczech (20040229-5.2)
- The Czech dictionary for ispell
- id3 (1.1.0-3)
- Editor for ID3 tags
- id3ren (1.1b0-7+b1)
- id3 tagger and renamer
- id3tool (1.2a-11)
- Command line editor for id3 tags
- id3v2 (0.1.12+dfsg-1)
- command line id3v2 tag editor
- idanish (1.6.36-11)
- Het Uitgebreide Deense woordenboek (DSDO) - ispell
- idba (1.1.3-3)
- iterative De Bruijn Graph short read assemblers
- ident-server
- virtueel pakket geboden door biboumi, oidentd, nullidentd, ident2
- ident2 (1.07-1.1+b2)
- An advanced ident daemon
- identicurse (0.9+dfsg0-1)
- simple Identi.ca client with a curses-based UI
- idesk (0.7.5-6)
- program to show icons on the desktop
- ideviceinstaller (1.0.1-0.3+b2)
- Utility to manage installed applications on an iDevice
- ideviceinstaller-dbg (1.0.1-0.3+b2)
- Utility to manage installed applications on an iDevice - debug
- idjc (0.8.17-1+b1)
- grafische shoutcast/icecast client
- idl-font-lock-el (1.5-9)
- OMG IDL font-locking for Emacs
- idle (3.7.3-1)
- IDE for Python using Tkinter (default version)
- idle-python2.7 (2.7.16-2+deb10u4) [security]
- IDE for Python (v2.7) using Tkinter
- idle-python3.7 (3.7.3-2+deb10u7) [security]
- IDE for Python (v3.7) using Tkinter
- idle3 (3.7.3-1)
- IDE for Python using Tkinter (transitional package)
- idle3-tools (0.9.1-2)
- change the idle3 timer of recent Western Digital Hard Disk Drives
- idlestat (0.8-2)
- measure CPU time in idle and operating states
- idn (1.33-2.2)
- Command line and Emacs interface to GNU Libidn
- idn2 (2.0.5-1+deb10u1)
- Internationalized domain names (IDNA2008/TR46) command line tool
- idutch (1:2.10-6)
- Dutch dictionary for Ispell
- idzebra-2.0 (2.0.59-1+b3)
- IDZebra metapackage (the works)
- idzebra-2.0-common (2.0.59-1)
- IDZebra common files
- idzebra-2.0-doc (2.0.59-1)
- IDZebra documentation
- idzebra-2.0-examples (2.0.59-1)
- IDZebra example configurations
- idzebra-2.0-utils (2.0.59-1+b3)
- IDZebra utility programs
- iec16022 (0.2.4-1.2)
- Generates 2d ISO/IEC 16022 barcodes (data matrix/semacode)
- ieee-data (20180805.1)
- OUI and IAB listings
- iem-plugin-suite-standalone (1.9.0-1)
- IEM's spatialization suite - standalone
- iem-plugin-suite-vst (1.9.0-1)
- IEM's spatialization suite - VST plugins
- ienglish-common (3.4.00-6)
- Common files for British and American ispell dictionaries
- iep (4.4.3-1.2)
- transitional dummy package for IEP
- iesperanto (2.1.2000.02.25-57)
- Esperanto dictionary for ispell
- iestonian (1:20030606-30)
- Estonian dictionary for Ispell
- ifaroese (0.4.2-13)
- Faroese dictionary for ispell
- ifcico (2.14tx8.10-24)
- Fidonet Technology transport package
- ifeffit (2:1.2.11d-10.2+b3) [contrib]
- Interactive XAFS analysis program
- ifeffit-doc (2:1.2.11d-10.2) [contrib]
- IFEFFIT examples and documentation
- ifenslave (2.9)
- configure network interfaces for parallel routing (bonding)
- ifenslave-2.6 (2.9)
- transitional package, use "ifenslave"
- ifetch-tools (0.15.26d-1)
- Collect, monitor, and view images from ip cameras
- ifgate (2.14tx8.10-24)
- Internet to Fidonet gateway
- ifhp (3.5.20-16)
- Printer filter for HP LaserJet printers
- ifile (1.3.9-7)
- spam filter capable of learning
- ifmail (2.14tx8.10-24)
- Internet to Fidonet gateway
- ifmetric (0.3-5)
- Set routing metrics for a network interface
- ifp-line
- virtueel pakket geboden door ifp-line-libifp
- ifp-line-libifp (1.0.0.2-5+b2)
- command line tool to access iRiver iFP audio players
- ifplugd (0.28-19.4)
- configuration daemon for ethernet devices
- ifrename (30~pre9-13)
- Rename network interfaces based on various static criteria
- ifrench (1.4-27)
- French dictionary for ispell (Hydro-Quebec version)
- ifrench-gut (1:1.0-32)
- French dictionary for ispell (GUTenberg version)
- ifrit (4.1.2-6+b1)
- powerful tool for visualizing 3-dimensional data sets
- ifscheme (1.7-5)
- scheme control for network interfaces
- ifstat (1.1-8.1+b1)
- InterFace STATistics Monitoring
- iftop (1.0~pre4-6)
- displays bandwidth usage information on an network interface
- ifupdown (0.8.35)
- hulpprogramma's om netwerkapparatuur te configureren
- ifupdown
- virtueel pakket geboden door ifupdown2, netscript-2.4
- (0.28)
- Network scripts for ifupdown
- ifupdown-multi (0.1.1)
- multiple default gateway support for ifupdown
- ifupdown2 (1.2.5-1)
- Network Interface Management tool similar to ifupdown
- ifuse (1.1.4~git20181007.3b00243-1)
- FUSE module for iPhone and iPod Touch devices
- igaelic (0.50-13)
- Scots Gaelic dictionary for ispell
- igal
- virtueel pakket geboden door igal2
- igal2 (2.2-1)
- online image gallery generator
- igalician-minimos (0.5-46)
- Ispell dictionary for Galician (minimos)
- igmpproxy (0.2.1-1)
- IGMP multicast routing daemon
- igor (1.3.0+dfsg-1)
- infers V(D)J recombination processes from sequencing data
- igtf-policy-classic (1.95-1)
- IGTF classic profile for Certificate Authorities
- igtf-policy-experimental (1.95-1)
- IGTF experimental Certificate Authorities
- igtf-policy-iota (1.95-1)
- IGTF IOTA profile for Certificate Authorities
- igtf-policy-mics (1.95-1)
- IGTF MICS profile for Certificate Authorities
- igtf-policy-slcs (1.95-1)
- IGTF SLCS profile for Certificate Authorities
- igtf-policy-unaccredited (1.95-1)
- IGTF unaccredited Certificate Authorities
- ihungarian (1.6.1-2)
- Hungarian dictionary for ispell
- ii (1.8-1)
- minimalist FIFO and filesystem-based IRC client
- ii-esu (1.0a.dfsg1-8)
- shooter game
- iio-sensor-proxy (2.4-2)
- IIO sensors to D-Bus proxy
- iiod (0.16-1)
- Daemon to share IIO devices on the network
- iipimage-doc (1.0-3)
- Web-based streamed viewing and zooming of ultra high-resolution images - doc
- iipimage-server (1.0-3)
- Web-based streamed viewing and zooming of ultra high-resolution images
- iirish (2.0-27)
- Irish (Gaeilge) dictionary for ispell
- iisemulator (0.95-3.2)
- Emulation for the IIS web server
- iitalian (1:2.3-3)
- Italiaans woordenboek voor ispell
- iiwusynth
- virtueel pakket geboden door fluidsynth
- ike-scan (1.9.4-2)
- discover and fingerprint IKE hosts (IPsec VPN Servers)
- ike-server
- virtueel pakket geboden door libreswan, strongswan-charon, isakmpd
- ikiwiki (3.20190228-1)
- wiki compiler
- ikiwiki-hosting-common (0.20180719-1)
- ikiwiki hosting: common files
- ikiwiki-hosting-dns (0.20180719-1)
- ikiwiki hosting: dns server
- ikiwiki-hosting-web (0.20180719-1)
- ikiwiki hosting: web server
- ikiwiki-plugin-table
- virtueel pakket geboden door ikiwiki
- ilisp (5.12.0+cvs.2004.12.26-27.2)
- Emacs interface to LISP implementations
- ilisp-doc (5.12.0+cvs.2004.12.26-27.2)
- Documentation for ILISP package
- ilithuanian (1.2.1-8)
- ispell woordenboek voor Lithuaans (LT)
- im (1:153-3)
- mail/news handling commands and Perl modules
- im-config (0.43-1)
- Input method configuration framework
- im-switch
- virtueel pakket geboden door im-config
- image-transport-tools (1.11.13-3+b1)
- Robot OS image_transport package tools
- imageindex (1.1-4)
- generate static HTML galleries from images
- imagej (1.52j-1)
- Image processing program with a focus on microscopy images
- imagemagick (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation programs -- binaries
- imagemagick
- virtueel pakket geboden door imagemagick-6.q16, graphicsmagick-imagemagick-compat
- imagemagick-6-common (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation programs -- infrastructure
- imagemagick-6-doc (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- document files of ImageMagick
- imagemagick-6.defaultquantum
- virtueel pakket geboden door imagemagick-6.q16
- imagemagick-6.q16 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation programs -- quantum depth Q16
- imagemagick-6.q16hdri (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation programs -- quantum depth Q16HDRI
- imagemagick-common (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation programs -- infrastructure dummy package
- imagemagick-common
- virtueel pakket geboden door imagemagick-6-common
- imagemagick-doc (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- document files of ImageMagick -- dummy package
- imagemagick-doc
- virtueel pakket geboden door imagemagick-6-doc
- imagetooth (2.0.1-2)
- library generating images of teeth for odontograms
- imagevis3d (3.1.0-7+b2)
- desktop volume rendering application for large data
- imagination (3.4-1)
- DVD slide show maker
- imagination-common (3.4-1)
- DVD slide show maker - common files
- imanx (0.50-16)
- Manx Gaelic dictionary for ispell
- imap-client
- virtueel pakket geboden door gnumail.app, mew-beta, wl, balsa, mew, mutt, wl-beta, kmail, evolution, s-nail, claws-mail, neomutt, im
- imap-server
- virtueel pakket geboden door courier-imap, cyrus-imapd, dovecot-imapd, mailutils-imap4d, citadel-server
- imapcopy (1.04-2.1+b1)
- IMAP backup, copy and migration tool
- imapfilter (1:2.6.12-1)
- filter mail in your IMAP account
- imapproxy (1.2.8~svn20171105-1+b1)
- IMAP protocol proxy
- imaprowl (1.2.1-1.1)
- IMAP new mail notification utility for iPhone using Prowl Public API
- imaptool (0.9-18)
- tool for creating client-side image maps
- imediff (2.2-1)
- interactive full screen 2/3-way merge tool
- imediff2 (1.1.2.1-3)
- interactive full screen 2-way merge tool
- imediff2
- virtueel pakket geboden door imediff
- img2pdf (0.3.3-1)
- Lossless conversion of raster images to PDF
- img2simg (1:8.1.0+r23-5)
- Transitional package
- imgp (2.6-1)
- Superfast batch image resizer and rotator
- imgsizer (2.7-3)
- Adds WIDTH and HEIGHT attributes to IMG tags in HTML files
- imgvtopgm (2.0-9+b2)
- PalmPilot/III Image Conversion utility
- imp
- virtueel pakket geboden door php-horde-imp
- impose+ (0.2-12)
- Postscript utilities for two-up printing, bbox, etc
- imposm (2.6.0+ds-6)
- importer for OpenStreetMap data
- impressive (0.12.0-2)
- PDF presentation tool with eye candies
- impressive-display (0.3.3-1)
- Impressive based PDF display
- imv (3.0.0-1)
- command line image viewer intended for use with tiling window managers
- imview (1.1.9h-1)
- Image viewing and analysis application
- imvirt (0.9.6-4)
- detects several virtualizations
- imvirt-helper (0.9.6-4)
- helper programs to detect several virtualizations
- imwheel (1.0.0pre12-12)
- program to support non-standard buttons on mice in Linux
- imx-usb-loader (0~git20181105.4aa98090-1)
- imx_loader - i.MX/Vybrid recovery utility
- inadyn (1.99.4-1+b1)
- Simple and small DynDNS client written in the C language
- incron (0.5.12-1+deb10u1)
- cron-like daemon which handles filesystem events
- indelible (1.03-4)
- powerful and flexible simulator of biological evolution
- indent (2.2.12-1)
- C language source code formatting program
- indent-doc (2.2.12-1)
- Documentation for GNU indent
- indi-bin (1.7.5+dfsg-1)
- INDI server, drivers and tools
- indicator-renderer
- virtueel pakket geboden door xfce4-indicator-plugin, xfce4-statusnotifier-plugin, mate-indicator-applet
- indigo-utils (1.2.3-1)
- Organic Chemistry Toolkit Utilities
- inet-superserver
- virtueel pakket geboden door inetutils-inetd, rlinetd, openbsd-inetd, xinetd
- inetsim (1.2.7+dfsg.1-1)
- Software suite for simulating common internet services
- inetutils-ftp (2:1.9.4-7+deb10u3) [security]
- File Transfer Protocol client
- inetutils-ftpd (2:1.9.4-7+deb10u3) [security]
- File Transfer Protocol server
- inetutils-inetd (2:1.9.4-7+deb10u3) [security]
- internet super server
- inetutils-ping (2:1.9.4-7+deb10u3) [security]
- ICMP echo tool
- inetutils-syslogd (2:1.9.4-7+deb10u3) [security]
- system logging daemon
- inetutils-talk (2:1.9.4-7+deb10u3) [security]
- talk to another user
- inetutils-talkd (2:1.9.4-7+deb10u3) [security]
- remote user communication server
- inetutils-telnet (2:1.9.4-7+deb10u3) [security]
- telnet client
- inetutils-telnetd (2:1.9.4-7+deb10u3) [security]
- telnet server
- inetutils-tools (2:1.9.4-7+deb10u3) [security]
- base networking utilities (experimental package)
- inetutils-traceroute (2:1.9.4-7+deb10u3) [security]
- trace the IPv4 route to another host
- inews
- virtueel pakket geboden door inn, inn2-inews
- infernal (1.1.2-2)
- inference of RNA secondary structural alignments
- infernal-doc (1.1.2-2)
- inference of RNA secondary structural alignments – documentation
- infiniband-diags (2.1.0-1+b1)
- InfiniBand diagnostic programs
- infinoted (0.7.1-1)
- dedicated server for infinote-based collaborative editing
- influxdb (1.6.4-1+deb10u1)
- Scalable datastore for metrics, events, and real-time analytics
- influxdb-client (1.6.4-1+deb10u1)
- command line interface for InfluxDB
- infnoise (0.2.6+dfsg-1)
- Infinite Noise TRNG driver and tools
- info (6.5.0.dfsg.1-4+b1)
- Op zichzelf staande GNU Info documentatie weergever
- info-beamer (1.0~pre3+dfsg-0.1+b5)
- interactive multimedia presentation framework
- info-browser
- virtueel pakket geboden door xemacs21-nomule, tkinfo, xemacs21-mule-canna-wnn, pinfo, emacs-gtk, info, xemacs21-mule, emacs-nox, jed-extra, jed, emacs-lucid, konqueror, xjed
- info2man (1.1-9)
- Convert GNU info files to POD or man pages
- info2www (1.2.2.9-24)
- Read info files with a WWW browser
- infon-server (0~r198-8+b3)
- Program bugs to compete for food and survival - Server
- infon-viewer (0~r198-8+b3)
- Program bugs to compete for food and survival - GUI
- inform (6.31.1+dfsg-2) [non-free]
- story file compiler for the Inform interactive fiction language (v6)
- inform-docs (6.31.1+dfsg-2) [non-free]
- documentation for the Inform interactive fiction language (v6)
- inform-mode (1.5.8-4)
- Emacs mode for editing Inform files
- inform6-compiler (6.33-2)
- Inform 6 interactive fiction language — compiler
- inform6-library (6.12.2+dfsg.1-1)
- Inform 6 interactive fiction language — standard library
- ingerman (20161207-7)
- New German orthography dictionary for ispell
- ingo
- virtueel pakket geboden door php-horde-ingo
- inhomog (0.1.9.2-1)
- kinematical backreaction and average scale factor evolution
- init (1.56+nmu1)
- metapackage ensuring an init system is installed
- init-system-helpers (1.56+nmu1)
- helper tools for all init systems
- initramfs-tools (0.133+deb10u1)
- generic modular initramfs generator (automation)
- initramfs-tools-core (0.133+deb10u1)
- generic modular initramfs generator (core tools)
- initrd-kickseed (0.63)
- Load Kickstart file from the initrd
- initrd-preseed (1.102)
- load debconf preseed file from /preseed.cfg on the initrd
- initscripts (2.93-8)
- scripts for initializing and shutting down the system
- initz (0.0.11+20030603cvs-17.2)
- Handles the switching of various initialization files of emacsen
- ink (0.5.3-1)
- tool for checking the ink level of your local printer
- ink-generator (0.4-2)
- Inkscape extension to automatically generate files from a template
- inkscape (0.92.4-3)
- vector-based drawing program
- inkscape-open-symbols (1.2.1-1)
- Open source SVG symbol sets that can be used as Inkscape symbols
- inkscape-tutorials (0.92.4-3)
- vector-based drawing program - tutorials
- inn (1:1.7.2q-46)
- News transport system `InterNetNews' by the ISC and Rich Salz
- inn2 (2.6.3-1+deb10u2)
- 'InterNetNews' news server
- inn2-dev (2.6.3-1+deb10u2)
- libinn.a library, headers and man pages
- inn2-inews (2.6.3-1+deb10u2)
- NNTP client news injector, from InterNetNews (INN)
- (1.7-2+b1)
- Tool for extracting data from an Inno Setup installer
- (0.4.0-2)
- C API to execute JavaScript code - header files
- (0.4.0-2)
- C API to execute JavaScript code - documentation
- inorwegian (2.2-4)
- Noors woordenboek voor ispell
- inosync (0.2.3+git20120321-6)
- notification-based directory synchronization daemon
- inoticoming (0.2.3-2)
- trigger actions when files hit an incoming directory
- inotify-hookable (0.09-1)
- blocking command-line interface to inotify
- inotify-tools (3.14-7)
- command-line programs providing a simple interface to inotify
- input-modules
- virtueel pakket geboden door input-modules-4.19.0-20-686-di, input-modules-4.19.0-20-686-pae-di, input-modules-4.19.0-21-arm64-di, input-modules-4.19.0-21-686-di, input-modules-4.19.0-20-armmp-di, input-modules-4.19.0-20-amd64-di, input-modules-4.19.0-21-amd64-di, input-modules-4.19.0-20-arm64-di, input-modules-4.19.0-21-armmp-di, input-modules-4.19.0-21-686-pae-di
- input-modules-4.19.0-20-686-di (4.19.235-1)
- Input devices support
- input-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Input devices support
- input-modules-4.19.0-20-amd64-di (4.19.235-1)
- Input devices support
- input-modules-4.19.0-20-arm64-di (4.19.235-1)
- Input devices support
- input-modules-4.19.0-20-armmp-di (4.19.235-1)
- Input devices support
- input-modules-4.19.0-21-686-di (4.19.249-2)
- Input devices support
- input-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Input devices support
- input-modules-4.19.0-21-amd64-di (4.19.249-2)
- Input devices support
- input-modules-4.19.0-21-arm64-di (4.19.249-2)
- Input devices support
- input-modules-4.19.0-21-armmp-di (4.19.249-2)
- Input devices support
- input-pad (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse
- input-utils (1.0-1.1+b1)
- utilities for the input layer of the Linux kernel
- inputattach (1:1.6.1-1)
- utility to connect serial-attached peripherals to the input subsystem
- inputlirc (30-1)
- Zeroconf LIRC daemon using input event devices
- inputplug (0.3~hg20150512-1+b1)
- XInput monitor
- insighttoolkit4-examples (4.12.2-dfsg1-4)
- Image processing toolkit for registration and segmentation - examples
- insighttoolkit4-python (4.12.2-dfsg1-4+b1)
- Image processing toolkit for registration and segmentation - Python bindings
- inspectrum (0.2-1)
- tool for visualising captured radio signals
- inspircd (2.0.27-1+deb10u1)
- Modular IRCd written in C++
- inspircd-dbg (2.0.27-1+deb10u1)
- Modular IRCd written in C++ - debugging symbols
- inspircd-dev (2.0.27-1+deb10u1)
- Modular IRCd written in C++ - development headers
- insserv (1.18.0-2)
- boot sequence organizer using LSB init.d script dependency information
- install-info (6.5.0.dfsg.1-4+b1)
- Manage installed documentation in info format
- install-mimic (0.4.0-4)
- overwrite files and preserve their ownership
- installation-birthday (12)
- Receive a message on system installation anniversary
- installation-guide-amd64 (20190622)
- Debian installation guide for amd64
- installation-guide-arm64 (20190622)
- Debian installation guide for arm64
- installation-guide-armel (20190622)
- Debian installation guide for armel
- installation-guide-armhf (20190622)
- Debian installation guide for armhf
- installation-guide-i386 (20190622)
- Debian installation guide for i386
- installation-guide-mips (20190622)
- Debian installation guide for mips
- installation-guide-mips64el (20190622)
- Debian installation guide for mips64el
- installation-guide-mipsel (20190622)
- Debian installation guide for mipsel
- installation-guide-ppc64el (20190622)
- Debian installation guide for powerpc
- installation-guide-s390x (20190622)
- Debian installation guide for s390x
- installation-locale (1.8)
- UTF-8 C locale
- installation-report (2.71)
- system installation report
- installed-base
- virtueel pakket geboden door live-installer, bootstrap-base
- instead (3.2.1-1)
- Simple text adventures/visual novels engine
- instead-data (3.2.1-1)
- Data files for INSTEAD
- integrit (4.1-1.1+b11)
- A file integrity verification program
- intel-cmt-cat (2.1.0-1)
- Intel cache monitoring and allocation technology config tool
- intel-gpu-tools (1.22-1+b1)
- tools for debugging the Intel graphics driver
- intel-gpu-tools-dbg (1.22-1+b1)
- tools for debugging the Intel graphics driver (debug)
- intel-media-va-driver (18.4.1+dfsg1-1)
- VAAPI driver for the Intel GEN8+ Graphics family
- intel-media-va-driver-non-free (18.4.1+ds1-1) [non-free]
- VAAPI driver for the Intel GEN8+ Graphics family
- intel-microcode (3.20240312.1~deb10u1) [non-free] [security]
- Processor microcode firmware for Intel CPUs
- intel-mkl (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL)
- intel-mkl-cluster (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL) (Cluster)
- intel-mkl-doc (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL) (Doc)
- intel-mkl-full (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL) (Full)
- intel-mkl-linktool (2019.2.187-1) [non-free]
- Intel(R) Math Kernel Library (Intel(R) MKL) Link Tool v4.7
- intel-rng-tools
- virtueel pakket geboden door rng-tools-debian, rng-tools
- intel2gas (1.3.3-17)
- converter from NASM assembly language to GAS
- inteltool (1:20140825-1+b1)
- Dump Intel CPU / chipset configuration parameters
- intercal (30:0.30-2)
- INTERCAL de-obfuscator
- interimap (0.4-1)
- Fast bidirectional synchronization for QRESYNC-capable IMAP servers
- internetarchive (1.8.1-1+deb10u1)
- commandline interface to archive.org
- intltool (0.51.0-5)
- Utility scripts for internationalizing XML
- intltool-debian (0.35.0+20060710.5)
- Help i18n of RFC822 compliant config files
- invada-studio-plugins-ladspa (0.3.1-5)
- Invada Studio Plugins - a set of LADSPA audio plugins
- invada-studio-plugins-lv2 (1.2.0+repack0-8)
- Invada Studio Plugins - a set of LV2 audio plugins
- inventor-clients (2.1.5-10-21)
- Open Inventor client programs
- inventor-data (2.1.5-10-21)
- Open Inventor sample data files
- inventor-demo (2.1.5-10-21)
- Open Inventor demonstration programs and example code
- inventor-dev (2.1.5-10-21)
- Open Inventor development files
- inventor-doc (2.1.5-10-21)
- Open Inventor documentation
- invesalius (3.1.99992-3)
- 3D medical imaging reconstruction software
- invesalius-bin (3.1.99992-3)
- Implementation of MIDA and contour based visualizations
- invesalius-examples (3.1.99992-3)
- examples for 3D medical imaging reconstruction software
- inxi (3.0.32-1-1)
- full featured system information script
- iodbc (3.52.9-2.1)
- GTK+ config frontend for the iODBC Driver Manager
- iodine (0.7.0-9)
- tool for tunneling IPv4 data through a DNS server
- iog (1.03-4)
- network I/O grapher
- iogerman (1:2-35)
- Traditional German orthography dictionary for ispell
- ionit (0.3.2+really0.2.1-2)
- Render configuration files from Jinja templates
- ioping (1.1-1)
- Simple disk I/O latency measuring tool
- ioport (1.2-1)
- direct access to I/O ports from the command line
- ioprocess (0.15.1-3)
- Slave process to perform risky IO
- ioquake3 (1.36+u20181222.e5da13f~dfsg-2)
- Game engine for 3D first person shooter games
- ioquake3-server (1.36+u20181222.e5da13f~dfsg-2)
- Engine for 3D first person shooter games - server and common files
- iotjs (1.0-1)
- Javascript Framework for Internet of Things
- iotjs-dev (1.0-1)
- Developer files for Javascript Framework for IoT
- iotop (0.6-24-g733f3f8-1)
- simple top-like I/O monitor
- iozone3 (429-3+b1) [non-free]
- Filesystem and Disk Benchmarking Tool
- ip2host (1.13-2)
- Resolve IPs to hostnames in web server logs
- ipadic (2.7.0+main-3)
- Dictionaries for ChaSen (Binary data)
- ipadic
- virtueel pakket geboden door naist-jdic
- ipadic-common (2.7.0+main-3)
- Dictionaries for ChaSen (UTF-8 text)
- ipband (0.8.1-5)
- daemon for subnet bandwidth monitoring with reporting via email
- ipcalc (0.41-5)
- parameter calculator for IPv4 addresses
- ipcheck (0.233-2)
- Dyndns.org client to register your dynamic IP address
- ipe (7.2.9-1)
- drawing editor for creating figures in PDF or PS formats
- ipe5toxml (1:7.2.7.2-1+b1)
- converts Ipe5 figures to an XML file readable by Ipe
- iperf (2.0.12+dfsg1-2)
- Internet Protocol bandwidth measuring tool
- iperf3 (3.6-2+deb10u1) [security]
- Internet Protocol bandwidth measuring tool
- ipfm (0.11.5-4.2+b1)
- a bandwidth analysis tool
- ipgrab (0.9.10-2)
- tcpdump-like utility that prints detailed header information
- ipheth-utils (1.0-5)
- USB tethering driver support utilities for the iPhone
- ipig (0.0.r5-3)
- integrating PSMs into genome browser visualisations
- ipip (1.1.9+b1)
- IP over IP Encapsulation Daemon
- ipkungfu (0.6.1-6.2)
- iptables-based Linux firewall
- ipmitool (1.8.18-6+deb10u1)
- utility for IPMI control with kernel driver or LAN interface (daemon)
- ipmiutil (3.1.3-1)
- IPMI management utilities
- ipolish (20180621-1)
- Pools woordenboek voor ispell
- iportuguese (20171225-3)
- European Portuguese dictionary for ispell
- ippl (1.4.14-12.2+b1)
- IP protocols logger
- ippl-dbg (1.4.14-12.2+b1)
- debugging systems for ippl
- ipppd (1:3.25+dfsg1-10)
- ISDN utilities - PPP daemon
- ippusbxd (1.33-1)
- Daemon for IPP USB printer support
- iprange (1.0.4+ds-2)
- optimizing ipsets for iptables
- iproute2 (4.20.0-2+deb10u1)
- networking and traffic control tools
- iproute2-doc (4.20.0-2+deb10u1)
- networking and traffic control tools - documentation
- ips (4.0-1+b3)
- Intelligent process status
- ipset (6.38-1.2)
- administration tool for kernel IP sets
- ipset-6.38
- virtueel pakket geboden door ipset
- ipset-persistent (1.0.11+deb10u1)
- boot-time loader for netfilter rules, ipset plugin
- ipsvd (1.0.0-3.1)
- Internet protocol service daemons
- iptables (1.8.2-4)
- administration tools for packet filtering and NAT
- iptables-converter (0.9.8-1)
- convert iptables-commands from a file to iptables-save format
- iptables-converter-doc (0.9.8-1)
- sphinx documentation for iptables-converter
- iptables-dev (1.8.2-4)
- transitional dummy package
- iptables-netflow-dkms (2.3-5+deb10u1)
- iptables target which generates netflows
- iptables-optimizer (0.9.14-1)
- sort iptables rules by packet counters
- iptables-optimizer-doc (0.9.14-1)
- sphinx html documentation for iptables-optimizer
- iptables-persistent (1.0.11+deb10u1)
- boot-time loader for netfilter rules, iptables plugin
- iptotal (0.3.3-13.1+b1)
- monitor for IP traffic, not requiring SNMP
- iptraf (1:1.1.4-6)
- transitional package to iptraf-ng
- iptraf
- virtueel pakket geboden door iptraf-ng
- iptraf-ng (1:1.1.4-6+b1)
- Next Generation Interactive Colorful IP LAN Monitor
- iptstate (2.2.6-1+b2)
- top-like interface to your netfilter connection-tracking table
- iptux (0.7.6-1)
- Intranet communication tool for Linux
- iputils-arping (3:20180629-2+deb10u2)
- Tool to send ICMP echo requests to an ARP address
- iputils-clockdiff (3:20180629-2+deb10u2)
- Measure the time difference between networked computers
- iputils-ping (3:20180629-2+deb10u2)
- Hulpmiddelen om de bereikbaarheid van netwerk-hosts te controleren
- iputils-tracepath (3:20180629-2+deb10u2)
- Tools to trace the network path to a remote host
- ipv6calc (1.0.0-1)
- small utility for manipulating IPv6 addresses
- ipv6pref (1.0.3-1)
- utility to set IPv6 address preferences
- ipv6toolkit (2.0-1)
- Security assessment and troubleshooting tool for the IPv6 protocols
- ipvsadm (1:1.29-1)
- Linux Virtual Server support programs
- ipwatchd (1.2.1-1+b2)
- IP conflict detection tool
- ipwatchd-gnotify (1.0.1-1+b2)
- Gnome notification tool for IPwatchD
- ipxe (1.0.0+git-20190125.36a4c85-1)
- PXE boot firmware
- ipxe-qemu (1.0.0+git-20190125.36a4c85-1)
- PXE boot firmware - ROM images for qemu
- ipython (5.8.0-1+deb10u1)
- Enhanced interactive Python 2 shell
- ipython-qtconsole (4.3.1-1)
- transitional dummy package for python-qtconsole
- ipython3 (5.8.0-1+deb10u1)
- Enhanced interactive Python 3 shell
- ipython3-qtconsole (4.3.1-1)
- transitional dummy package for python3-qtconsole
- iqtree (1.6.9+dfsg-1)
- efficient phylogenetic software by maximum likelihood
- ir-keytable (1.16.3-3)
- Alter keymaps of Remote Controller devices
- ir.lv2 (1.3.3~dfsg0-1)
- LV2 IR reverb
- iraf (2.16.1+2018.11.01-2)
- Image Reduction and Analysis Facility
- iraf-dev (2.16.1+2018.11.01-2)
- Image Reduction and Analysis Facility (development files)
- iraf-fitsutil (2018.07.06-3+b1)
- FITS utilities for IRAF
- iraf-mscred (5.05+2018.07.09-1+b1)
- CCD mosaic reduction package for IRAF
- iraf-noao (2.16.1+2018.11.01-2)
- IRAF NOAO data reduction package
- iraf-noao-dev (2.16.1+2018.11.01-2)
- IRAF NOAO data reduction package (development files)
- iraf-rvsao (2.8.3-1+b1)
- IRAF package to obtain radial velocities from spectra
- iraf-sptable (1.0~pre20180612-1+b1)
- IRAF package for Tabular Spectra
- iraf-wcstools (3.9.5-3)
- Handle the WCS of a FITS image (IRAF package)
- irb
- virtueel pakket geboden door ruby
- irc
- virtueel pakket geboden door konversation, hexchat-common, xchat, epic4, ircii, hexchat, scrollz, epic5
- ircd
- virtueel pakket geboden door ircd-ircu, charybdis, foxeye, inspircd, ircd-hybrid
- ircd-hybrid (1:8.2.24+dfsg.1-1+deb10u1)
- high-performance secure IRC server
- ircd-irc2 (2.11.2p3~dfsg-5)
- The original IRC server daemon
- ircd-ircu (2.10.12.10.dfsg1-3)
- Undernet IRC Server daemon
- ircii (20190117-1+deb10u1)
- Internet Relay Chat client
- irclog2html (2.17.1-1)
- Converts IRC log files to HTML with pretty colours
- ircmarkers (0.15-2)
- place markers on maps at given coordinates
- irker (2.18+dfsg-3)
- submission tools for IRC notifications
- iroffer (1.4.b03-6)
- IRC file distribution bot
- ironic-api (1:11.1.0-6)
- bare metal hypervisor API for OpenStack - API server
- ironic-common (1:11.1.0-6)
- bare metal hypervisor API for OpenStack - common files
- ironic-conductor (1:11.1.0-6)
- bare metal hypervisor API for OpenStack - conductor
- ironic-doc (1:11.1.0-6)
- bare metal hypervisor API for OpenStack - doc
- ironic-inspector (8.0.0-3)
- discovering hardware properties for OpenStack Ironic - Daemon
- ironic-neutron-agent (1.2.0-3)
- OpenStack virtual network service - Ironic agent
- irony-mode (1.3.1-1)
- Transition Package, irony-mode to elpa-irony
- irony-server (1.3.1-1)
- Emacs C/C++ minor mode powered by libclang (server)
- irpas (0.10-7) [non-free]
- Internetwork Routing Protocol Attack Suite
- irqbalance (1.5.0-3)
- Daemon to balance interrupts for SMP systems
- irqtop (2.3-5+deb10u1)
- Observe IRQ and SoftIRQ in a top-like fashion
- irsim (9.7.101-1)
- Switch-level simulator
- (1.2.0-2+deb10u1)
- terminal based IRC client
- virtueel pakket geboden door irssi
- (1.2.0-2+deb10u1)
- terminal based IRC client - development files
- (1.2.0-2+deb10u1)
- Off-The-Record messaging plugin for Irssi
- (0.6-4+b1)
- RobustIRC plugin for irssi
- (0.54-3+deb10u1)
- XMPP plugin for irssi
- (20181120)
- collection of scripts for irssi
- irstlm (6.00.05-2)
- IRST Language Modeling Toolkit
- irtt (0.9.0-2+b11)
- Isochronous Round-Trip Tester
- irussian (0.99g5-23)
- Russian dictionary for Ispell
- isag (12.0.3-2+deb10u2) [security]
- Interactive System Activity Grapher for sysstat
- isakmpd (20041012-8)
- The Internet Key Exchange protocol openbsd implementation
- isal (2.25.0-1)
- Intel(R) Intelligent Storage Acceleration Library - tools
- isatapd (0.9.7-4)
- creates and maintains an ISATAP client tunnel (RFC 5214)
- isc-dhcp-client (4.4.1-2+deb10u3) [security]
- DHCP client for automatically obtaining an IP address
- isc-dhcp-client-ddns (4.4.1-2+deb10u3) [security]
- Dynamic DNS (DDNS) enabled DHCP client
- isc-dhcp-client-udeb (4.4.1-2+deb10u1)
- ISC DHCP Client for debian-installer
- isc-dhcp-common (4.4.1-2+deb10u3) [security]
- common manpages relevant to all of the isc-dhcp packages
- isc-dhcp-dev (4.4.1-2+deb10u3) [security]
- API for accessing and modifying the DHCP server and client state
- isc-dhcp-relay (4.4.1-2+deb10u3) [security]
- ISC DHCP relay daemon
- isc-dhcp-server (4.4.1-2+deb10u3) [security]
- ISC DHCP server for automatic IP address assignment
- isc-dhcp-server-ldap (4.4.1-2+deb10u3) [security]
- DHCP server that uses LDAP as its backend
- iscsiuio (2.0.874-7.1)
- iSCSI offloading daemon for QLogic devices
- isdnactivecards (1:3.12.2007-11-27-1+b1) [contrib]
- ISDN utilities - active ISDN card support
- isdnlog (1:3.25+dfsg1-10)
- ISDN utilities - connection logger
- isdnlog-data (1:3.25+dfsg1-10)
- ISDN utilities - connection logger data
- isdnutils-base (1:3.25+dfsg1-10)
- ISDN utilities - minimal set
- isdnutils-doc (1:3.25+dfsg1-10)
- ISDN utilities - documentation
- isdnutils-xtools (1:3.25+dfsg1-10)
- ISDN utilities - graphical tools
- isdnvbox (1:3.25+dfsg1-10)
- ISDN utilities - answering machine dependency package
- isdnvboxclient (1:3.25+dfsg1-10)
- ISDN utilities - answering machine client
- isdnvboxserver (1:3.25+dfsg1-10)
- ISDN utilities - answering machine server
- iselect (1.4.0-3+b1)
- ncurses-based interactive line selection tool
- isenkram (0.41)
- Suggest packages to install when inserting new hardware (GUI popup)
- isenkram-cli (0.41)
- Suggest packages to install when inserting new hardware (CLI tool)
- isight-firmware-tools (1.6-4) [contrib]
- tools for dealing with Apple iSight firmware
- (1.0.5-2)
- Islamic menu categories for applications under GNOME and KDE
- ismrmrd-schema (1.4.0-1)
- schema for ISMRMRD
- ismrmrd-tools (1.4.0-1)
- command-line tools for ISMRMRD
- iso-codes (4.2-1)
- ISO language, territory, currency, script codes and their translations
- iso-flag-png
- virtueel pakket geboden door iso-flags-png-320x240
- iso-flags-png-320x240 (1.0.2-1)
- ISO country flags in PNG format (320x240)
- iso-flags-svg (1.0.2-1)
- ISO country flags in SVG format
- iso-scan (1.75)
- Scan hard drives for an installer ISO image
- isofs-modules
- virtueel pakket geboden door isofs-modules-4.19.0-20-armmp-di, isofs-modules-4.19.0-20-amd64-di, isofs-modules-4.19.0-21-armmp-di, isofs-modules-4.19.0-21-686-pae-di, isofs-modules-4.19.0-20-686-pae-di, isofs-modules-4.19.0-21-686-di, isofs-modules-4.19.0-21-arm64-di, isofs-modules-4.19.0-21-amd64-di, isofs-modules-4.19.0-20-arm64-di, isofs-modules-4.19.0-20-686-di
- isofs-modules-4.19.0-20-686-di (4.19.235-1)
- ISOFS filesystem support
- isofs-modules-4.19.0-20-686-pae-di (4.19.235-1)
- ISOFS filesystem support
- isofs-modules-4.19.0-20-amd64-di (4.19.235-1)
- ISOFS filesystem support
- isofs-modules-4.19.0-20-arm64-di (4.19.235-1)
- ISOFS filesystem support
- isofs-modules-4.19.0-20-armmp-di (4.19.235-1)
- ISOFS filesystem support
- isofs-modules-4.19.0-21-686-di (4.19.249-2)
- ISOFS filesystem support
- isofs-modules-4.19.0-21-686-pae-di (4.19.249-2)
- ISOFS filesystem support
- isofs-modules-4.19.0-21-amd64-di (4.19.249-2)
- ISOFS filesystem support
- isofs-modules-4.19.0-21-arm64-di (4.19.249-2)
- ISOFS filesystem support
- isofs-modules-4.19.0-21-armmp-di (4.19.249-2)
- ISOFS filesystem support
- isolinux (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (ISO 9660 bootloader)
- isomaster (1.3.13-1+b1)
- Graphical CD image editor
- isomd5sum (1:1.2.3-3)
- ISO9660 checksum utilities
- isoqlog (2.2.1-9)
- Mail Transport Agent log analysis program
- isoquery (3.2.3-1)
- Search and display various ISO codes (country, language, ...)
- isort (4.3.4+ds1-1.1)
- utility for sorting Python imports
- ispanish (1.11-15)
- Spanish dictionary for ispell
- ispell (3.4.00-6+b1)
- International Ispell (an interactive spelling corrector)
- ispell-dictionary
- virtueel pakket geboden door idutch, ispanish, iswedish, icatalan, iczech, iirish, iitalian, ipolish, idanish, igaelic, ifaroese, itagalog, iestonian, iamerican-insane, ibritish, igalician-minimos, iamerican, ibritish-huge, ibritish-insane, ibritish-large, ibritish-small, iportuguese, irussian, inorwegian, iamerican-small, ifrench, iukrainian, iogerman, iamerican-huge, ilithuanian, ingerman, ifrench-gut, ibrazilian, imanx, iamerican-large, ihungarian, iesperanto, iswiss, ibulgarian
- isrcsubmit (2.1.0-1)
- extract ISRCs from audio CDs and submit them to MusicBrainz
- isso (0.12.2-2)
- lightweight web-based commenting system
- istanbul (= 0.4.5+ds-5)
- virtueel pakket geboden door node-istanbul
- istgt (0.4~20111008-3+b3)
- iSCSI userspace target daemon for Unix-like operating systems
- iswedish (1.4.5-2.2)
- Swedish dictionary for ispell
- iswiss (20161207-7)
- Swiss (German) orthography dictionary for ispell
- isympy
- virtueel pakket geboden door isympy3
- isympy-common (1.3-2)
- Python shell for SymPy
- isympy3 (1.3-2)
- Python3 shell for SymPy
- isync (1.3.0-2.2~deb10u2)
- IMAP and MailDir mailbox synchronizer
- itagalog (0.3.1-6)
- Tagalog dictionary for Ispell
- itamae (1.9.10-2)
- Simple Configuration Management Tool
- itcl-doc
- virtueel pakket geboden door itcl3-doc
- itcl3 (3.4.3-3)
- [incr Tcl] OOP extension for Tcl - run-time files
- itcl3-dev (3.4.3-3)
- [incr Tcl] OOP extension for Tcl - development files
- itcl3-doc (3.4.3-3)
- [incr Tcl] OOP extension for Tcl - manual pages
- itk-doc
- virtueel pakket geboden door itk3-doc
- itk3 (3.4.2-3)
- [incr Tk] OOP extension for Tk - run-time files
- itk3-dev (3.4.2-3)
- [incr Tk] OOP extension for Tk - development files
- itk3-doc (3.4.2-3)
- [incr Tk] OOP extension for Tk - manual pages
- itksnap (3.6.0-3)
- semi-automatic segmentation of structures in 3D images
- itools (1.0-6)
- Islamic hijri date and prayer time utilities
- itop (0.1-4+b2)
- simple top-like interrupt load monitor
- its-playback-time (0.2017-08-30.3c40fd3-1)
- ttyrec time-travelling playback tool
- itstool (2.0.5-2)
- tool for translating XML documents with PO files
- iucode-tool (2.3.1-1) [contrib]
- Intel processor microcode tool
- iukrainian (1.7.1-2)
- Oekraiens woordenboek voor ispell
- iva (1.0.9+ds-6)
- iterative virus sequence assembler
- iverilog (10.2-1.1)
- Icarus verilog compiler
- ivtools-bin (1.2.11a2-4)
- Drawing Editors evolved from idraw
- ivtools-dev (1.2.11a2-4)
- Development files for the InterViews library
- ivy (2.4.0-5)
- agile dependency manager
- ivy-debian-helper (1.0)
- Helper tools for building Debian packages with Ivy
- ivy-doc (2.4.0-5)
- agile dependency manager (documentation)
- ivyplusplus (1.28-3)
- Adds features to ivy for creating projects
- iw (5.0.1-1)
- tool for configuring Linux wireless devices
- iwatch (0.2.2-6)
- realtime filesystem monitoring program using inotify
- iwd (0.14-2+deb10u1) [security]
- wireless daemon for Linux
- iwidgets4 (4.1.1-2)
- [incr Widgets] Tk-based widget collection - run-time files
- iwidgets4-doc (4.1.1-2)
- [incr Widgets] Tk-based widget collection - man pages
- iwyu (7.0-3)
- Analyze #includes in C and C++ source files
- ixo-usb-jtag (0.0.1-1)
- Altera Bus Blaster emulation using Cypress FX2 chip
- (2.16-1)
- faster replacement for i3-dmenu-desktop
- jaaa (0.8.4-4)
- audio signal generator and spectrum analyser
- jabber-muc (0.8-7)
- Multi User Conference component for the Jabber IM server
- jabber-querybot (0.1.0-1)
- Modular xmpp/jabber bot
- jabberd2 (2.7.0-1)
- Jabber instant messenger server
- jabref (3.8.2+ds-12)
- graphical frontend to manage BibTeX and BibLaTeX databases
- jacal (1b9-7)
- Interactive symbolic math system
- jack (3.1.1+cvs20050801-31)
- Rip and encode CDs with one command
- jack-capture (0.9.73-3)
- program for recording soundfiles with jack
- jack-daemon
- virtueel pakket geboden door jackd2, jackd1
- jack-delay (0.4.2-1)
- JACK latency meter
- jack-keyboard (2.7.1-1+b1)
- Virtual MIDI keyboard for JACK MIDI
- jack-midi-clock (0.4.3-1)
- JACK client that sends MIDI beat clock pulses
- jack-mixer (10-1+b1)
- JACK Audio Mixer
- jack-stdio (1.4-1+b2)
- program to pipe audio-data from and to JACK
- jack-tools (20131226-1+b2)
- various JACK tools: dl, record, scope, osc, plumbing, udp, play, transport
- jackd (5+nmu1)
- JACK Audio Connection Kit (default server package)
- jackd-firewire
- virtueel pakket geboden door jackd2-firewire, jackd1-firewire
- jackd1 (1:0.125.0-3)
- JACK Audio Connection Kit (server and example clients)
- jackd1-firewire (1:0.125.0-3)
- JACK Audio Connection Kit (FFADO backend)
- jackd2 (1.9.12~dfsg-2)
- JACK Audio Connection Kit (server and example clients)
- jackd2-firewire (1.9.12~dfsg-2)
- JACK Audio Connection Kit (FFADO and FreeBoB backends)
- jackeq (0.5.9-2.1)
- routes and manipulates audio from/to multiple sources
- jackmeter (0.4-1+b2)
- a basic command line meter for the JACK audio system
- jacksum (1.7.0-4.1)
- computes checksums, CRCs and message digests
- jacktrip (1.1~repack-5+b2)
- high-quality system for audio network performances
- jadetex
- virtueel pakket geboden door texlive-formats-extra
- jag (0.3.5-3)
- arcade and puzzle 2D game
- jag-data (0.3.5-3)
- arcade and puzzle 2D game (data file)
- jags (4.3.0-2)
- Just Another Gibbs Sampler for Bayesian MCMC - binary
- jaligner (1.0+dfsg-6)
- Smith-Waterman algorithm with Gotoh's improvement
- jalv (1.6.0~dfsg0-2)
- tool to run LV2 plugins as stand-alone applications
- jam (2.6-1+b2)
- Software-build tool, replacement for make
- jameica (2.8.4+dfsg-1+deb10u1)
- Run-time system for Java applications
- jameica-doc (2.8.4+dfsg-1+deb10u1)
- Run-time system for Java applications - documentation package
- jami (20190215.1.f152c98~ds1-1+deb10u2) [security]
- Secure and distributed voice, video and chat platform - desktop client
- jami-daemon (20190215.1.f152c98~ds1-1+deb10u2) [security]
- Secure and distributed voice, video and chat platform - daemon
- jamin (0.98.9~git20170111~199091~repack1-1)
- Audio mastering from a mixed down multitrack source with JACK
- jamnntpd (1.3-1)
- NNTP Server allowing newsreaders to access a JAM messagebase
- janino (2.7.0-2)
- runtime compiler for Java expressions - binary
- japa (0.8.4-2)
- JACK and ALSA Perceptual Analyser
- japi-compliance-checker (2.4-2)
- tool to compare compatibility of Java library API
- japitools (0.9.7-1)
- Java API compatibility testing tools
- jardiff (0.2-5)
- tool to visualise API differences between two JAR files
- jargon (4.0.0-5.1)
- the definitive compendium of hacker slang
- jargon-text (4.4.7-4)
- definitive compendium of hacker slang
- jarwrapper (0.72.9)
- Run executable Java .jar files
- jasmin-sable (2.5.0-2)
- Java class (.class) file assembler
- java-common (0.71)
- Base package for Java runtimes
- java-compiler
- virtueel pakket geboden door openjdk-11-jdk, openjdk-11-jdk-headless
- java-package (0.62) [contrib]
- Utility for creating Java Debian packages
- java-policy (0.56)
- Debian Java Policy
- java-propose-classpath (0.72.9)
- Helper script to suggest a classpath for jar files
- java-runtime
- virtueel pakket geboden door default-jre, openjdk-11-jre
- java-runtime (= 11)
- virtueel pakket geboden door openjdk-11-jre
- java-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java-runtime-headless (= 11)
- virtueel pakket geboden door openjdk-11-jre-headless
- java-sdk
- virtueel pakket geboden door openjdk-11-jdk, default-jdk
- java-sdk (= 11)
- virtueel pakket geboden door openjdk-11-jdk
- java-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- java-sdk-headless (= 11)
- virtueel pakket geboden door openjdk-11-jdk-headless
- java-wrappers (0.3)
- wrappers for java executables
- java10-runtime
- virtueel pakket geboden door openjdk-11-jre, default-jre
- java10-runtime-headless
- virtueel pakket geboden door openjdk-11-jre-headless, default-jre-headless
- java10-sdk
- virtueel pakket geboden door openjdk-11-jdk, default-jdk
- java10-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- java11-runtime
- virtueel pakket geboden door openjdk-11-jre, default-jre
- java11-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java11-sdk
- virtueel pakket geboden door openjdk-11-jdk, default-jdk
- java11-sdk-headless
- virtueel pakket geboden door default-jdk-headless, openjdk-11-jdk-headless
- java2-runtime
- virtueel pakket geboden door openjdk-11-jre, default-jre
- java2-runtime-headless
- virtueel pakket geboden door openjdk-11-jre-headless, default-jre-headless
- java2-sdk
- virtueel pakket geboden door default-jdk, openjdk-11-jdk
- java2-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- java2html (0.9.2-6)
- Highlight Java and C++ sources for WWW presentation
- java5-runtime
- virtueel pakket geboden door default-jre, openjdk-11-jre
- java5-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java5-sdk
- virtueel pakket geboden door default-jdk, openjdk-11-jdk
- java5-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- java6-runtime
- virtueel pakket geboden door openjdk-11-jre, default-jre
- java6-runtime-headless
- virtueel pakket geboden door openjdk-11-jre-headless, default-jre-headless
- java6-sdk
- virtueel pakket geboden door default-jdk, openjdk-11-jdk
- java6-sdk-headless
- virtueel pakket geboden door default-jdk-headless, openjdk-11-jdk-headless
- java7-runtime
- virtueel pakket geboden door default-jre, openjdk-11-jre
- java7-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java7-sdk
- virtueel pakket geboden door openjdk-11-jdk, default-jdk
- java7-sdk-headless
- virtueel pakket geboden door default-jdk-headless, openjdk-11-jdk-headless
- java8-runtime
- virtueel pakket geboden door openjdk-11-jre, default-jre
- java8-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java8-sdk
- virtueel pakket geboden door default-jdk, openjdk-11-jdk
- java8-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- java9-runtime
- virtueel pakket geboden door default-jre, openjdk-11-jre
- java9-runtime-headless
- virtueel pakket geboden door default-jre-headless, openjdk-11-jre-headless
- java9-sdk
- virtueel pakket geboden door default-jdk, openjdk-11-jdk
- java9-sdk-headless
- virtueel pakket geboden door openjdk-11-jdk-headless, default-jdk-headless
- javacc (5.0-8)
- Parser generator for use with Java
- javacc-doc (5.0-8)
- Documentation for the JavaCC Parser Generator
- javacc4 (4.0-2)
- Parser generator for use with Java
- javacc4-doc (4.0-2)
- Documentation for the JavaCC Parser Generator
- javahelp2 (2.0.05.ds1-9)
- Java based help system
- javahelp2-doc (2.0.05.ds1-9)
- Java based help system - contains Javadoc API documentation
- javahelper (0.72.9)
- Helper scripts for packaging Java programs
- javamorph (0.0.20100201-1.3)
- Java morphing film-make program for pixel picture-input
- javascript-common (11)
- Base support for JavaScript library packages
- jaxb (2.3.0.1-8)
- JAXB Reference Implementation (Command Line Tools)
- jaxe (3.5-11)
- JAva Xml Editor
- jaxml
- virtueel pakket geboden door python-jaxml
- jaxws (2.3.0.2-1)
- JAX-WS Reference Implementation (Command Line Tools)
- jazip (0.34-15.1+b2)
- Mount and unmount Iomega Zip and/or Jaz drives
- jbibtex-base (1:2.5-3)
- make a bibliography for ASCII p(La)TeX / NTT j(La)TeX
- jbig2dec (0.16-1+deb10u1)
- JBIG2 decoder library - tools
- jbigkit-bin (2.1-3.1+b2)
- JBIGkit binaries
- jblas (1.2.4-2)
- fast linear algebra library for Java
- jblas-doc (1.2.4-2)
- fast linear algebra library for Java --documentation
- jbuilder (1.6.2-2)
- composable build system for OCaml projects -- transitional package
- jbuilder
- virtueel pakket geboden door dune
- jcadencii (3.3.9+svn20110818.r1732-6)
- Piano roll editor for singing synthesis
- jcal (0.4.1-2+b1)
- UNIX-cal-like tool to display Jalali calendar
- jclassinfo (0.19.1-7+b1)
- extracts information from Java class files
- jclic (0.3.2.10-1)
- Tool for the development & use of multimedia educational activities
- jcodepl
- virtueel pakket geboden door libjcode-perl
- jconvolver (0.9.3-2)
- Convolution reverb Engine for JACK
- jconvolver-config-files (0.9.3-2)
- Demo config files for jconvolver
- jdim (0.1.0-1)
- simple browser for "2ch-style" web forum sites
- jdns (2.0.3-1+b2)
- command-line tool to test functionality
- jdns-dbg (2.0.3-1+b2)
- command-line tool to test functionality - debugging symbols
- jdresolve (0.6.1-5.1)
- fast alternative to apache logresolve
- jdupes (1.12-1)
- identify and delete or link duplicate files
- jdupes-btrfs
- virtueel pakket geboden door jdupes
- jed (1:0.99.19-7+b1)
- editor for programmers (textmode version)
- jed-common (1:0.99.19-7)
- S-Lang runtime files for jed and xjed
- (2.5.7-2)
- collection of useful Jed modes and utilities
- jedit (5.5.0+dfsg-1)
- Plugin-based editor for programmers
- jeepyb (0+20170923-1)
- tools for managing gerrit projects and external sources
- jeex (12.0.4-1+b2)
- visual editor to view and edit files in hexadecimal
- jekyll (3.8.3+dfsg-4+deb10u1)
- Simple, blog aware, static site generator
- jel-java (2.1.1-1)
- Library for evaluating algebraic expressions in Java
- jel-java-doc (2.1.1-1)
- Java Expressions Library (documentation)
- jellyfish (2.2.10-2)
- count k-mers in DNA sequences
- jellyfish-examples (2.2.10-2)
- count k-mers in DNA sequences (examples for testing)
- jellyfish1 (1.1.11-4)
- count k-mers in DNA sequences
- jemboss (6.6.0+dfsg-7)
- graphical user interface to EMBOSS
- jenkins-debian-glue (0.20.0)
- Jenkins Debian glue scripts
- jenkins-debian-glue-buildenv (0.20.0)
- Jenkins Debian glue scripts - dependency package
- jenkins-job-builder (2.9.1-1)
- Configure Jenkins using YAML files - metapackage
- jenkins-job-builder-doc (2.9.1-1)
- Configure Jenkins using YAML files - doc
- jerry (3.1.0-1)
- Chess GUI
- jesred (1.2pl1-22+b1)
- Redirector for the Squid proxy
- jester (1.0-13)
- board game similar to Othello
- jetring (0.27)
- gpg keyring maintenance using changesets
- jets3t (0.8.1+dfsg-4)
- graphical and command-line tools for Amazon S3 and CloudFront
- jetty9 (9.4.50-4+deb10u2) [security]
- Java servlet engine and webserver
- jeuclid-cli (3.1.9-5)
- Complete MathML rendering solution (command line tools)
- jeuclid-mathviewer (3.1.9-5)
- Complete MathML rendering solution (Swing MathViewer)
- jflex (1.7.0-1)
- lexical analyzer generator for Java
- jfractionlab (0.92-1)
- Educative program to practice fractions
- jfs-modules
- virtueel pakket geboden door jfs-modules-4.19.0-21-armmp-di, jfs-modules-4.19.0-20-arm64-di, jfs-modules-4.19.0-20-686-pae-di, jfs-modules-4.19.0-20-686-di, jfs-modules-4.19.0-21-686-pae-di, jfs-modules-4.19.0-21-arm64-di, jfs-modules-4.19.0-20-amd64-di, jfs-modules-4.19.0-20-armmp-di, jfs-modules-4.19.0-21-amd64-di, jfs-modules-4.19.0-21-686-di
- jfs-modules-4.19.0-20-686-di (4.19.235-1)
- JFS filesystem support
- jfs-modules-4.19.0-20-686-pae-di (4.19.235-1)
- JFS filesystem support
- jfs-modules-4.19.0-20-amd64-di (4.19.235-1)
- JFS filesystem support
- jfs-modules-4.19.0-20-arm64-di (4.19.235-1)
- JFS filesystem support
- jfs-modules-4.19.0-20-armmp-di (4.19.235-1)
- JFS filesystem support
- jfs-modules-4.19.0-21-686-di (4.19.249-2)
- JFS filesystem support
- jfs-modules-4.19.0-21-686-pae-di (4.19.249-2)
- JFS filesystem support
- jfs-modules-4.19.0-21-amd64-di (4.19.249-2)
- JFS filesystem support
- jfs-modules-4.19.0-21-arm64-di (4.19.249-2)
- JFS filesystem support
- jfs-modules-4.19.0-21-armmp-di (4.19.249-2)
- JFS filesystem support
- jfsutils (1.1.15-4)
- utilities for managing the JFS filesystem
- jfsutils-udeb (1.1.15-4)
- stripped-down version of jfsutils, for debian-installer
- jftp (1.60+dfsg-3)
- Java GUI client for FTP, SMB, SFTP and NFS
- jfugue
- virtueel pakket geboden door libjfugue-java
- jgit-cli (3.7.1-6)
- Java implementation of GIT version control (command line interface)
- jglobus-doc (2.1.0-8)
- Javadocs for jglobus
- jgraph (83-23+b1)
- Jim Plank's program for producing PostScript graphs
- jgroff
- virtueel pakket geboden door groff
- jhbuild (3.15.92+20180504~8974bbc4-1)
- flexible build script for package collections
- jhead (1:3.00-8+deb10u1) [security]
- manipulate the non-image part of Exif compliant JPEG files
- jhove (1.20.1-5) [non-free]
- JSTOR/Harvard Object Validation Environment
- jid (0.7.3-1)
- json incremental digger
- jigdo-file (0.7.3-5+deb10u1)
- Download Debian CD images from any Debian mirror
- jigit (1.21-1)
- tools for working with jigdo files
- jigl (2.0.1+20060126-5)
- Generates a static html photo gallery from one or more directories of images
- jigsaw-generator (0.2.5-1)
- Generate jigsaw and card sort activities for classroom use
- jigzo (0.6.1-7)
- Photo puzzle game for children
- jigzo-data (0.6.1-7)
- data of Photo puzzle game for children
- jikespg (1.3-3+b1)
- Jikes Parser Generator
- jimsh (0.77+dfsg0-3)
- small-footprint implementation of Tcl named Jim
- jing (20151127+dfsg-3)
- RELAX NG validator
- jing-trang-doc (20151127+dfsg-3)
- Jing Trang and dtdinst documentation
- jison (0.4.17+dfsg-3)
- parser generator with Bison's API
- jitterdebugger (0.3.1-1)
- Real time response measurement tool
- jitterentropy-rngd (1.0.8-4)
- Jitter RNG Daemon
- jkmeter (0.6.1-5)
- horizontal or vertical bargraph audio level meter for Jack Audio Connection Kit
- jlatex209-base (2.1-1.1)
- basic NTT JLaTeX 2.09 macro files
- jlex (1.2.6-10)
- Lex-style lexical analyser generator for Java
- jlha-utils (0.1.6-4)
- command-line lzh archiver written in Java
- jmacro (0.6.15-4+b1)
- utility for jmacro JavaScript generation library
- jmagick
- virtueel pakket geboden door libjmagick6-java
- jmagick-docs
- virtueel pakket geboden door jmagick6-docs
- jmagick6-docs (6.6.9~20130201-svn99-4)
- Java interface to ImageMagick (documentation)
- jmapviewer (2.9+dfsg-1)
- Java OpenStreetMap Tile Viewer
- jmdlx (0.4-9+b2)
- jugglemaster deluxe using wxWidgets
- jmeter (2.13-4)
- Load testing and performance measurement application (main application)
- jmeter-apidoc (2.13-4)
- Load testing and performance measurement application (API doc)
- jmeter-ftp (2.13-4)
- Load testing and performance measurement application (ftp module)
- jmeter-help (2.13-4)
- Load testing and performance measurement application (user manual)
- jmeter-http (2.13-4)
- Load testing and performance measurement application (http module)
- jmeter-java (2.13-4)
- Load testing and performance measurement application (java module)
- jmeter-jms (2.13-4)
- Load testing and performance measurement application (jms module)
- jmeter-junit (2.13-4)
- Load testing and performance measurement application (junit module)
- jmeter-ldap (2.13-4)
- Load testing and performance measurement application (ldap module)
- jmeter-mail (2.13-4)
- Load testing and performance measurement application (mail module)
- jmeter-mongodb (2.13-4)
- Load testing and performance measurement application (mongodb module)
- jmeter-tcp (2.13-4)
- Load testing and performance measurement application (tcp module)
- jmeters (0.4.1-4)
- multichannel audio level meter
- jmodeltest (2.1.10+dfsg-7)
- HPC selection of models of nucleotide substitution
- jmol (14.6.4+2016.11.05+dfsg1-4)
- Molecular Viewer
- jmol-applet (14.6.4+2016.11.05+dfsg1-4)
- Jmol Java applet
- jmpost
- virtueel pakket geboden door texlive-binaries
- jmtpfs (0.5-2+b2)
- FUSE based filesystem for accessing MTP devices
- jnettop (0.13.0-1+b3)
- View hosts/ports taking up the most network traffic
- jnoise (0.6.0-6)
- white and pink noise generator
- jnoisemeter (0.1.0-4)
- audio test signals meter
- jo (1.1-1)
- command-line processor to output JSON from a shell
- jodconverter (2.2.2-10)
- Office formats converter
- joe (4.6-1+b1)
- user friendly full screen text editor
- joe
- virtueel pakket geboden door joe-jupp
- joe-jupp (3.1.38-1)
- reimplement the joe Debian package using jupp
- john (1.8.0-2+b1)
- active password cracking tool
- john-data (1.8.0-2)
- active password cracking tool - character sets
- joint-state-publisher (1.12.6-5)
- ROS joint_state_publisher
- jose (10-2)
- C implementation of Javascript Object Signing and Encryption standards
- josm (0.0.svn14760+dfsg-1)
- Editor for OpenStreetMap
- josm-l10n (0.0.svn14760+dfsg-1)
- Editor for OpenStreetMap - translation files
- jove (4.16.0.73-5+b1)
- Jonathan's Own Version of Emacs - a compact, powerful editor
- joy2key (1.6.3-3)
- Translate joystick movements into equivalent keystrokes
- joystick (1:1.6.1-1)
- set of testing and calibration tools for joysticks
- jp2a (1.0.6-8)
- converts jpg images to ascii
- jparse (1.4.0-5)
- JSON parser utility
- jpeginfo (1.6.0-6+b2)
- Prints information and tests integrity of JPEG/JFIF files
- jpegjudge (0.0.2-3)
- determine which of two given jpegs (same size) is the original
- jpegoptim (1.4.6-1)
- utility to optimize jpeg files
- jpegpixi (1.1.1-4.1+b3)
- Remove hot spots from JPEG images with minimal quality loss
- jpilot (1.8.2-2)
- graphical app. to modify the contents of your Palm Pilot's DBs
- jpilot-plugins (1.8.2-2)
- plugins for jpilot (Palm Pilot desktop)
- jpnevulator (2.3.4-1+b1)
- Serial sniffer
- jq (1.5+dfsg-2+b1)
- lightweight and flexible command-line JSON processor
- jquery-alternative-doc (1.7+dfsg-1)
- Alternative jQuery Documentation
- jruby (9.1.17.0-3+deb10u1) [security]
- 100% pure-Java implementation of Ruby
- jruby-maven-plugins (1.1.5+ds1-2)
- Maven plugins to handle Ruby gems in a Maven compatible way
- jruby-openssl (0.9.21-2)
- Ruby library that emulates the OpenSSL native library for JRuby
- js2-mode (0~20180301-1)
- Emacs mode for editing Javascript programs (dummy package)
- js2-mode
- virtueel pakket geboden door elpa-js2-mode
- jsamp (1.3.5-1)
- Java Simple Application Messaging Protocol tool for VO
- jsbeautifier (1.6.4-7)
- JavaScript unobfuscator and beautifier
- jsdoc-toolkit (2.4.0+dfsg-7)
- automatic generator for HTML documentation of Javascript sources
- jshon (20131010-3+b1)
- Command line tool to parse, read and create JSON
- jsmath (3.6e-2)
- TeX equations in HTML documents
- jsmath-fonts (1.3-3.1)
- raster fonts for jsMath
- jsmath-fonts-sprite (1.0-4)
- raster fonts for jsMath plugin spriteImageFonts
- json-glib-tools (1.4.4-2)
- GLib JSON manipulation library (tools)
- jsonlint (1.7.1-1)
- validating parser of JSON data structures
- jstest-gtk (0.1.1~git20160825-3)
- joystick testing and configuration tool
- jsunit (0.1.6-2)
- JavaScript unit test framework for Thunderbird and Firefox
- jsurf-alggeo (0.4.1+ds-3)
- Java based visualization library for real algebraic geometry
- jsvc (1.0.15-8+deb10u1)
- Wrapper to launch Java applications as daemons
- jsxcompressor (1.3.5+dfsg1-2)
- Pure Javascript implementation of deflate, unzip, base64_decode
- jsxgraph (1.3.5+dfsg1-2)
- Interactive Geometry with JavaScript
- jtb (1.4.12-2)
- syntax tree builder and visitors generator for JavaCC
- jtex-base (2.1-1.1)
- basic NTT JTeX library files
- jtreg (5.1-b01-2~deb10u1)
- Regression Test Harness for the OpenJDK platform
- jtreg6 (6.1+2-1~deb10u2) [security]
- Regression Test Harness for the OpenJDK platform
- jube (2.2.2-1)
- JUBE Benchmarking Environment
- juce-modules-source (5.4.1+really5.4.1~repack-3)
- Jules' Utility Class Extensions (module sources)
- juce-tools (5.4.1+really5.4.1~repack-3)
- JUCE's project management tools
- juffed (0.10-89-g3690b60-5)
- Lightweight yet powerful Qt text editor
- juffed-dev (0.10-89-g3690b60-5)
- Lightweight yet powerful Qt text editor - development files
- juffed-plugins (0.10-89-g3690b60-5)
- Lightweight yet powerful Qt text editor - plugins
- jugglinglab (0.6.2+ds.1-2)
- Application for creating and animating juggling patterns
- juk (4:18.08.1-1)
- music jukebox / music player
- julia (1.0.3+dfsg-4)
- high-performance programming language for technical computing
- julia-common (1.0.3+dfsg-4)
- high-performance programming language for technical computing (common files)
- julia-doc (1.0.3+dfsg-4)
- high-performance programming language for technical computing (documentation)
- juman (7.0-3.4)
- Japanese morphological analysis system
- juman-dic (7.0-3.4)
- Juman dictionary in text format
- jumpnbump (1.60-4)
- cute multiplayer platform game with bunnies
- jumpnbump-levels (20140925)
- cute multiplayer platform game with bunnies (extra levels)
- junior-art (1.29)
- Debian Jr. Art
- junior-config (1.29)
- Debian Jr. Project common package
- junior-doc (1.16.1)
- Debian Jr. Documentatie
- junior-education (1.29)
- Debian Jr. education applications
- junior-games-adventure (1.29)
- Debian Jr. Adventure Games
- junior-games-arcade (1.29)
- Debian Jr. arcade games
- junior-games-card (1.29)
- Debian Jr. Kaartspellen
- junior-games-gl (1.29)
- Debian Jr. 3D Spellen (hardware versnelling vereist)
- junior-games-net (1.29)
- Debian Jr. Network Games
- junior-games-puzzle (1.29)
- Debian Jr. Puzzle games
- junior-games-sim (1.29)
- Debian Jr. Simulation Games
- junior-games-text (1.29)
- Debian Jr. Tekst Spellen
- junior-internet (1.29)
- Debian Jr. Internet tools
- junior-math (1.29)
- Debian Jr. educational math
- junior-programming (1.29)
- Debian Jr. programming
- junior-sound (1.29)
- Debian Jr. sound
- junior-system (1.29)
- Debian Jr. System tools
- junior-tasks (1.29)
- Debian Jr. tasks for tasksel
- junior-toys (1.29)
- Debian Jr. desktop toys
- junior-typing (1.29)
- Debian Jr. typing
- junior-video (1.29)
- Debian Jr. Video
- junior-writing (1.29)
- Debian Jr. writing
- junit (3.8.2-9)
- Automated testing framework for Java
- junit-doc (3.8.2-9)
- Document for JUnit
- junit4 (4.12-8)
- JUnit regression test framework for Java
- junit4-doc (4.12-8)
- JUnit regression test framework for Java - documentation
- jupp (3.1.38-1)
- user friendly full screen text editor
- jupyter (4.4.0-2+deb10u1) [security]
- Interactive computing environment (metapackage)
- jupyter-client (5.2.3-1)
- Jupyter protocol client APIs (tools)
- jupyter-console (5.2.0-1)
- Jupyter terminal client (script)
- jupyter-core (4.4.0-2+deb10u1) [security]
- Core common functionality of Jupyter projects (tools)
- jupyter-nbconvert (5.4-2+deb10u1) [security]
- Jupyter notebook conversion (scripts)
- jupyter-nbextension-jupyter-js-widgets (6.0.0-4)
- Interactive widgets - Jupyter notebook extension
- jupyter-nbformat (4.4.0-1)
- Jupyter notebook format (tools)
- jupyter-notebook (5.7.8-1)
- Jupyter interactive notebook
- jupyter-qtconsole (4.3.1-1)
- Jupyter - Qt console (binaries)
- jupyter-sphinx-theme-common (0.0.6+ds1-6)
- Jupyter Sphinx Theme -- common files
- jupyter-sphinx-theme-doc (0.0.6+ds1-6)
- Jupyter Sphinx Theme -- documentation
- jvim-canna (3.0-2.1b-3+b3)
- Japanized VIM (Canna version)
- jvim-doc (3.0-2.1b-3)
- Documentation for jvim (Japanized VIM)
- jwchat (1.0+dfsg-1.4)
- full featured, web-based Jabber chat client
- jwm (2.3.7-2)
- very small lightweight pure X11 window manager with tray and menus
- jxplorer (3.3.2+dfsg-6)
- Java LDAP Browser
- jython (2.7.1+repack1-4~deb10u1)
- Python seamlessly integrated with Java
- jython-doc (2.7.1+repack1-4~deb10u1)
- Jython documentation including API docs
- jython-stilts (3.1.5-1)
- Starlink Tables Infrastructure Library Tool Set (Jython package)
- jzip (210r20001005d-4+b2)
- Text mode interpreter for Z-Code adventures
- k2pdfopt (2.51+ds-1)
- PDF Reflow tool
- k3b (18.08.1-1)
- Sophisticated CD/DVD burning application
- k3b-data (18.08.1-1)
- Sophisticated CD/DVD burning application - data files
- (18.08.1-1)
- Sophisticated CD/DVD burning application - extra themes
- k3b-i18n (18.08.1-1)
- Sophisticated CD/DVD burning application - localizations files
- k3d (0.8.0.6-8+b3)
- 3D modeling and animation system, binary files
- k3d-data (0.8.0.6-8)
- 3D modeling and animation system, data files
- k4dirstat (3.1.3-1)
- graphical disk usage display with cleanup facilities
- kaccessible (4:17.08.3-1)
- accessibility services for Qt applications
- kaccounts-integration (4:17.08.3-1)
- System to administer web accounts
- kaccounts-providers (4:17.08.3-1)
- KDE providers for accounts sign-on
- kacpimon (1:2.0.31-1)
- Kernel ACPI Event Monitor
- kactivities-bin (5.54.0-1)
- Command Line Tool for KActivities
- kactivitymanagerd (5.14.5-1)
- System service to manage user's activities
- kaddressbook (4:18.08.3-3)
- address book and contact data manager
- kadu (4.1-1.1+b1)
- Gadu-Gadu/XMPP client for X11
- kadu-common (4.1-1.1)
- Gadu-Gadu/XMPP client for X11
- kadu-dev (4.1-1.1+b1)
- Development files needed to compile plugins for kadu
- kadu-themes (4.1-1.1)
- Additional icons and emoticons for Kadu
- kaffeine (2.0.15-2)
- versatile media player for KDE
- kafkacat (1.3.1-1)
- generic producer and consumer for Apache Kafka
- kajongg (4:18.04.1-1)
- classical Mah Jongg game
- kakasi (2.3.6-4)
- KAnji KAna Simple Inverter
- kakasi-dev
- virtueel pakket geboden door libkakasi2-dev
- kakasi-dic (2.3.6-4)
- KAKASI dictionary files
- kakoune (2019.01.20-2)
- Vim-inspired, selection-oriented code editor
- kalarm (4:18.08.3-1)
- alarm message, command and email scheduler
- kalgebra (4:17.08.3-2)
- algebraic graphing calculator
- kalgebra-common (4:17.08.3-2)
- contains files common for kalgebra and kalgebramobile
- kalgebramobile (4:17.08.3-2)
- algebraic graphing calculator for small touch based interfaces
- kali (3.1-18)
- Draw tilings, frieze patterns, and so on
- kalign (1:2.03+20110620-5)
- Global and progressive multiple sequence alignment
- kalternatives (0.13-2)
- graphical alternatives system configuration tool
- kalzium (4:17.08.3-1+b1)
- periodic table and chemistry tools
- kalzium-data (4:17.08.3-1)
- data files for Kalzium
- kamailio (5.2.1-1+deb10u1) [security]
- very fast, dynamic and configurable SIP server
- kamailio-autheph-modules (5.2.1-1+deb10u1) [security]
- authentication using ephemeral credentials for the Kamailio SIP server
- kamailio-berkeley-bin (5.2.1-1+deb10u1) [security]
- Berkeley database module for Kamailio - helper program
- kamailio-berkeley-modules (5.2.1-1+deb10u1) [security]
- Berkeley database module for the Kamailio SIP server
- kamailio-cnxcc-modules (5.2.1-1+deb10u1) [security]
- cnxcc modules for the Kamailio SIP server
- kamailio-cpl-modules (5.2.1-1+deb10u1) [security]
- CPL module (CPL interpreter engine) for the Kamailio SIP server
- kamailio-erlang-modules (5.2.1-1+deb10u1) [security]
- Erlang modules for the Kamailio SIP server
- (5.2.1-1+deb10u1) [security]
- Extra modules for the Kamailio SIP Server
- kamailio-geoip-modules (5.2.1-1+deb10u1) [security]
- geoip module for the Kamailio SIP Server
- kamailio-geoip2-modules (5.2.1-1+deb10u1) [security]
- geoip2 module for the Kamailio SIP Server
- kamailio-ims-modules (5.2.1-1+deb10u1) [security]
- IMS module for the Kamailio SIP server
- kamailio-json-modules (5.2.1-1+deb10u1) [security]
- Json parser and Json-RPC modules for the Kamailio SIP server
- kamailio-kazoo-modules (5.2.1-1+deb10u1) [security]
- kazoo modules for the Kamailio SIP server
- kamailio-ldap-modules (5.2.1-1+deb10u1) [security]
- LDAP modules for the Kamailio SIP server
- kamailio-lua-modules (5.2.1-1+deb10u1) [security]
- app_lua module for Kamailio Lua support
- kamailio-memcached-modules (5.2.1-1+deb10u1) [security]
- interface to the memcached server, for the Kamailio SIP server
- kamailio-mongodb-modules (5.2.1-1+deb10u1) [security]
- mongodb modules for the Kamailio SIP server
- kamailio-mono-modules (5.2.1-1+deb10u1) [security]
- app_mono module for the Kamailio SIP server
- kamailio-mysql-modules (5.2.1-1+deb10u1) [security]
- MySQL database connectivity module for Kamailio
- kamailio-outbound-modules (5.2.1-1+deb10u1) [security]
- SIP Outbound module for the Kamailio SIP server
- kamailio-perl-modules (5.2.1-1+deb10u1) [security]
- Perl extensions and database driver for the Kamailio SIP server
- kamailio-phonenum-modules (5.2.1-1+deb10u1) [security]
- phonenum modules for the Kamailio SIP server
- kamailio-postgres-modules (5.2.1-1+deb10u1) [security]
- PostgreSQL database connectivity module for the Kamailio SIP server
- kamailio-presence-modules (5.2.1-1+deb10u1) [security]
- SIP presence modules for Kamailio
- kamailio-python-modules (5.2.1-1+deb10u1) [security]
- app_python module for the Kamailio SIP server
- kamailio-python3-modules (5.2.1-1+deb10u1) [security]
- The app_python3 module for the Kamailio SIP server
- kamailio-rabbitmq-modules (5.2.1-1+deb10u1) [security]
- RabbitMQ and AMQP integration modules for the Kamailio SIP server
- kamailio-radius-modules (5.2.1-1+deb10u1) [security]
- RADIUS modules for the Kamailio SIP server
- kamailio-redis-modules (5.2.1-1+deb10u1) [security]
- Redis database connectivity module for the Kamailio SIP server
- kamailio-ruby-modules (5.2.1-1+deb10u1) [security]
- The app_ruby module for Kamailio Ruby support
- kamailio-sctp-modules (5.2.1-1+deb10u1) [security]
- SCTP SIP transport module for the Kamailio SIP server
- kamailio-snmpstats-modules (5.2.1-1+deb10u1) [security]
- SNMP AgentX subagent module for the Kamailio SIP server
- kamailio-sqlite-modules (5.2.1-1+deb10u1) [security]
- SQLite database connectivity module for the Kamailio SIP server
- kamailio-systemd-modules (5.2.1-1+deb10u1) [security]
- systemd logging modules for the Kamailio SIP server
- kamailio-tls-modules (5.2.1-1+deb10u1) [security]
- TLS support for the Kamailio SIP server (authentication, transport)
- kamailio-unixodbc-modules (5.2.1-1+deb10u1) [security]
- unixODBC database connectivity module for the Kamailio SIP server
- kamailio-utils-modules (5.2.1-1+deb10u1) [security]
- Utility functions for the Kamailio SIP server
- kamailio-websocket-modules (5.2.1-1+deb10u1) [security]
- WebSocket module for the Kamailio SIP server
- kamailio-xml-modules (5.2.1-1+deb10u1) [security]
- XML based extensions for Kamailio's Management Interface
- kamailio-xmlrpc-modules
- virtueel pakket geboden door kamailio-xml-modules
- kamailio-xmpp-modules (5.2.1-1+deb10u1) [security]
- XMPP gateway module for the Kamailio SIP server
- kamcli (1.1.0-2)
- Kamailio Command Line Interface Control Tool
- kamera (4:17.08.3-1)
- digital camera support for KDE applications
- kamerka (0.8.1-1+b2)
- shiny photo taking application
- kanadic (6.5deb2-12)
- katakana and hiragana drill files for KDrill
- kanagram (4:18.04.0-1)
- jumble word puzzle
- kanatest (0.4.8-4)
- beginner's drill game to learn Japanese kana characters
- kanboard-cli (0.0.2-1)
- kanboard command line client
- kanif (1.2.2-2)
- cluster management and administration swiss army knife
- kanjidic (2019.02.17-1)
- Kanji Dictionary
- kanjidic-xml (2019.02.17-1)
- Kanji Dictionary in XML format
- kanjipad (2.0.0-8+b1)
- handwriting recognition tool for Kanji
- kannel (1.4.5-2+deb10u1)
- WAP and SMS gateway
- kannel-dev (1.4.5-2+deb10u1)
- WAP and SMS gateway headers and development files
- kannel-docs (1.4.5-2+deb10u1)
- WAP and SMS gateway documentation
- (1.4.5-2+deb10u1)
- WAP and SMS gateway extras
- kannel-sqlbox (0.7.2-5+b1)
- SQL helper application for Kannel WAP and SMS gateway
- kanyremote (6.4-2)
- KDE frontend for anyRemote
- kapidox (5.54.0-1)
- API documentation generation tool for KDE frameworks
- kapman (4:18.04.1-1)
- Pac-Man clone
- kapptemplate (4:18.04.0-1)
- application template generator
- karbon (1:3.1.0+dfsg-5)
- vector graphics application for the Calligra Suite
- karlyriceditor (1.11-2.1+b1)
- Karaoke lyrics editor
- karma-tools (0.1.2-4)
- Rio Karma access library [tools]
- kasumi (2.5-9)
- Simple dictionary utility for Anthy
- katarakt (0.2-2)
- simple PDF viewer with two layouts
- kate (4:18.08.0-1)
- powerful text editor
- kate-data (4:4.14.3-5)
- shared data files for Kate text editor
- kate5-data (4:18.08.0-1)
- shared data files for Kate text editor
- katepart (4:4.14.3-5)
- embeddable text editor component
- katomic (4:18.04.1-1)
- atomix puzzle game
- kawari8 (8.2.8-8+b2)
- Pseudo AI Shiori module used to create ghosts for Ukagaka
- kazam (1.4.5-2.1)
- screencast and screenshot application created with design in mind
- kbackup (18.12.1-1)
- Easy to use backup program
- kball (0.0.20041216-10+b1)
- game of skill and reflexes for all the family
- kball-data (0.0.20041216-10)
- game of skill and reflexes for all the family - data files
- kbd (2.0.4-4)
- Linux console font and keytable utilities
- kbd-chooser (1.71)
- Detect a keyboard and select layout
- kbd-udeb (2.0.4-4)
- Linux console keyboard setup utilities
- kbdd (0.6-4+b1)
- Per-window keyboard layout switching daemon for X
- kbibtex (0.8.1-1+b1)
- BibTeX editor for KDE
- kbibtex-data (0.8.1-1)
- BibTeX editor for KDE -- common data
- kblackbox (4:18.04.1-1)
- Black Box puzzle game
- kblocks (4:18.04.1-1)
- falling blocks game
- kboot-utils (0.4-1)
- helper tools to generate a kboot.conf file
- kbounce (4:18.04.1-1)
- Jezzball arcade game
- kbreakout (4:18.04.1-1)
- ball and paddle game
- kbruch (4:17.08.3-1)
- fraction learning aid for KDE
- kbtin (1.0.19-2)
- tintin++ style text-based MUD client
- kbuild (1:0.1.9998svn3293+dfsg-2)
- framework for writing simple makefiles for complex tasks
- kcachegrind (4:17.08.3-2)
- visualisation tool for the Valgrind profiler
- kcachegrind-converters (4:17.08.3-2)
- format converters for KCachegrind profiler visualisation tool
- kcalc (4:18.04.1-1)
- simple and scientific calculator
- kcapi-tools (1.1.3-1)
- Command-line tools for Linux Kernel Crypto API
- kcc (2.3-12.1+b1)
- Kanji code filter
- kcemu (0.5.1+git20141014+dfsg-2+b1) [contrib]
- KC 85/4 emulator
- kcemu-common (0.5.1+git20141014+dfsg-2) [contrib]
- KC 85/4 emulator - common files
- kcharselect (4:18.04.1-1)
- special character utility
- kcheckers (0.8.1-4)
- Checkers boardgame
- kchmviewer (7.7-1)
- CHM viewer for KDE
- kcollectd (0.9-5+b1)
- simple collectd graphing front-end for KDE
- kcolorchooser (4:18.04.1-1)
- color chooser and palette editor
- kconfig-frontends (4.11.0.1+dfsg-2)
- Standalone implementation of the Linux Kconfig parser and frontend
- kcptun (20190109+ds-1+b11)
- Simple UDP Tunnel Based On KCP
- kdbg (2.5.5-3)
- graphical debugger interface
- kdc2tiff (0.35-10)
- convert Kodac kdc files to jpeg or tiff
- kde-baseapps (4:17.08.3+5.102)
- base applications from the official KDE release (metapackage)
- kde-cli-tools (4:5.14.5-1)
- tools to use KDE services from the command line
- kde-cli-tools-data (4:5.14.5-1)
- tools to use kioslaves from the command line
- kde-config-cddb (4:17.08.3-3)
- CDDB retrieval configuration
- kde-config-cron (4:17.08.3-2)
- program scheduler frontend
- kde-config-fcitx (0.5.5-2)
- KDE configuration module for Fcitx
- kde-config-gtk-style (4:5.14.5-1)
- KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection
- kde-config-gtk-style-preview (4:5.14.5-1)
- KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection (extras)
- kde-config-mailtransport (18.08.3-2)
- mail transport service KCM
- kde-config-plymouth (5.14.5-1)
- KCM for Plymouth
- kde-config-screenlocker (5.14.5-1)
- KCM Module for kscreenlocker
- kde-config-sddm (4:5.14.5-1)
- KCM module for SDDM
- kde-config-systemd (1.2.1-3)
- KDE control center module for Systemd
- kde-config-tablet (3.1.1-1)
- implements a KDE configuration GUI for the Wacom drivers
- kde-config-telepathy-accounts (17.08.3-1)
- KDE Control Module for managing Telepathy Accounts
- kde-config-touchpad (4:5.14.5.1-1)
- transitional dummy package
- kde-full (5:102)
- complete KDE Software Compilation for end users
- kde-l10n
- virtueel pakket geboden door kde-l10n-hi, kde-l10n-ca, kde-l10n-nl, kde-l10n-ia, kde-l10n-nb, kde-l10n-pl, kde-l10n-da, kde-l10n-hr, kde-l10n-bs, kde-l10n-lv, kde-l10n-zhtw, kde-l10n-wa, kde-l10n-sk, kde-l10n-lt, kde-l10n-fa, kde-l10n-it, kde-l10n-ptbr, kde-l10n-et, kde-l10n-ja, kde-l10n-nds, kde-l10n-kk, kde-l10n-sv, kde-l10n-he, kde-l10n-mr, kde-l10n-zhcn, kde-l10n-ga, kde-l10n-hu, kde-l10n-tr, kde-l10n-sl, kde-l10n-ast, kde-l10n-ko, kde-l10n-ar, kde-l10n-sr, kde-l10n-el, kde-l10n-pa, kde-l10n-bg, kde-l10n-de, kde-l10n-ru, kde-l10n-cavalencia, kde-l10n-gl, kde-l10n-eu, kde-l10n-fr, kde-l10n-is, kde-l10n-ug, kde-l10n-id, kde-l10n-km, kde-l10n-pt, kde-l10n-es, kde-l10n-eo, kde-l10n-nn, kde-l10n-cs, kde-l10n-engb, kde-l10n-fi, kde-l10n-ro, kde-l10n-uk
- kde-l10n-ar (4:17.08.3-5)
- Arabic (ar) localization files for KDE
- kde-l10n-ast (4:17.08.3-5)
- Asturian (ast) localization files for KDE
- kde-l10n-bg (4:17.08.3-5)
- Bulgarian (bg) localization files for KDE
- kde-l10n-bs (4:17.08.3-5)
- Bosnian (bs) localization files for KDE
- kde-l10n-ca (4:17.08.3-5)
- Catalan (ca) localization files for KDE
- kde-l10n-cavalencia (4:17.08.3-5)
- Southern Catalan (Valencian) (ca@valencia) files for KDE
- kde-l10n-cs (4:17.08.3-5)
- Czech (cs) localization files for KDE
- kde-l10n-da (4:17.08.3-5)
- Danish (da) localization files for KDE
- kde-l10n-de (4:17.08.3-5)
- German (de) localization files for KDE
- kde-l10n-el (4:17.08.3-5)
- Greek (el) localization files for KDE
- kde-l10n-engb (4:17.08.3-5)
- British English (en_GB) localization files for KDE
- kde-l10n-eo (4:17.08.3-5)
- Esperanto (eo) localization files for KDE
- kde-l10n-es (4:17.08.3-5)
- Spanish (es) localization files for KDE
- kde-l10n-et (4:17.08.3-5)
- Estonian (et) localization files for KDE
- kde-l10n-eu (4:17.08.3-5)
- Basque (eu) localization files for KDE
- kde-l10n-fa (4:17.08.3-5)
- Farsi (fa) localization files for KDE
- kde-l10n-fi (4:17.08.3-5)
- Finnish (fi) localization files for KDE
- kde-l10n-fr (4:17.08.3-5)
- French (fr) localization files for KDE
- kde-l10n-ga (4:17.08.3-5)
- Irish Gaelic (ga) localization files for KDE
- kde-l10n-gl (4:17.08.3-5)
- Galician (gl) localization files for KDE
- kde-l10n-he (4:17.08.3-5)
- Hebrew (he) localization files for KDE
- kde-l10n-hi (4:17.08.3-5)
- Hindi (hi) localization files for KDE
- kde-l10n-hr (4:17.08.3-5)
- Croatian (hr) localization files for KDE
- kde-l10n-hu (4:17.08.3-5)
- Hungarian (hu) localization files for KDE
- kde-l10n-ia (4:17.08.3-5)
- Interlingua (ia) localization files for KDE
- kde-l10n-id (4:17.08.3-5)
- Indonesian (id) localization files for KDE
- kde-l10n-is (4:17.08.3-5)
- Icelandic (is) localization files for KDE
- kde-l10n-it (4:17.08.3-5)
- Italian (it) localization files for KDE
- kde-l10n-ja (4:17.08.3-5)
- Japanese (ja) localization files for KDE
- kde-l10n-kk (4:17.08.3-5)
- Kazakh (kk) localization files for KDE
- kde-l10n-km (4:17.08.3-5)
- Khmer (km) localization files for KDE
- kde-l10n-ko (4:17.08.3-5)
- Korean (ko) localization files for KDE
- kde-l10n-lt (4:17.08.3-5)
- Lithuanian (lt) localization files for KDE
- kde-l10n-lv (4:17.08.3-5)
- Latvian (lv) localization files for KDE
- kde-l10n-mr (4:17.08.3-5)
- Marathi (mr) localization files for KDE
- kde-l10n-nb (4:17.08.3-5)
- Norwegian Bookmal (nb) localization files for KDE
- kde-l10n-nds (4:17.08.3-5)
- Low Saxon (nds) localization files for KDE
- kde-l10n-nl (4:17.08.3-5)
- Dutch (nl) localization files for KDE
- kde-l10n-nn (4:17.08.3-5)
- Norwegian Nynorsk (nn) localization files for KDE
- kde-l10n-pa (4:17.08.3-5)
- Punjabi (pa) localization files for KDE
- kde-l10n-pl (4:17.08.3-5)
- Polish (pl) localization files for KDE
- kde-l10n-pt (4:17.08.3-5)
- Portuguese (pt) localization files for KDE
- kde-l10n-ptbr (4:17.08.3-5)
- Brazilian Portuguese (pt_BR) localization files for KDE
- kde-l10n-ro (4:17.08.3-5)
- Romanian (ro) localization files for KDE
- kde-l10n-ru (4:17.08.3-5)
- Russian (ru) localization files for KDE
- kde-l10n-sk (4:17.08.3-5)
- Slovakian (sk) localization files for KDE
- kde-l10n-sl (4:17.08.3-5)
- Slovenian (sl) localization files for KDE
- kde-l10n-sr (4:17.08.3-5)
- Serbian (sr) localization files for KDE
- kde-l10n-sv (4:17.08.3-5)
- Swedish (sv) localization files for KDE
- kde-l10n-tr (4:17.08.3-5)
- Turkish (tr) localization files for KDE
- kde-l10n-ug (4:17.08.3-5)
- Uygur (ug) localization files for KDE
- kde-l10n-uk (4:17.08.3-5)
- Ukrainian (uk) localization files for KDE
- kde-l10n-wa (4:17.08.3-5)
- Walloon (wa) localization files for KDE
- kde-l10n-zhcn (4:17.08.3-5)
- Chinese Simplified (zh_CN) localization files for KDE
- kde-l10n-zhtw (4:17.08.3-5)
- Chinese Traditional (zh_TW) localization files for KDE
- kde-plasma-desktop (5:102)
- KDE Plasma Desktop and minimal set of applications
- kde-runtime (4:17.08.3-2.1)
- runtime components from the official KDE release
- kde-runtime-data (4:17.08.3-2.1)
- shared data files for the KDE base runtime module
- kde-sc-dev-latest (4:4.12+5.102)
- ensure that the latest KDE Development Platform is installed
- kde-software-compilation
- virtueel pakket geboden door kde-full
- kde-spectacle (18.04.0-1)
- Screenshot capture utility, replaces KSnapshot
- kde-standard (5:102)
- KDE Plasma Desktop and standard set of applications
- kde-style-breeze (4:5.14.5-1)
- Widget style for Qt and KDE Software
- kde-style-breeze-qt4 (4:5.14.5-1)
- Widget style for Qt 4 and KDE Software
- kde-style-oxygen (4:5.14.5-1)
- transitional dummy package
- kde-style-oxygen-qt4 (4:5.14.5-1)
- Qt 4 decoration for the Oxygen desktop theme
- kde-style-oxygen-qt5 (4:5.14.5-1)
- Qt decoration for the Oxygen desktop theme
- kde-style-qtcurve
- virtueel pakket geboden door kde-style-qtcurve-qt4
- kde-style-qtcurve-qt4 (1.9-2+b2)
- QtCurve widget style for applications based on Qt 4.x
- kde-style-qtcurve-qt5 (1.9-2+b2)
- QtCurve widget style for applications based on Qt 5.x
- kde-telepathy-approver (17.08.3-2)
- KDED module for approving incoming conversations
- kde-telepathy-auth-handler (17.08.3-2)
- KDE Telepathy authentication handler
- kde-telepathy-call-ui (17.08.3-1)
- KDE Telepathy UI for audio/video calls
- kde-telepathy-data (17.08.3-1)
- Shared data for all the KDE Telepathy components
- kde-telepathy-debugger (17.08.3-1)
- Debugging tool for KDE Telepathy
- kde-telepathy-desktop-applets (17.08.3-2.1)
- KDE Telepathy contact plasmoid
- kde-telepathy-filetransfer-handler (17.08.3-1)
- KDE Telepathy file transfer handler
- kde-telepathy-integration-module (17.08.3-1)
- Telepathy integration module for the KDE Workspace
- kde-telepathy-kaccounts (17.08.3-1)
- kaccounts plugins for kde-telepathy
- kde-telepathy-kpeople (17.08.3-1)
- kpeople plugins for kde-telepathy
- kde-telepathy-send-file (17.08.3-1)
- KDE Telepathy dolphin/konqueror integration plugin
- kde-telepathy-text-ui (17.08.3-2)
- Telepathy text chat UI for the KDE Plasma Desktop
- kde-thumbnailer-deb (3.0.4-1)
- KDE plugin to show thumbnails of Debian package files
- kde-zeroconf (4:17.12.2-1)
- zeroconf plugins and kio slaves for KDE
- kdeaccessibility (4:17.08.3+5.102)
- accessibility packages from the official KDE release
- kdeadmin (4:17.08.3+5.102)
- system administration tools from the official KDE release
- kdebase-runtime (4:17.08.3-2.1)
- Transitional package for the KDE runtime components
- kdebugsettings (18.04.1-1)
- application to enable/disable qCDebug settings
- kdeconnect (1.3.3-2)
- connect smartphones to your KDE Plasma Workspace
- kded5 (5.54.0-1)
- Extensible daemon for providing session services
- kded5-dev (5.54.0-1)
- Extensible daemon for providing session services
- kdeedu (4:17.08.3+5.102)
- educational applications from the official KDE release
- kdeedu-data (4:17.08.3-2)
- data files for KDE education applications
- kdeedu-kvtml-data (4:17.08.3-2)
- KVTML files for KDE-Edu programs
- kdegames (4:17.08.3+5.102)
- games from the official KDE release
- kdegames-card-data-kf5 (4:18.04.0-1)
- card decks for KDE games
- kdegames-mahjongg-data-kf5 (4:17.08.3-1)
- tilesets and backgrounds for Mahjongg games
- kdegraphics (4:17.08.3+5.102)
- graphics applications from the official KDE release
- kdegraphics-mobipocket (4:17.08.3-2)
- mobipocket thumbnail plugin
- kdegraphics-thumbnailers (4:17.08.3-1)
- graphics file format thumbnailers for KDE SC
- kdelibs-bin (4:4.14.38-3)
- core executables for KDE Applications
- kdelibs5-data (4:4.14.38-3)
- core shared data for all KDE Applications
- kdelibs5-dev (4:4.14.38-3)
- development files for the KDE Development Platform libraries
- kdelibs5-plugins (4:4.14.38-3)
- core plugins for KDE Applications
- kdemultimedia (4:17.08.3+5.102)
- multimedia applications from the official KDE release
- kdenetwork (4:17.08.3+5.102)
- networking applications from the official KDE release
- kdenetwork-filesharing (4:17.08.3-1+b1)
- network filesharing configuration module
- kdenlive (18.12.3-1)
- non-linear video editor
- kdenlive-data (18.12.3-1)
- non-linear video editor (data files)
- kdepim (4:17.12.3+5.102)
- Personal Information Management apps from the official KDE release
- kdepim-addons (18.08.3-2)
- Addons for KDE PIM applications
- kdepim-doc (4:18.08.3-1)
- transitional package for kmail
- kdepim-runtime (4:18.08.3-4)
- runtime components for Akonadi KDE
- kdepim-themeeditors (4:18.08.3-1)
- Theme Editors for KDE PIM applications
- kdepimlibs-kio-plugins (4:4.14.10-11)
- kio slaves used by KDE PIM applications
- kdepimlibs5-dev (4:4.14.10-11)
- development files for the KDE Development Platform PIM libraries
- kdeplasma-addons-data (4:5.14.5.1-1)
- locale files for kdeplasma-addons
- kdesdk (4:17.08.3+5.102)
- Software Development Kit from the official KDE release
- kdesdk-dolphin-plugins (4:18.08.0-1)
- transitional dummy package for dolphin-plugins
- kdesdk-kio-plugins (4:17.08.3-2)
- transitional package for kio-perldoc
- kdesdk-scripts (4:18.08.0-1)
- scripts and data files for development
- kdesdk-thumbnailers (4:17.08.3-1)
- kdesdk file format thumbnailers for KDE
- kdesignerplugin (5.54.0-1)
- Integration of KF5 widgets in Qt Designer/Creator
- kdesignerplugin-data (5.54.0-1)
- Integration of KF5 widgets in Qt Designer/Creator
- kdesvn (2.0.0-5)
- Subversion client with tight KDE integration
- kdesvn-kio-plugins (2.0.0-5)
- Subversion I/O slaves for KDE
- kdetoys (4:17.08.3+5.102)
- desktop toys from the official KDE release
- kdeutils (4:17.08.3+5.102)
- general-purpose utilities from the official KDE release
- kdevelop (4:5.3.1-3)
- integrated development environment for C/C++ and other languages
- kdevelop-data (4:5.3.1-3)
- data files for the KDevelop IDE
- kdevelop-dev (4:5.3.1-3)
- development files for the KDevelop IDE
- kdevelop-l10n (4:5.3.1-3)
- localization files for the KDevelop IDE
- kdevelop-pg-qt (2.1.0-1)
- LL(1) parser generator based on Qt
- kdevelop-php (5.3.1-2)
- PHP plugin for KDevelop
- kdevelop-php-l10n (5.3.1-2)
- localization files for KDevelop PHP plugin
- kdevelop-python (5.3.1-2)
- Python 3 plugin for KDevelop
- kdevelop-python-data (5.3.1-2)
- Python 3 plugin for KDevelop - data files
- kdevelop-python-l10n (5.3.1-2)
- localization files for KDevelop Python 3 plugin
- kdevelop53-libs (4:5.3.1-3)
- shared libraries for the KDevelop platform
- kdevplatform-dev (4:5.3.1-3)
- transitional package for kdevelop-dev
- kdevplatform-l10n (4:5.3.1-3)
- transitional package for kdevelop-l10n
- kdewebdev (4:17.08.3+5.102)
- web development apps from the official KDE release
- kdf (4:18.04.1-1)
- disk information utility
- kdialog (4:17.08.3-2)
- Dialog display utility
- kdiamond (4:18.04.1-1)
- three-in-a-row game
- kdiff3 (1.7.90-3)
- 2 of 3 bestanden of mappen vergelijken en samenvoegen
- kdiff3-doc (1.7.90-3)
- documentation for kdiff3
- kdiff3-qt (1.7.90-3)
- transistional package - QT version is replaced by KDE version 'kdiff3'
- kdocker (5.0-1+b1)
- lets you dock any application into the system tray
- kdoctools (4:4.14.38-3)
- various tools for accessing application documentation
- kdoctools-dev (5.54.0-1)
- transitional dummy package
- kdoctools5 (5.54.0-1)
- Tools to generate documentation in various formats from DocBook
- kdrill (6.5deb2-12)
- kanji drill and dictionary program
- kdump-tools (1:1.6.5-1)
- scripts and tools for automating kdump (Linux crash dumps)
- keditbookmarks (17.08.3-2)
- Bookmark Editor utility
- keepalived (1:2.0.10-1+deb10u1) [security]
- Failover and monitoring daemon for LVS clusters
- keepass2 (2.41+dfsg-1)
- Password manager
- keepass2-doc (2.41+dfsg-1)
- Password manager - Documentation
- keepass2-plugin-keepasshttp (1.8.4.2+dfsg1-2)
- KeePass2 plugin to expose password entries securely over HTTP
- keepassx (2.0.3-2)
- Cross Platform Password Manager
- keepassxc (2.3.4+dfsg.1-1)
- Cross Platform Password Manager
- keepnote (0.7.8-1.1)
- cross-platform note-taking and organization application
- kelbt (0.16-2)
- backtracking LR parser generator
- kephra (0.4.3.34+dfsg-2)
- gui text editor along Perl alike Paradigms
- keras-doc (2.2.4-1)
- CPU/GPU math expression compiler for Python (docs)
- kernel-image
- virtueel pakket geboden door kernel-image-4.19.0-20-686-pae-di, kernel-image-4.19.0-21-686-pae-di, kernel-image-4.19.0-20-arm64-di, kernel-image-4.19.0-21-armmp-di, kernel-image-4.19.0-20-amd64-di, kernel-image-4.19.0-21-686-di, kernel-image-4.19.0-21-arm64-di, kernel-image-4.19.0-20-686-di, kernel-image-4.19.0-20-armmp-di, kernel-image-4.19.0-21-amd64-di
- kernel-image-4.19.0-20-686-di (4.19.235-1)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-20-686-pae-di (4.19.235-1)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-20-amd64-di (4.19.235-1)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-20-arm64-di (4.19.235-1)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-20-armmp-di (4.19.235-1)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-21-686-di (4.19.249-2)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-21-686-pae-di (4.19.249-2)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-21-amd64-di (4.19.249-2)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-21-arm64-di (4.19.249-2)
- Linux kernel image and core modules for the Debian installer
- kernel-image-4.19.0-21-armmp-di (4.19.249-2)
- Linux kernel image and core modules for the Debian installer
- kernel-installer
- virtueel pakket geboden door live-installer, bootstrap-base
- kernel-wedge (2.99)
- udeb package builder for Debian-Installer
- kernelshark (2.6.1-0.1)
- Utilities for graphically analyzing function tracing in the kernel
- kerneltop (0.91-2+b1)
- shows Linux kernel function usage in a style like top
- ketchup (1.0.1+git20111228+e1c62066-2)
- update utility for linux-kernel sources
- ketm (0.0.6-24+b1)
- old school 2D-scrolling shooter
- ketm-data (0.0.6-24)
- graphics and audio data for ketm
- keurocalc (1.2.3-3)
- universal currency converter and calculator - binary package
- keurocalc-data (1.2.3-3)
- universal currency converter and calculator - data package
- kexec-tools (1:2.0.18-1)
- tools to support fast kexec reboots
- kexec-tools-udeb (1:2.0.18-1)
- tools to support fast kexec reboots (udeb)
- kexi (1:3.1.0-4)
- visual database applications builder
- kexi-data (1:3.1.0-4)
- data files for kexi
- kexi-mysql-driver (1:3.1.0-4)
- MySQL support for kexi
- kexi-postgresql-driver (1:3.1.0-4)
- PostgreSQL support for kexi
- kexi-web-form-widget (1:3.1.0-4)
- web form widget for Kexi
- key-mon (1.17-1)
- Utility to show live keyboard and mouse status
- key2odp (0.9.6-2)
- Keynote to OpenDocument converter
- keyanalyze
- virtueel pakket geboden door signing-party
- keybinder-3.0-doc (0.3.2-1)
- registers global key bindings for applications - Gtk+3 - documentation
- keybinder-doc (0.3.1-1)
- registers global key bindings for applications - documentation
- keyboard-configuration (1.193~deb10u1)
- system-wide keyboard preferences
- keyboard-setup
- virtueel pakket geboden door console-setup-udeb, kbd-chooser
- keyboards-rg (0.3)
- Various keyboard layouts for X-window and linux console
- keychain (2.8.5-1)
- key manager for OpenSSH
- keyjnote
- virtueel pakket geboden door impressive
- keylaunch (1.3.9+b1 [armhf], 1.3.9 [amd64, arm64, i386])
- A small utility for binding commands to a hot key
- keyman (11.0.103-2)
- Type in your language with Keyman for Linux
- keymapper (0.5.3-12)
- Keyboard map decision tree builder and interpreter
- keynav (0.20180421~git6505bd0d-2)
- keyboard-driven mouse cursor mover
- keyringer (0.5.3-1)
- Distributed secret management using GnuPG and Git
- keystone (2:14.2.0-0+deb10u2) [security]
- OpenStack identity service
- keystone-doc (2:14.2.0-0+deb10u2) [security]
- OpenStack identity service - documentation
- keysync (0.2.2-2)
- Syncs OTR identities between the different chat programs
- keytouch-editor (1:3.2.0~beta-3+b2)
- create keyboard files for keytouch
- keyutils (1.6-6)
- Linux Key Management Utilities
- kf5-kdepim-apps-libs-data (4:18.08.3-2)
- KDE PIM mail related libraries, data files
- kf5-messagelib-data (4:18.08.3-2)
- KDE PIM messaging library, data files
- kfind (4:17.08.3-2)
- file search utility
- kfloppy (4:18.04.1-1)
- floppy formatter
- kfourinline (4:18.04.1-1)
- Connect Four game
- kfreebsd-source
- virtueel pakket geboden door kfreebsd-source-10.3
- kfreebsd-source-10
- virtueel pakket geboden door kfreebsd-source-10.3
- kfreebsd-source-10.3 (10.3~svn300087-5)
- source code for kernel of FreeBSD 10.3 with Debian patches
- kgamma5 (5.14.5-1)
- monitor calibration panel for KDE
- kgb (1.0b4+ds-14)
- Archiver for .kgb files
- kgb-bot (1.54-1)
- IRC collaboration bot
- kgb-client (1.54-1)
- client for KGB (IRC collaboration bot)
- kgendesignerplugin (5.54.0-1)
- Integration of KF5 widgets in Qt Designer/Creator
- kgendesignerplugin-bin (5.54.0-1)
- Integration of KF5 widgets in Qt Designer/Creator (bin files)
- kgeography (4:17.08.3-1)
- geography learning aid for KDE
- kgeography-data (4:17.08.3-1)
- data files for KGeography
- kget (4:18.12.0-1)
- download manager
- kgoldrunner (4:18.04.1-1)
- Lode Runner arcade game
- kgpg (4:18.08.3-1)
- graphical front end for GNU Privacy Guard
- khal (1:0.9.10-1.1)
- Standards based CLI and terminal calendar program
- khal-doc (1:0.9.10-1.1)
- Standards based CLI and terminal calendar program - documentation
- khangman (4:17.08.3-2)
- Hangman word puzzle
- khard (0.13.0-1)
- address book for the Linux console
- khelpcenter (4:18.04.0-1)
- KDE documentation viewer
- khmer (2.1.2+dfsg-6)
- in-memory DNA sequence kmer counting, filtering & graph traversal
- khmer-common (2.1.2+dfsg-6)
- common files for the khmer project tools
- khmerconverter (1.4-1.2)
- converts between legacy Khmer encodings and Unicode
- khotkeys (4:5.14.5-1)
- configure input actions settings
- khotkeys-data (4:5.14.5-1)
- configure input actions settings
- khotkeys-dev (4:5.14.5-1)
- configure input actions settings
- khronos-api (4.6+git20180514-1)
- Khronos XML API Registry
- kic (2.4a-2) [non-free]
- Enhanced KIC layout editor
- kicad (5.0.2+dfsg1-1+deb10u1) [security]
- Electronic schematic and PCB design software
- kicad-common (5.0.2+dfsg1-1+deb10u1) [security]
- Old common files used by kicad - Transitional Package
- kicad-common
- virtueel pakket geboden door kicad-libraries, kicad-demos
- kicad-demos (5.0.2+dfsg1-1+deb10u1) [security]
- Demo projects for kicad
- kicad-doc-ca (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Catalan)
- kicad-doc-de (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (German)
- kicad-doc-en (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (English)
- kicad-doc-es (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Spanish)
- kicad-doc-fr (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (French)
- kicad-doc-id (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Indonesian)
- kicad-doc-it (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Italian)
- kicad-doc-ja (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Japanese)
- kicad-doc-nl (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Dutch)
- kicad-doc-pl (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Polish)
- kicad-doc-ru (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Russian)
- kicad-doc-zh (5.0.2+dfsg1-1+deb10u1) [security]
- Kicad help files (Chinese)
- (5.0.2-1)
- Footprint symbols for KiCad's Pcbnew
- kicad-libraries (5.0.2+dfsg1-1+deb10u1) [security]
- Virtual package providing common used libraries by kicad
- kicad-packages3d (5.0.2-1)
- 3D models for 3D viewer in KiCad's Pcbnew and Footprint Editor
- kicad-symbols (5.0.2-1)
- Schematic symbols for KiCad's Eeschema
- kicad-templates (5.0.2-1)
- Project templates for KiCad
- kickpass (0.2.0-1)
- simple password safe
- kickseed-common (0.63)
- Common files for Kickstart compatibility
- kid3 (3.7.0-2)
- KDE audio tag editor
- kid3-cli (3.7.0-2)
- Command line audio tag editor
- kid3-core (3.7.0-2)
- Audio tag editor core libraries and data
- kid3-qt (3.7.0-2)
- Audio tag editor
- kig (4:17.08.3-1+b1)
- interactive geometry tool
- kigo (4:18.04.1-1)
- go game
- kiki (0.5.6-8.1)
- tool for python regular expression testing
- kiki-the-nano-bot (1.0.2+dfsg1-8)
- 3D puzzle game, mixing Sokoban and Kula-World
- kiki-the-nano-bot-data (1.0.2+dfsg1-8)
- Kiki the nano bot - game data
- kildclient (3.2.0-2+b1)
- powerful MUD client with a built-in Perl interpreter
- kildclient-doc (3.2.0-2)
- powerful MUD client with a built-in Perl interpreter - manual
- kile (4:2.9.92-2)
- In KDE geïntegreerde LaTeX-omgeving
- kile-doc (4:2.9.92-2)
- KDE Integrated LaTeX Environment (documentation)
- kile-l10n (4:2.9.92-2)
- In KDE geïntegreerde LaTeX-omgeving (localisatie)
- killbots (4:18.04.1-1)
- port of the classic BSD console game robots
- killer (0.90-13)
- Background job killer
- kimageformat-plugins (5.54.0-1)
- additional image format plugins for QtGui
- kimagemapeditor (4:18.12.0-1)
- HTML image map editor
- kimwitu (4.6.1-7.2+b1)
- Compiler development tool, complementary to lex and yacc
- kindleclip (0.6-1)
- User interface for managing Amazon Kindle's "My Clippings" file
- kinect-audio-setup (0.5-1+b1) [contrib]
- Microsoft Kinect sensor audio setup helpers
- kineticstools (0.6.1+git20180425.27a1878-2)
- detection of DNA modifications
- kineticstools-data (0.6.1+git20180425.27a1878-2)
- detection of DNA modifications -- data files
- kinfocenter (4:5.14.5-1)
- system information viewer
- king (2.23.161103+dfsg1-3)
- interactive system for three-dimensional vector graphics
- king-probe (2.16.160404+git20180613.a09b012-1)
- Evaluate and visualize protein interatomic packing
- kinit (5.54.0-1)
- process launcher to speed up launching KDE applications
- kinit-dev (5.54.0-1)
- process launcher to speed up launching KDE applications
- kino (1.3.4+dfsg0-1)
- Niet-lineaire video-editor voor digitale videobestanden
- kino-dvtitler
- virtueel pakket geboden door kino
- kino-timfx
- virtueel pakket geboden door kino
- kinoplus
- virtueel pakket geboden door kino
- kinput2-canna (3.1-13+b1)
- input server for X11 applications that want Japanese text input
- kinput2-canna-wnn (3.1-13+b1)
- input server for X11 applications that want Japanese text input
- kinput2-common (3.1-13)
- Files shared among kinput2 packages
- kinput2-wnn (3.1-13+b1)
- input server for X11 applications that want Japanese text input
- kio (5.54.1-1)
- resource and network access abstraction
- kio-audiocd (4:17.08.3-1)
- transparent audio CD access for applications using the KDE Platform
- kio-audiocd-dev (4:17.08.3-1)
- development files for the audio CD KIO plugin
- kio-dev (5.54.1-1)
- transitional dummy package
- (4:18.08.3-1)
- Extra functionality for kioslaves.
- (4:18.08.3-1)
- Extra functionality for kioslaves data files.
- kio-gdrive (1.2.5+fixedtarball-1)
- KIO access for GDrive
- kio-gopher (0.1.99-2)
- gopher KIO slave
- kio-ldap (18.08.3-1)
- library for accessing LDAP - kio slave
- kio-perldoc (4:17.08.3-2)
- Perl documentation KIO slave
- kio-sieve (4:18.08.3-2+deb10u1) [security]
- Sieve mail filtering language support for kdepim, kio slave
- kipi-plugins (4:5.9.0-1+b1)
- image manipulation/handling plugins for KIPI aware programs
- kipi-plugins-common (4:5.9.0-1)
- kipi-plugins architecture-independent data
- kirigami2-dev (5.54.0-1)
- set of QtQuick components targeted for mobile use
- kiriki (4:18.04.1-1)
- Yahtzee dice game
- kism3d (0.2.2-16)
- 802.11 visualizer for s3d
- kismet (2016.07.R1-1+b1)
- wireless sniffer and monitor - core
- kismet-plugins (2016.07.R1-1+b1)
- wireless sniffer and monitor - plugins
- kissplice (2.4.0-p1-4)
- Detection of various kinds of polymorphisms in RNA-seq data
- kiten (4:17.08.3-1)
- Japanese reference and study aid for KDE
- kitty (0.13.3-1+deb10u1)
- fast, featureful, GPU based terminal emulator
- kitty-doc (0.13.3-1+deb10u1)
- fast, featureful, GPU based terminal emulator (documentation)
- kitty-terminfo (0.13.3-1+deb10u1)
- fast, featureful, GPU based terminal emulator (terminfo file)
- kjots (4:5.0.2-1+b2)
- note-taking utility
- kjumpingcube (4:18.04.1-1)
- simple tactical game
- klatexformula (4.0.0-4)
- GUI to easily get an image from a LaTeX formula or equation
- klaus (1.3.0-2)
- simple easy-to-set-up Git web viewer
- klavaro (3.03-2)
- Flexible touch typing tutor
- kleopatra (4:18.08.3-1)
- Certificate Manager and Unified Crypto GUI
- klettres (4:17.08.3-2)
- foreign alphabet tutor for KDE
- klettres-data (4:17.08.3-2)
- data files for KLettres foreign alphabet tutor
- klibc-utils (2.0.6-1+deb10u1)
- small utilities built with klibc for early boot
- klick (0.12.2-4)
- advanced metronome for JACK
- klickety (4:18.04.1-1)
- SameGame puzzle game
- klines (4:18.04.1-1)
- color lines game
- klog (0.9.6-1)
- Multiplatform ham radio logging program
- klone (2.1.0~rc1-1)
- embedded web application development framework
- klone-package (0.3)
- tool for creating custom KLone web server packages
- kluppe (0.6.20-1.1)
- loop-player and recorder designed for live use
- klustakwik (2.0.1-1+b2)
- automatic sorting of the samples (spikes) into clusters
- kmag (4:18.04.3-1)
- screen magnifier tool
- kmahjongg (4:18.04.1-1)
- mahjongg solitaire game
- kmail (4:18.08.3-1)
- full featured graphical email client
- kmailtransport-akonadi (18.08.3-2)
- mail transport akonadi library
- kmc (2.3+dfsg-7)
- count kmers in genomic sequences
- (4:5.14.5-1)
- XDG menu editor
- kmer (0~20150903+r2013-6)
- suite of tools for DNA sequence analysis
- kmer-examples (0~20150903+r2013-6)
- sample data for kmer suite of tools for DNA sequence analysis
- kmetronome (0.10.1-2+b1)
- ALSA MIDI Metronome
- kmfl-keyboards-mywin (2.1.1-3)
- myWin Myanmar (Burmese) Unicode Keyboard
- kmflcomp (11.0.101-1)
- KMFL (Keyboard Mapping for Linux) Compiler
- kmidimon (0.7.5-3+b1)
- MIDI monitor using ALSA sequencer and KDE user interface
- kmines (4:18.04.1-1)
- minesweeper game
- kmix (4:18.04.1-1)
- volume control and mixer
- kmldonkey (2.0.5+kde4.3.3-5)
- advanced GUI for MLDonkey
- kmod (26-1)
- tools for managing Linux kernel modules
- kmousetool (4:18.04.1-1)
- mouse manipulation tool for the disabled
- kmouth (4:18.04.0-1)
- type-and-say frontend for speech synthesizers
- kmplayer (1:0.12.0b-3)
- media player for KDE
- kmplot (4:17.08.3-1)
- mathematical function plotter for KDE
- kmscube (0.0.0~git20170617-2)
- Example KMS/GBM/EGL application
- kmymoney (5.0.3-2)
- beheer persoonlijke financiën op KDE
- kmymoney-common (5.0.3-2)
- KMyMoney architecture independent files
- knavalbattle (4:18.04.1-1)
- battleship board game
- knetwalk (4:18.04.1-1)
- wire puzzle game
- knews (1.0b.1-32)
- Graphical threaded news reader
- knfs
- virtueel pakket geboden door nfs-kernel-server
- knights (18.12.0-1)
- chess interface for the KDE Platform
- knockd (0.7-1)
- small port-knock daemon
- knocker (0.7.1-6)
- Simple and easy to use TCP security port scanner
- knockpy (4.1.0-1)
- Python tool designed to enumerate subdomains on a target domain
- knot (2.7.6-2)
- Authoritative domain name server
- knot-dnsutils (2.7.6-2)
- Clients provided with Knot DNS (kdig, knslookup, knsupdate)
- knot-doc (2.7.6-2)
- Documentation for Knot DNS
- knot-host (2.7.6-2)
- Version of 'host' bundled with Knot DNS
- knot-resolver (3.2.1-3+deb10u2) [security]
- caching, DNSSEC-validating DNS resolver
- knot-resolver-doc (3.2.1-3+deb10u2) [security]
- Documentation for Knot Resolver
- knot-resolver-module-http (3.2.1-3+deb10u2) [security]
- HTTP/2 module for Knot Resolver
- knotes (4:18.08.3-1)
- sticky notes application
- knowthelist (2.3.1-1)
- awesome party music player
- knutclient (1.0.5-2)
- KDE GUI that displays UPS statistics from NUT's upsd
- knxd (0.14.30-1)
- daemon to access the KNX bus
- knxd-dev (0.14.30-1)
- development files for knxd
- knxd-tools (0.14.30-1)
- tools to use knxd
- ko.tex
- virtueel pakket geboden door texlive-lang-korean
- ko.tex-base (0.1.0+20071012-1.2)
- Korean TeX: Base fonts
- (0.1.0+20071012-1.2)
- Korean TeX: Extra fonts
- (0.1.0-1)
- Korean TeX: Extra HLaTeX fonts
- kobodeluxe (0.5.1-10)
- game of space battle
- kobodeluxe-data (0.5.1-10)
- game of space battle -- shared data
- kodi (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (executable binaries)
- kodi-addons-dev (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Addons Dev package)
- kodi-api-guilib (= 5.11.0)
- virtueel pakket geboden door kodi
- kodi-api-pvr (= 5.2.1)
- virtueel pakket geboden door kodi
- kodi-bin (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (architecture-dependent files)
- kodi-data (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (arch-independent data package)
- kodi-eventclients-common (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Event Client Common package)
- kodi-eventclients-dev (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Event Client Dev package)
- kodi-eventclients-kodi-send (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Event Client Kodi-SEND package)
- kodi-eventclients-ps3 (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Event Client PS3 package)
- kodi-eventclients-wiiremote (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (Event Client WII Remote support package)
- kodi-repository
- virtueel pakket geboden door kodi-repository-kodi
- kodi-repository-kodi (2:17.6+dfsg1-4+deb10u1) [security]
- Open Source Home Theatre (official addons repository feed)
- kodi-visualization-spectrum (1.1.1-1)
- Spectrum visualizer addon for Kodi
- kolf (4:18.04.1-1)
- miniature golf game
- kollision (4:18.04.1-1)
- simple ball dodging game
- kolourpaint (4:18.04.0-1)
- simple image editor and drawing application
- kolourpaint4 (4:18.04.0-1)
- transitional package for kolourpaint
- komi (1.04-5+b2)
- Single player arcade game with Komi the Space Frog!
- kompare (4:18.08.1-1)
- file difference viewer
- konclude (0.6.2~dfsg-6)
- tableau-based description logic reasoner for the semantic web
- konfont (0.1-8)
- Public domain japanese fonts for KON2
- konq-plugins (4:18.12.0-1)
- plugins for Konqueror, the KDE file/web/document browser
- konqueror (4:18.12.0-1)
- advanced file manager, web browser and document viewer
- konquest (4:18.04.1-1)
- simple turn-based strategy game
- konsole (4:18.04.0-1)
- X terminal emulator
- konsole-kpart (4:18.04.0-1)
- Konsole plugin for Qt applications
- konsolekalendar (4:18.08.3-1)
- konsole personal organizer
- kontact (4:18.08.3-1)
- integrated application for personal information management
- konversation (1.7.5-1)
- Gebruiksvriendelijke (Internet Relay Chat) IRC-client voor KDE
- konversation-data (1.7.5-1)
- data files for Konversation
- konwert (1.8-13+b1)
- Tekenset conversie voor bestanden of terminal I/O
- konwert-dev (1.8-13)
- Tools to define new charset conversion for konwert
- konwert-filters (1.8-13)
- Filters used by konwert for charset conversion
- kookbook (0.2.1-1)
- simple recipe manager taking structured markdown for recipes
- kopano-archiver (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - archiver
- kopano-backup (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - backup
- kopano-common (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - common files
- kopano-contacts (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - contact mapi provider
- kopano-core (8.7.0-3+deb10u1) [security]
- Metapackage to install the Kopano Core stack
- kopano-dagent (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - delivery agent
- kopano-dev (8.7.0-3+deb10u1) [security]
- C++ Development files and libraries for Kopano Core
- kopano-gateway (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - POP3 and IMAP gateway
- kopano-ical (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - CalDAV and CalDAV gateway
- kopano-l10n (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - l10n files
- kopano-libs (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - Free/Busy library
- kopano-monitor (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - quota monitor
- kopano-presence (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - presence daemon
- kopano-search (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - indexer
- kopano-server (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - server component
- kopano-spamd (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - Spam daemon
- kopano-spooler (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - email spooler
- kopano-utils (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - admin tools
- kopano-webapp-apache2 (3.5.2+dfsg1-1)
- WebApp for the Kopano Collaboration Platform - Apache2
- kopano-webapp-common (3.5.2+dfsg1-1)
- WebApp for the Kopano Collaboration Platform - common files
- kopano-webapp-contactfax (3.5.2+dfsg1-1)
- Kopano WebApp fax plugin
- kopano-webapp-files (2.1.5+dfsg1-1)
- Kopano WebApp files plugin
- kopano-webapp-folderwidgets (3.5.2+dfsg1-1)
- Kopano WebApp folder widgets plugin
- kopano-webapp-gmaps (3.5.2+dfsg1-1)
- Kopano WebApp google maps plugin
- kopano-webapp-lighttpd (3.5.2+dfsg1-1)
- WebApp for the Kopano Collaboration Platform - Lighttpd
- kopano-webapp-nginx (3.5.2+dfsg1-1)
- WebApp for the Kopano Collaboration Platform - Nginx
- kopano-webapp-pimfolder (3.5.2+dfsg1-1)
- Kopano WebApp personal inbox plugin
- kopano-webapp-quickitems (3.5.2+dfsg1-1)
- Kopano WebApp quick items plugin
- kopano-webapp-titlecounter (3.5.2+dfsg1-1)
- Kopano WebApp Titlecounter plugin
- kopano-webapp-webappmanual (3.5.2+dfsg1-1)
- Kopano WebApp Manual plugin
- kopano-webapp-zdeveloper (3.5.2+dfsg1-1)
- Kopano WebApp developer plugin
- kopete (4:17.08.3-2.1)
- instant messaging and chat application
- kore (2.0.0-4)
- Fast SPDY capable web server for web development in C
- korganizer (4:18.08.3-1)
- calendar and personal organizer
- koules (1.4-25)
- abstract space action game
- kover (1:6-1+b2)
- WYSIWYG CD cover printer
- kpackagelauncherqml (5.54.0-1)
- commandline tool for launching kpackage QML application
- kpackagetool5 (5.54.0-1)
- command line kpackage tool
- kpart5-kompare (4:18.08.1-1)
- file difference viewer - kpart
- kpartloader (4:18.08.1-1)
- test tool for KParts
- kpartsplugin (20120605-1+b1)
- Netscape-compatible plugin to embed KDE file-viewers into browser
- kpartx (0.7.9-3+deb10u2) [security]
- create device mappings for partitions
- kpartx-udeb (0.7.9-3+deb10u1)
- create device mappings for partitions - udeb package
- kpat (4:18.04.1-1)
- solitaire card games
- kpatch (0.6.0-0.2)
- Runtime tools for Kpatch
- kpatch-build (0.6.0-0.2)
- Build Tools for Kpatch and Livepatch
- kpatch-dkms (0.6.0-0.2)
- DKMS module for Kpatch
- kpcli (3.1-3)
- command line interface to KeePassX password manager databases
- kphotoalbum (5.4.2-1)
- tool for indexing, searching and viewing images by keywords for KDE
- kppp (4:17.08.3-1)
- modem dialer for KDE
- kprinter4 (12-1+b2)
- Simple PostScript document printer
- kradio
- virtueel pakket geboden door kradio4
- kradio4 (4.0.8+git20180527-1+b1)
- comfortable radio application for KDE
- kraft (0.82-1)
- small business-management application
- kraken (1.1-3)
- assigning taxonomic labels to short DNA sequences
- krank (0.7+dfsg2-3)
- game of dexterity where you match stones together
- kraptor (0.0.20040403+ds-2)
- Classic shoot 'em up scroller game
- kraptor-data (0.0.20040403+ds-2)
- Classic shoot 'em up scroller game -- data files
- krb5-admin-server (1.17-3+deb10u6) [security]
- MIT Kerberos master server (kadmind)
- krb5-auth-dialog (3.26.1-2)
- tray applet for reauthenticating kerberos tickets
- krb5-config (2.6)
- Configuration files for Kerberos Version 5
- krb5-doc (1.17-3+deb10u6) [security]
- documentation for MIT Kerberos
- krb5-gss-samples (1.17-3+deb10u6) [security]
- MIT Kerberos GSS Sample applications
- krb5-k5tls (1.17-3+deb10u6) [security]
- TLS plugin for MIT Kerberos
- krb5-kdc (1.17-3+deb10u6) [security]
- MIT Kerberos key server (KDC)
- krb5-kdc-ldap (1.17-3+deb10u6) [security]
- MIT Kerberos key server (KDC) LDAP plugin
- krb5-kpropd (1.17-3+deb10u6) [security]
- MIT Kerberos key server (Slave KDC Support)
- krb5-locales (1.17-3+deb10u6) [security]
- internationalization support for MIT Kerberos
- krb5-multidev (1.17-3+deb10u6) [security]
- development files for MIT Kerberos without Heimdal conflict
- krb5-otp (1.17-3+deb10u6) [security]
- OTP plugin for MIT Kerberos
- krb5-pkinit (1.17-3+deb10u6) [security]
- PKINIT plugin for MIT Kerberos
- krb5-strength (3.1-2)
- Password strength checking for Kerberos KDCs
- krb5-sync-plugin (3.1-2)
- MIT Kerberos Active Directory synchronization plugin
- krb5-sync-tools (3.1-2)
- Kerberos Active Directory synchronization tools
- krb5-user (1.17-3+deb10u6) [security]
- basic programs to authenticate using MIT Kerberos
- krdc (4:18.04.1-1)
- Remote Desktop Connection client
- kredentials (2.0~pre3-1.1+b1)
- KDE taskbar applet to update kerberos/AFS credentials
- kremotecontrol (4:17.08.3-1)
- frontend for using remote controls
- krename (5.0.0-1+b1)
- powerful batch renamer for KDE
- kreversi (4:18.04.1-1)
- reversi board game
- krfb (4:17.08.3-1)
- Desktop Sharing utility
- krita (1:4.1.7+dfsg-1+b1)
- pixel-based image manipulation program
- krita-data (1:4.1.7+dfsg-1)
- data files for Krita painting program
- krita-gmic (2.4.5-1)
- GREYC's Magic for Image Computing - Helper Tool for Krita
- krita-l10n (1:4.1.7+dfsg-1)
- translations for Krita painting program
- kronolith
- virtueel pakket geboden door php-horde-kronolith
- kronometer (2.2.1-2)
- simple stopwatch application
- krop (0.5.1+ds-1)
- tool to crop PDF files
- kross (5.54.0-1)
- Multi-language application scripting.
- kross-dev (5.54.0-1)
- development files for kross
- krosspython (4:18.08.1-1)
- Python module for Kross
- krossruby (4:18.08.1-1)
- Ruby module for Kross
- kruler (4:17.08.3-1)
- screen ruler
- krusader (2:2.7.1-1)
- twin-panel (commander-style) file manager
- kscd (4:17.08.3-1)
- audio CD player
- kscreen (4:5.14.5-1)
- KDE monitor hotplug and screen handling
- kscreenlocker-dev (5.14.5-1)
- Development files for kscreenlocker
- ksh (93u+20120801-3.4+deb10u1)
- Real, AT&T version of the Korn shell
- kshisen (4:18.04.1-1)
- Shisen-Sho solitaire game
- kshutdown (4.2-1)
- geavanceerde afsluittoepassing voor KDE
- ksirk (4:18.04.1-1)
- Risk strategy game
- ksmtuned (4.20150325+b1)
- enables and tunes Kernel Samepage Merging
- ksnakeduel (4:18.04.1-1)
- snake race game
- ksnapshot (4:18.04.0-1)
- transitional package for kde-spectacle
- kspaceduel (4:18.04.1-1)
- SpaceWar! arcade game
- ksquares (4:18.04.1-1)
- Dots and Boxes game
- ksshaskpass (4:5.14.5-1)
- interactively prompt users for a passphrase for ssh-add
- kst (2.0.8-3+b1)
- scientific data plotting tool
- kst-doc (2.0.8-3)
- set of tutorials for kst
- kstars (5:3.0.0-1)
- desktop planetarium, observation planning and telescope control
- kstars-data (5:3.0.0-1)
- data files for KStars desktop planetarium
- (1.1r1-9.1) [non-free]
- Tycho-2 star catalog for KStars
- kstart (4.2-2)
- Kerberos kinit supporting AFS and ticket refreshing
- ksudoku (4:18.04.1-1)
- Sudoku puzzle game and solver
- ksysguard (4:5.14.5-1)
- process monitor and system statistics
- ksysguard-data (4:5.14.5-1)
- library for monitoring your system - shared library
- ksysguardd (4:5.14.5-1)
- System Guard Daemon
- ksystemlog (4:18.08.0-1)
- system log viewer
- kteatime (4:18.04.1-1)
- utility for making a fine cup of tea
- ktexteditor-data (5.54.0-1)
- provide advanced plain text editing services
- ktexteditor-katepart (5.54.0-1)
- provide advanced plain text editing services
- ktikz (0.12+ds1-3)
- editor for the TikZ drawing language - KDE version
- ktimer (4:18.04.1-1)
- countdown timer
- ktnef (4:18.08.3-1)
- transitional package for ktnef
- ktoblzcheck (1.49-5)
- tool for verification of account numbers and bank codes
- ktorrent (5.1.1-1+b1)
- BitTorrent client based on the KDE platform
- ktorrent-data (5.1.1-1)
- KTorrent data and other architecture independent files
- ktouch (4:18.04.1-1)
- touch typing tutor for KDE
- ktouch-data (4:18.04.1-1)
- data files for ktouch
- ktuberling (4:18.04.1-1)
- stamp drawing toy
- kturtle (4:18.04.1-1)
- educational programming environment
- kubectx (0.6.2-1)
- Fast way to switch between clusters and namespaces in kubectl
- kubetail (1.6.5-2)
- Aggregate logs from multiple Kubernetes pods into one stream
- kubrick (4:18.04.1-1)
- game based on Rubik's Cube
- kuipc (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - KUIP compiler
- kuiviewer (4:18.08.1-1)
- viewer for Qt Designer user interface files
- kunststoff (2.0.2-5)
- suite of skins for different applications
- kup-backup (0.7.1+dfsg-1+b1)
- backup tool for KDE's Plasma desktop
- kup-client (0.3.4-3)
- kernel.org upload tool
- kup-server (0.3.4-3)
- kernel.org upload server
- kupfer (0+v319-3)
- fast and lightweight desktop summoner/launcher
- kuttypy-gui (1.0-2)
- Graphic user interface to interact with KuttyPy
- kuvert (2.2.2)
- wrapper that encrypts or signs outgoing mail
- kvirc (4:5.0.0+dfsg-1)
- KDE-based next generation IRC client with module support
- kvirc-data (4:5.0.0+dfsg-1)
- Data files for KVIrc
- kvirc-modules (4:5.0.0+dfsg-1)
- KVIrc (IRC client) modules
- kvpnc (0.9.6a-4.1)
- frontend to VPN clients
- kvpnc-dbg (0.9.6a-4.1)
- frontend to VPN clients - debugging symbols
- kwalify (0.7.2-8)
- parser, schema validator, and data-binding tool for YAML and JSON
- kwalletcli (3.02-1)
- command line interface to the KDE Wallet
- kwalletmanager (4:18.04.1-1)
- secure password wallet manager
- kwave (18.08.1-1)
- sound editor for KDE
- kwayland-data (4:5.54.0-1)
- Qt library wrapper for Wayland libraries - data files
- kwayland-dev (4:5.54.0-1)
- transitional dummy package
- kwayland-integration (5.14.5-1)
- kwayland runtime integration plugins
- kwin
- virtueel pakket geboden door kwin-x11, kwin-wayland
- kwin-addons (4:5.14.5.1-1)
- additional desktop and window switchers for KWin
- kwin-common (4:5.14.5-1)
- KDE window manager, common files
- kwin-data (4:5.14.5-1)
- KDE window manager data files
- kwin-decoration-oxygen (4:5.14.5-1)
- KWin decoration for the Oxygen desktop theme
- kwin-dev (4:5.14.5-1)
- KDE window manager - devel files
- kwin-style-breeze (4:5.14.5-1)
- KWin Breeze Style
- kwin-wayland (4:5.14.5-1)
- KDE window manager, wayland version, PREVIEW release
- kwin-wayland-backend
- virtueel pakket geboden door kwin-wayland-backend-drm, kwin-wayland-backend-wayland, kwin-wayland-backend-fbdev, kwin-wayland-backend-virtual, kwin-wayland-backend-x11
- kwin-wayland-backend-drm (4:5.14.5-1)
- KDE window manager drm plugin
- kwin-wayland-backend-fbdev (4:5.14.5-1)
- KDE window manager fbdev plugin
- kwin-wayland-backend-virtual (4:5.14.5-1)
- KDE window manager virtual plugin
- kwin-wayland-backend-wayland (4:5.14.5-1)
- KDE window manager nested wayland plugin
- kwin-wayland-backend-x11 (4:5.14.5-1)
- KDE window manager x11 plugin
- kwin-x11 (4:5.14.5-1)
- KDE window manager, X11 version
- kwordquiz (4:17.08.3-1)
- flashcard learning program
- kwrite (4:18.08.0-1)
- simple text editor
- kwrited (4:5.14.5-1)
- Read and write console output to X.
- kwstyle (1.0.1+git3224cf2-1)
- Style checker for source code
- kxc (0.13+git20170730.6182dc8-1)
- Key exchange daemon -- client
- kxd (0.13+git20170730.6182dc8-1)
- Key exchange daemon
- kxstitch (2.1.1-1.1)
- Cross stitch pattern editor
- kxstitch-common (2.1.1-1.1)
- Data files, icons and documentation for kxstitch
- kxterm (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - KUIP terminal emulator
- kylin-burner (3.0.6-1)
- CD/DVD burning application for UKUI
- kylin-display-switch (1.0.3-1)
- Gui tool for display switching
- kylin-nm (1.0.0-1)
- Gui Applet tool for display and edit network simply
- kylin-video (1.1.7-1)
- Front-end for MPlayer and MPV
- kyotocabinet-doc (1.2.76-4.2)
- Straightforward implementation of DBM - docs
- kyotocabinet-utils (1.2.76-4.2+b1)
- Straightforward implementation of DBM - utilities
- kytos-sphinx-theme-common (0.0.1+dfsg-1)
- Theme used by kytos with sphinx -- common files
- l2tpd
- virtueel pakket geboden door xl2tpd
- labltk (8.06.2+dfsg-1+b1 [armhf], 8.06.2+dfsg-1 [amd64, arm64, i386])
- OCaml bindings to Tcl/Tk (executables)
- laborejo (0.8~ds0-2)
- music notation workshop
- labplot (2.5.0-2+b2)
- interactive graphing and analysis of scientific data
- labplot-data (2.5.0-2)
- data files for labplot
- labrea (2.5-stable-3+b2)
- a "sticky" honeypot and IDS
- laby (0.6.4-2+b1)
- Learn how to program with ants and spider webs
- lacheck (1.26-17)
- Simple syntax checker for LaTeX
- lacme (0.5-1+deb10u2)
- ACME client written with process isolation and minimal privileges in mind
- lacme-accountd (0.5-1+deb10u2)
- lacme account key manager
- ladish (1+dfsg0-5.1)
- session management system for JACK applications
- ladish-dbg (1+dfsg0-5.1)
- Debugging symbols for ladish
- laditools (1.1.0-3.1)
- Linux Audio Desktop Integration Tools
- ladr4-apps (0.0.200911a-2.1+b2)
- the LADR deduction library, miscellaneous applications
- ladspa-host
- virtueel pakket geboden door ladspa-sdk
- ladspa-plugin
- virtueel pakket geboden door ambdec, invada-studio-plugins-ladspa, caps, rev-plugins, blop, vco-plugins, amb-plugins, guitarix-ladspa, cmt, mcp-plugins, blepvco, bs2b-ladspa, omins, autotalent, ladspa-sdk, calf-ladspa, wah-plugins, csladspa, ste-plugins, tap-plugins, fil-plugins, swh-plugins
- ladspa-sdk (1.13-3)
- sample tools for linux-audio-dev plugin architecture
- ladspa-sdk-dev
- virtueel pakket geboden door ladspa-sdk
- ladspalist (3.9.1~repack-3)
- List LADSPA plugins for use by LADSPA UGen
- ladvd (1.1.2-1)
- LLDP/CDP sender
- lagan (2.0-3)
- highly parametrizable pairwise global genome sequence aligner
- lakai (0.1-2)
- transfers samples between a PC and an AKAI sampler
- lam-dev
- virtueel pakket geboden door lam4-dev
- lam-mpidoc (7.1.4-6)
- Documentation for the Message Passing Interface standard
- lam-runtime (7.1.4-6)
- LAM runtime environment for executing parallel programs
- lam4-dev (7.1.4-6)
- Development of parallel programs using LAM
- lamarc (2.1.10.1+dfsg-3)
- Likelihood Analysis with Metropolis Algorithm using Random Coalescence
- lambda-align (1.0.3-5)
- Local Aligner for Massive Biological DatA
- lambda-align2 (2.0.0-6)
- Local Aligner for Massive Biological DatA - v2
- lambdabot (5.1.0.2-2)
- Development tool and advanced IRC bot
- lambdahack (0.8.3.0-4+b1)
- tactical squad ASCII roguelike dungeon crawler game engine
- lame (3.100-2+b1)
- MP3 encoding library (frontend)
- lame-doc (3.100-2)
- MP3 encoding library (documentation)
- lammps (0~20181211.gitad1b1897d+dfsg1-2)
- Molecular Dynamics Simulator
- lammps-data (0~20181211.gitad1b1897d+dfsg1-2)
- Molecular Dynamics Simulator. Data (potentials)
- lammps-doc (0~20181211.gitad1b1897d+dfsg1-2)
- Molecular Dynamics Simulator. Documentation and examples
- langdrill (0.3-8)
- language drills to test vocabulary
- langford-dkms (0.0.20130228-6)
- Kernel drivers for the Per Vices Noctar IQ demodulator board
- langford-utils (0.0.20130228-6)
- Control programs for the Per Vices Noctar IQ demodulator board
- laptop-detect (0.16)
- system chassis type checker
- laptop-mode-tools (1.72-3)
- Tools for Power Savings based on battery/AC status
- larch (1.1.2-2)
- tool to copy messages from one IMAP server to another
- largetifftools (1.3.10-2)
- process very large TIFF files
- lasagne-doc (0.1+git20181019.a61b76f-1)
- deep learning Python library build on the top of Theano (docs)
- laserboy (2016.03.15-1.1+b2)
- Laser show software for soundcard operation
- laserboy-indep (2016.03.15-1.1)
- Laser show software for soundcard operation - data files
- last-align (963-2)
- genome-scale comparison of biological sequences
- lastpass-cli (1.3.1-7)
- command line interface to LastPass.com
- latd (1.35)
- LAT (Local Area Transport) Daemon
- late (0.1.0-13)
- simple game of capturing balls
- late-data (0.1.0-13)
- data files for late game
- latencytop (0.5+b4)
- A tool for developers to visualize system latencies
- latex-cjk-all (4.8.4+git20170127-2)
- installs all LaTeX CJK packages
- latex-cjk-chinese (4.8.4+git20170127-2)
- Chinese module of LaTeX CJK
- latex-cjk-chinese-arphic-bkai00mp (1.23)
- AR PL KaitiM Big5 fonts for CJK
- latex-cjk-chinese-arphic-bsmi00lp (1.23)
- AR PL Mingti2L Big5 fonts for CJK
- latex-cjk-chinese-arphic-gbsn00lp (1.23)
- AR PL SungtiL GB fonts for CJK
- latex-cjk-chinese-arphic-gkai00mp (1.23)
- AR PL KaitiM GB fonts for CJK
- latex-cjk-common (4.8.4+git20170127-2)
- LaTeX macro package for CJK (Chinese/Japanese/Korean)
- latex-cjk-japanese (4.8.4+git20170127-2)
- Japanese module of LaTeX CJK
- latex-cjk-japanese-wadalab (0.20050817-17)
- type1 and tfm DNP Japanese fonts for latex-cjk
- latex-cjk-korean (4.8.4+git20170127-2)
- Korean module of LaTeX CJK
- latex-cjk-thai (4.8.4+git20170127-2)
- Thai module of LaTeX CJK
- latex-coffee-stains (6-1)
- Add a coffee stain to your LaTeX documents
- latex-fonts-sipa-arundina (0.2.2-2)
- Thai DejaVu-compatible fonts for LaTeX
- latex-make (2.3.0-1)
- easy compiling of complex (and simple) LaTeX documents
- latex-mk (2.1-2)
- tool for managing LaTeX projects
- latex209-base (25.mar.1992-17)
- macro files of LaTeX 2.09 25-mar-1992 version
- latex209-bin (25.mar.1992-17)
- latex209 command for LaTeX 2.09 25-mar-1992 version
- latex209-src (25.mar.1992-17)
- source files of macros of LaTeX 2.09 25-mar-1992 version
- latex2html (2019-debian1-3)
- LaTeX to HTML translator
- latex2rtf (2.3.16-1)
- Converts documents from LaTeX to RTF format
- latex2rtf-doc (2.3.16-1)
- Converts documents from LaTeX to RTF - documentation
- latexdiff (1.3.0-1)
- utility to mark up significant differences between LaTeX files
- latexdraw (3.3.8+ds1-1)
- vector drawing program for LaTeX using PSTricks
- latexila (3.22.0-1)
- LaTeX editor designed for the GNOME desktop
- latexila-data (3.22.0-1)
- LaTeXila editor -- arch-independent files
- latexmk (1:4.61-0.1)
- Perl script for running LaTeX the correct number of times
- latexml (0.8.3-1)
- LaTeX to XML Converter
- latte-dock (0.8.5-1)
- Dock based on plasma frameworks
- launchy (2.5-4)
- smart search launcher for installed programs or files
- launchy-plugins (2.5-4)
- plugins for launchy, the smart search launcher
- launchy-skins (2.5-4)
- more skins for launchy, the smart search launcher
- lava (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture metapackage
- lava-common (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture common
- lava-coordinator (0.1.7-1)
- LAVA Coordinator daemon
- lava-dev (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture developer support
- lava-dispatcher (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture dispatcher
- lava-lxc-mocker (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture LXC Mocker
- lava-server (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture server
- lava-server-doc (2019.01-5+deb10u2) [security]
- Linaro Automated Validation Architecture documentation
- lava-tool (0.25-2)
- deprecated command line utility for LAVA
- lavacli (0.9.5-1)
- LAVA XML-RPC command line interface
- lavapdu-client (0.0.5-1)
- LAVA PDU client
- lavapdu-daemon (0.0.5-1)
- LAVA PDU control daemon
- lazarus (2.0.0+dfsg-2)
- IDE for Free Pascal - SDK dependency package
- lazarus
- virtueel pakket geboden door lazarus-2.0
- lazarus-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - suite
- lazarus-doc (2.0.0+dfsg-2)
- IDE for Free Pascal - documentation dependency package
- lazarus-doc
- virtueel pakket geboden door lazarus-doc-2.0
- lazarus-doc-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - documentation
- lazarus-ide (2.0.0+dfsg-2)
- IDE for Free Pascal - dependency package
- lazarus-ide
- virtueel pakket geboden door lazarus-ide-2.0, lazarus-ide-gtk2-2.0, lazarus-ide-qt5-2.0
- lazarus-ide-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - common IDE files
- lazarus-ide-gtk-2.0
- virtueel pakket geboden door lazarus-ide-gtk2-2.0
- lazarus-ide-gtk2 (2.0.0+dfsg-2)
- IDE for Free Pascal - Last GTK+ version dependency package
- lazarus-ide-gtk2
- virtueel pakket geboden door lazarus-ide-gtk2-2.0
- lazarus-ide-gtk2-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - GTK+ version
- lazarus-ide-qt-2.0
- virtueel pakket geboden door lazarus-ide-qt5-2.0
- lazarus-ide-qt5 (2.0.0+dfsg-2)
- IDE for Free Pascal - Last Qt version dependency package
- lazarus-ide-qt5
- virtueel pakket geboden door lazarus-ide-qt5-2.0
- lazarus-ide-qt5-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - Qt version
- lazarus-src (2.0.0+dfsg-2)
- IDE for Free Pascal - LCL source code dependency package
- lazarus-src
- virtueel pakket geboden door lazarus-src-2.0
- lazarus-src-2.0 (2.0.0+dfsg-2)
- IDE for Free Pascal - LCL source code
- lazygal (0.9.3-1)
- static web gallery generator
- lbcd (3.5.2-3)
- Return system load via UDP for remote load balancers
- lbdb (0.48.1)
- Little Brother's DataBase for the mutt mail reader
- lbreakout2 (2.6.5-2)
- ball-and-paddle game with nice graphics
- lbreakout2-data (2.6.5-2)
- ball-and-paddle game with nice graphics (DATA FILES)
- lbt (1.2.2-6)
- converts from LTL formulas to Büchi automata
- lbzip2 (2.5-2)
- fast, multi-threaded bzip2 utility
- lcab (1.0b12-7)
- create cabinet (.cab) archives
- lcalc (1.23+dfsg-11)
- program for calculating with L-functions
- lcas-interface (1.3.19-2)
- Local Centre Authorization Service API
- lcas-lcmaps-gt4-interface (0.3.1-1)
- Mapping interface between Globus Toolkit and LCAS/LCMAPS
- lcd4linux (0.11.0~svn1203-2+b2)
- Grabs information and displays it on an external lcd
- lcdf-typetools (2.108-1)
- tools for OpenType, multiple-master, and Type 1 fonts
- lcdproc (0.5.9-3)
- LCD display driver daemon and clients
- (0.5.9-3)
- extra drivers for the LCD display driver daemon
- lcl (2.0.0+dfsg-2)
- Lazarus Components Library - LCL dependency package
- lcl
- virtueel pakket geboden door lcl-2.0
- lcl-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - LCL suite
- lcl-gtk
- virtueel pakket geboden door lcl-gtk2-2.0
- lcl-gtk2 (2.0.0+dfsg-2)
- Lazarus Components Library - GTK+ backend dependency package
- lcl-gtk2
- virtueel pakket geboden door lcl-gtk2-2.0
- lcl-gtk2-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - GTK+ backend
- lcl-nogui (2.0.0+dfsg-2)
- Lazarus Components Library - no GUI backend dependency package
- lcl-nogui
- virtueel pakket geboden door lcl-nogui-2.0
- lcl-nogui-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - no GUI backend
- lcl-qt
- virtueel pakket geboden door lcl-qt5-2.0
- lcl-qt5 (2.0.0+dfsg-2)
- Lazarus Components Library - Qt backend dependency package
- lcl-qt5
- virtueel pakket geboden door lcl-qt5-2.0
- lcl-qt5-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - Qt backend
- lcl-units (2.0.0+dfsg-2)
- Lazarus Components Library - backend independent components dependency package
- lcl-units
- virtueel pakket geboden door lcl-units-2.0
- lcl-units-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - backend independent components
- lcl-utils (2.0.0+dfsg-2)
- Lazarus Components Library - command line build tools dependency package
- lcl-utils
- virtueel pakket geboden door lcl-utils-2.0
- lcl-utils-2.0 (2.0.0+dfsg-2)
- Lazarus Components Library - command line build tools
- lcmaps-basic-interface (1.6.6-2)
- LCMAPS header files for basic interfaces
- lcmaps-globus-interface (1.6.6-2)
- LCMAPS header files for Globus interfaces
- lcmaps-interface
- virtueel pakket geboden door lcmaps-globus-interface
- lcmaps-openssl-interface (1.6.6-2)
- LCMAPS header files for OpenSSL interfaces
- lcmaps-plugins-basic-bandn (1.7.1-1)
- LCMAPS plugin to ban a user based on the Subject DN
- lcmaps-plugins-basic-dummy (1.7.1-1)
- Dummy LCMAPS plug-ins
- lcmaps-plugins-basic-ldap (1.7.1-1)
- LCMAPS plug-in to update ldap according to credentials
- lcmaps-plugins-basic-localaccount (1.7.1-1)
- Localaccount LCMAPS plug-in
- lcmaps-plugins-basic-poolaccount (1.7.1-1)
- Poolaccount LCMAPS plug-in
- lcmaps-plugins-basic-posixenf (1.7.1-1)
- LCMAPS plug-in to switch user identity
- lcmaps-plugins-jobrep (1.5.6-1)
- Jobrepository plugin for the LCMAPS authorization framework
- lcmaps-plugins-jobrep-admin (1.5.6-1)
- Jobrepository database setup tools
- lcmaps-plugins-verify-proxy (1.5.10-2)
- Proxy verification plugin for LCMAPS
- lcmaps-plugins-voms (1.7.1-1)
- VOMS plugins for the LCMAPS authorization framework
- lcov (1.13-4)
- Summarise Code coverage information from GCOV
- ld10k1 (1.1.7-1)
- ALSA emu10k1/2 patch loader
- ldap-client
- virtueel pakket geboden door ldap-utils
- ldap-git-backup (1.0.8-1)
- Back up LDAP database in an Git repository
- ldap-haskell-doc
- virtueel pakket geboden door libghc-ldap-doc
- ldap-server
- virtueel pakket geboden door slapd
- ldap-utils (2.4.47+dfsg-3+deb10u7)
- OpenLDAP utilities
- ldap2dns (0.3.1-3.2)
- LDAP based DNS management system
- ldap2zone (0.2-11)
- Extract DNS zones from LDAP trees
- ldapscripts (2.0.8-1)
- Add and remove users and groups (stored in a LDAP directory)
- ldaptor-doc (0.0.43+debian1-7)
- documentation for Ldaptor
- ldaptor-utils (0.0.43+debian1-7)
- command-line LDAP utilities
- ldapvi (1.7-10+b3)
- perform an LDAP search and update results using a text editor
- ldb-tools (2:1.5.1+really1.4.6-3+deb10u1)
- LDAP-like embedded database - tools
- ldc (1:1.12.0-1)
- LLVM D Compiler
- ldirectord (1:4.2.0-2+deb10u2)
- Monitors virtual services provided by LVS
- ldm (2:2.18.06-1+deb10u1)
- LTSP display manager
- ldm-server (2:2.18.06-1+deb10u1)
- server components for LTSP display manager
- ldm-themes (18.02.1)
- Collection of themes for the LTSP login manager
- ldmtool (0.2.4-2)
- tool for managing Microsoft Windows dynamic disks
- ldnsutils (1.7.0-4)
- ldns library for DNS programming
- ldp-docbook-dsssl (0.0.20040321-3)
- The Linux Documentation Project's DSSSL stylesheets
- ldp-docbook-xsl (0.0.20040321-3)
- The Linux Documentation Project's XSL stylesheets
- ldraw-mklist (1802+ds-1) [non-free]
- LDraw mklist program
- ldraw-parts (1802+ds-1) [non-free]
- LDraw parts library
- ldtp (3.5.0-2)
- Linux Desktop Testing Project (LDTP)
- ldtp-doc (3.5.0-2)
- Documentation for LDTP packages
- le (1.16.5-0.1)
- Text editor with block and binary operations
- le-dico-de-rene-cougnenc (1.3-2.3)
- the "Dico" by Rene Cougnenc
- leaff (0~20150903+r2013-6)
- biological sequence library utilities and applications
- leafnode (1.11.11-1)
- NNTP server for small sites
- leaktracer (2.4-6)
- Simple and efficient memory-leak tracer for C++ programs
- leap-archive-keyring (2017.11.24)
- OpenPGP archive key for the leap.se software repositories
- leap-keyring
- virtueel pakket geboden door leap-archive-keyring
- leave (1.12-2.1+b1)
- Reminds you when you have to leave
- lebiniou (3.30-1)
- displays images that evolve with sound
- lebiniou-data (3.28-2)
- datafiles for Le Biniou
- ledger (3.1.2+dfsg1-1)
- command-line double-entry accounting program
- ledger-dbg (3.1.2+dfsg1-1)
- command-line double-entry accounting program (debug symbols)
- ledger-el (3.1.2~pre2+g3ec8506e-2)
- command-line double-entry accounting program (emacs interface)
- ledger-wallets-udev (0.2)
- udev rules for Ledger wallets
- ledgersmb (1.6.9+ds-1+deb10u3)
- financial accounting and ERP program
- ledit (2.04-1)
- line editor for interactive programs
- ledmon (0.90-0.1)
- Enclosure LED Utilities
- leds-alix-source (0.0.1-1.1)
- Source for the LEDs driver of PCEngines ALIX 2/3 boards
- leds-modules
- virtueel pakket geboden door leds-modules-4.19.0-20-armmp-di, leds-modules-4.19.0-21-armmp-di, leds-modules-4.19.0-21-arm64-di, leds-modules-4.19.0-20-arm64-di
- leds-modules-4.19.0-20-arm64-di (4.19.235-1)
- LED modules
- leds-modules-4.19.0-20-armmp-di (4.19.235-1)
- LED modules
- leds-modules-4.19.0-21-arm64-di (4.19.249-2)
- LED modules
- leds-modules-4.19.0-21-armmp-di (4.19.249-2)
- LED modules
- leela-zero (0.16-1)
- Go engine with no human-provided knowledge, modeled after the AlphaGo Zero paper
- lefse (1.0.8-2)
- determine features of organisms, clades, taxonomic units, genes
- legit (1.0.1-2)
- Git extension to assist in manipulating branches
- lego (0.3.1-5+b13)
- Let's Encrypt client
- leiningen (2.9.0-1)
- Automation tool and dependency manager for Clojure projects
- lektor (3.1.1-1)
- Python-based static website generator
- lemon (3.27.2-3+deb10u2) [security]
- LALR(1) Parser Generator for C or C++
- lemonbar (1.3-2)
- lightweight bar based on XCB
- lemonldap-ng (2.0.2+ds-7+deb10u10) [security]
- OpenID-Connect, CAS and SAML compatible Web-SSO system
- lemonldap-ng-doc (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG Web-SSO system documentation
- lemonldap-ng-fastcgi-server (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG FastCGI server
- lemonldap-ng-handler (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG handler part
- lemonldap-ng-uwsgi-app (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG uWSGI server
- leocad (18.02-1)
- virtual brick CAD software
- lepton-eda (1.9.7-2)
- Lepton Electronic Design Automation (metapackage)
- leptonica-progs (1.76.0-1+deb10u2) [security]
- sample programs for Leptonica image processing library
- less (487-0.1+b1)
- pager program similar to more
- letsencrypt (0.31.0-1+deb10u1)
- transitional dummy package
- letsencrypt
- virtueel pakket geboden door certbot
- letterize (1.4-2)
- phone digits to letter-mnemonics
- levee (3.5a-4+b1)
- very small vi clone
- leveldb-doc (1.20-2.1)
- LevelDB documentation
- lexicon (3.0.8-2)
- CLI for manipulating DNS records on various DNS providers (Python 3)
- lfc (1.10.0-2+b3)
- LCG File Catalog (LFC) client
- lfc-client
- virtueel pakket geboden door lfc
- lfc-dli (1.10.0-2+b3)
- LCG File Catalog (LFC) data location interface (dli) server
- lfc-mysql
- virtueel pakket geboden door lfc-server-mysql
- lfc-postgres
- virtueel pakket geboden door lfc-server-postgres
- lfc-server-mysql (1.10.0-2+b3)
- LCG File Catalog (LFC) server with MySQL database backend
- lfc-server-postgres (1.10.0-2+b3)
- LCG File Catalog (LFC) server with postgres database backend
- lfhex (0.42-3.1+b1)
- large file hex editor
- lfm (3.1-2)
- simple but powerful file manager for the UNIX console
- lft (3.8-2)
- layer-four traceroute
- lftp (4.8.4-2)
- Sophisticated command-line FTP/HTTP/BitTorrent client programs
- lgc-pg (1.4.3-1)
- LGeneral converter for Panzer General game data
- lgeneral (1.4.3-1)
- strategy game in the tradition of Panzer General
- lgeneral-data (1.1-2)
- strategy game in the tradition of Panzer General -- data
- lgogdownloader (3.4-2)
- downloader for GOG.com files
- lgrind (3.67-3.1) [non-free]
- A pretty printer for various programming languages
- lha
- virtueel pakket geboden door lhasa, jlha-utils
- lhasa (0.3.1-3)
- lzh archive decompressor
- lhs2tex (1.22-2)
- Generates LaTeX code from literate Haskell sources
- lib++dfb-1.7-7 (1.7.7-9)
- direct frame buffer graphics (++DFB shared library)
- lib-rxtx-java
- virtueel pakket geboden door librxtx-java
- lib32asan4 (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan4-dbg (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (32 bit debug symbols)
- lib32asan5 (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan5-amd64-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan5-dbg (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (32 bit debug symbols)
- lib32asan5-ppc64-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan5-s390x-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan5-sparc64-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32asan5-x32-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector (32bit)
- lib32atomic1 (8.3.0-6)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-amd64-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-dbg (8.3.0-6)
- support library providing __atomic built-in functions (32 bit debug symbols)
- lib32atomic1-mips64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-mips64el-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-mips64r6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-mips64r6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-ppc64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-s390x-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-sparc64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32atomic1-x32-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (32bit)
- lib32c-dev
- virtueel pakket geboden door libc6-dev-i386
- lib32c-dev-amd64-cross
- virtueel pakket geboden door libc6-dev-i386-amd64-cross
- lib32c-dev-mips64-cross
- virtueel pakket geboden door libc6-dev-mips32-mips64-cross
- lib32c-dev-mips64el-cross
- virtueel pakket geboden door libc6-dev-mips32-mips64el-cross
- lib32c-dev-mips64r6-cross
- virtueel pakket geboden door libc6-dev-mips32-mips64r6-cross
- lib32c-dev-mips64r6el-cross
- virtueel pakket geboden door libc6-dev-mips32-mips64r6el-cross
- lib32c-dev-mipsn32-cross
- virtueel pakket geboden door libc6-dev-mips32-mipsn32-cross
- lib32c-dev-mipsn32el-cross
- virtueel pakket geboden door libc6-dev-mips32-mipsn32el-cross
- lib32c-dev-mipsn32r6-cross
- virtueel pakket geboden door libc6-dev-mips32-mipsn32r6-cross
- lib32c-dev-mipsn32r6el-cross
- virtueel pakket geboden door libc6-dev-mips32-mipsn32r6el-cross
- lib32c-dev-ppc64-cross
- virtueel pakket geboden door libc6-dev-powerpc-ppc64-cross
- lib32c-dev-s390x-cross
- virtueel pakket geboden door libc6-dev-s390-s390x-cross
- lib32c-dev-sparc64-cross
- virtueel pakket geboden door libc6-dev-sparc-sparc64-cross
- lib32c-dev-x32-cross
- virtueel pakket geboden door libc6-dev-i386-x32-cross
- lib32cilkrts5 (7.4.0-6)
- Intel Cilk Plus language extensions (32bit)
- lib32cilkrts5-dbg (7.4.0-6)
- Intel Cilk Plus language extensions (32 bit debug symbols)
- lib32gcc-7-dev (7.4.0-6)
- GCC support library (32 bit development files)
- lib32gcc-8-dev (8.3.0-6)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-amd64-cross (8.3.0-2cross1)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-mips64-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-mips64el-cross (8.3.0-2cross1)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-mips64r6-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-ppc64-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-s390x-cross (8.3.0-2cross1)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-sparc64-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc-8-dev-x32-cross (8.3.0-2cross2)
- GCC support library (32 bit development files)
- lib32gcc1 (1:8.3.0-6)
- GCC support library (32 bit Version)
- lib32gcc1-amd64-cross (1:8.3.0-2cross1)
- GCC support library (32 bit Version)
- lib32gcc1-amd64-dcv1
- virtueel pakket geboden door lib32gcc1-amd64-cross
- lib32gcc1-dbg (1:8.3.0-6)
- GCC support library (debug symbols)
- lib32gcc1-mips64-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-mips64-dcv1
- virtueel pakket geboden door lib32gcc1-mips64-cross
- lib32gcc1-mips64el-cross (1:8.3.0-2cross1)
- GCC support library (32 bit Version)
- lib32gcc1-mips64el-dcv1
- virtueel pakket geboden door lib32gcc1-mips64el-cross
- lib32gcc1-mips64r6-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-mips64r6-dcv1
- virtueel pakket geboden door lib32gcc1-mips64r6-cross
- lib32gcc1-mips64r6el-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-mips64r6el-dcv1
- virtueel pakket geboden door lib32gcc1-mips64r6el-cross
- lib32gcc1-ppc64-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-ppc64-dcv1
- virtueel pakket geboden door lib32gcc1-ppc64-cross
- lib32gcc1-s390x-cross (1:8.3.0-2cross1)
- GCC support library (32 bit Version)
- lib32gcc1-s390x-dcv1
- virtueel pakket geboden door lib32gcc1-s390x-cross
- lib32gcc1-sparc64-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-sparc64-dcv1
- virtueel pakket geboden door lib32gcc1-sparc64-cross
- lib32gcc1-x32-cross (1:8.3.0-2cross2)
- GCC support library (32 bit Version)
- lib32gcc1-x32-dcv1
- virtueel pakket geboden door lib32gcc1-x32-cross
- lib32gfortran-7-dev (7.4.0-6)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev (8.3.0-6)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit development files)
- lib32gfortran4 (7.4.0-6)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran4-dbg (7.4.0-6)
- Runtime library for GNU Fortran applications (32 bit debug symbols)
- lib32gfortran5 (8.3.0-6)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-dbg (8.3.0-6)
- Runtime library for GNU Fortran applications (32 bit debug symbols)
- lib32gfortran5-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32gfortran5-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (32bit)
- lib32go11 (7.4.0-6)
- Runtime library for GNU Go applications (32bit)
- lib32go11-dbg (7.4.0-6)
- Runtime library for GNU Go applications (32 bit debug symbols)
- lib32go13 (8.3.0-6)
- Runtime library for GNU Go applications (32bit)
- lib32go13-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (32bit)
- lib32go13-dbg (8.3.0-6)
- Runtime library for GNU Go applications (32 bit debug symbols)
- lib32go13-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32go13-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (32bit)
- lib32go13-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32go13-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32go13-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32go13-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (32bit)
- lib32go13-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32go13-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (32bit)
- lib32gomp1 (8.3.0-6)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-amd64-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-dbg (8.3.0-6)
- GCC OpenMP (GOMP) support library (32 bit debug symbols)
- lib32gomp1-mips64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-mips64el-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-mips64r6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-mips64r6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-ppc64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-s390x-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-sparc64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gomp1-x32-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (32bit)
- lib32gphobos-7-dev (7.4.0-6)
- Phobos D standard library (32bit development files)
- lib32gphobos-8-dev (8.3.0-6)
- Phobos D standard library (32bit development files)
- lib32gphobos-8-dev-amd64-cross (8.3.0-2cross1)
- Phobos D standard library (32bit development files)
- lib32gphobos-8-dev-x32-cross (8.3.0-2cross2)
- Phobos D standard library (32bit development files)
- lib32gphobos71 (7.4.0-6)
- Phobos D standard library (runtime library)
- lib32gphobos71-dbg (7.4.0-6)
- Phobos D standard library (debug symbols)
- lib32gphobos76 (8.3.0-6)
- Phobos D standard library (runtime library)
- lib32gphobos76-amd64-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- lib32gphobos76-dbg (8.3.0-6)
- Phobos D standard library (debug symbols)
- lib32gphobos76-x32-cross (8.3.0-2cross2)
- Phobos D standard library (runtime library)
- lib32itm1 (8.3.0-6)
- GNU Transactional Memory Library (32bit)
- lib32itm1-amd64-cross (8.3.0-2cross1)
- GNU Transactional Memory Library (32bit)
- lib32itm1-dbg (8.3.0-6)
- GNU Transactional Memory Library (32 bit debug symbols)
- lib32itm1-ppc64-cross (8.3.0-2cross2)
- GNU Transactional Memory Library (32bit)
- lib32itm1-s390x-cross (8.3.0-2cross1)
- GNU Transactional Memory Library (32bit)
- lib32itm1-sparc64-cross (8.3.0-2cross2)
- GNU Transactional Memory Library (32bit)
- lib32itm1-x32-cross (8.3.0-2cross2)
- GNU Transactional Memory Library (32bit)
- lib32lsan0 (8.3.0-6)
- LeakSanitizer -- a memory leak detector (32bit)
- lib32lsan0-amd64-cross (8.3.0-2cross1)
- LeakSanitizer -- a memory leak detector (32bit)
- lib32lsan0-dbg (8.3.0-6)
- LeakSanitizer -- a memory leak detector (32 bit debug symbols)
- lib32lsan0-ppc64-cross (8.3.0-2cross2)
- LeakSanitizer -- a memory leak detector (32bit)
- lib32mpx2 (8.3.0-6)
- Intel memory protection extensions (32bit)
- lib32mpx2-amd64-cross (8.3.0-2cross1)
- Intel memory protection extensions (32bit)
- lib32mpx2-dbg (8.3.0-6)
- Intel memory protection extensions (32 bit debug symbols)
- lib32ncurses-dev (6.1+20181013-2+deb10u5) [security]
- developer's libraries for ncurses (32-bit)
- lib32ncurses5-dev
- virtueel pakket geboden door lib32ncurses-dev
- lib32ncurses6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (32-bit)
- lib32ncursesw5-dev
- virtueel pakket geboden door lib32ncurses-dev
- lib32ncursesw6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (wide character support) (32-bit)
- lib32objc-7-dev (7.4.0-6)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev (8.3.0-6)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit development files)
- lib32objc4 (8.3.0-6)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-dbg (8.3.0-6)
- Runtime library for GNU Objective-C applications (32 bit debug symbols)
- lib32objc4-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32objc4-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (32bit)
- lib32quadmath0 (8.3.0-6)
- GCC Quad-Precision Math Library (32bit)
- lib32quadmath0-amd64-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library (32bit)
- lib32quadmath0-dbg (8.3.0-6)
- GCC Quad-Precision Math Library (32 bit debug symbols)
- lib32quadmath0-x32-cross (8.3.0-2cross2)
- GCC Quad-Precision Math Library (32bit)
- lib32readline-dev (7.0-5)
- GNU readline and history libraries, development files (32-bit)
- lib32readline6-dev
- virtueel pakket geboden door lib32readline-dev
- lib32readline7 (7.0-5)
- GNU readline and history libraries, run-time libraries (32-bit)
- lib32stdc++-7-dev (7.4.0-6)
- GNU Standard C++ Library v3 (development files)
- lib32stdc++-8-dev (8.3.0-6)
- GNU Standard C++ Library v3 (development files)
- lib32stdc++-8-dev-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (amd64)
- lib32stdc++-8-dev-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64)
- lib32stdc++-8-dev-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mips64el)
- lib32stdc++-8-dev-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64r6)
- lib32stdc++-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64r6el)
- lib32stdc++-8-dev-ppc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (ppc64)
- lib32stdc++-8-dev-s390x-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (s390x)
- lib32stdc++-8-dev-sparc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (sparc64)
- lib32stdc++-8-dev-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (x32)
- lib32stdc++6 (8.3.0-6)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-7-dbg (7.4.0-6)
- GNU Standard C++ Library v3 (debugging files)
- lib32stdc++6-8-dbg (8.3.0-6)
- GNU Standard C++ Library v3 (debugging files)
- lib32stdc++6-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-amd64-dcv1
- virtueel pakket geboden door lib32stdc++6-amd64-cross
- lib32stdc++6-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-mips64-dcv1
- virtueel pakket geboden door lib32stdc++6-mips64-cross
- lib32stdc++6-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-mips64el-dcv1
- virtueel pakket geboden door lib32stdc++6-mips64el-cross
- lib32stdc++6-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-mips64r6-dcv1
- virtueel pakket geboden door lib32stdc++6-mips64r6-cross
- lib32stdc++6-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-mips64r6el-dcv1
- virtueel pakket geboden door lib32stdc++6-mips64r6el-cross
- lib32stdc++6-ppc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-ppc64-dcv1
- virtueel pakket geboden door lib32stdc++6-ppc64-cross
- lib32stdc++6-s390x-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-s390x-dcv1
- virtueel pakket geboden door lib32stdc++6-s390x-cross
- lib32stdc++6-sparc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-sparc64-dcv1
- virtueel pakket geboden door lib32stdc++6-sparc64-cross
- lib32stdc++6-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (32 bit Version)
- lib32stdc++6-x32-dcv1
- virtueel pakket geboden door lib32stdc++6-x32-cross
- lib32tinfo-dev
- virtueel pakket geboden door lib32ncurses-dev
- lib32tinfo6 (6.1+20181013-2+deb10u5) [security]
- shared low-level terminfo library for terminal handling (32-bit)
- lib32ubsan0 (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan0-dbg (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (32 bit debug symbols)
- lib32ubsan1 (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan1-amd64-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan1-dbg (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (32 bit debug symbols)
- lib32ubsan1-ppc64-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan1-s390x-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan1-sparc64-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32ubsan1-x32-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (32bit)
- lib32z-dev
- virtueel pakket geboden door lib32z1-dev
- lib32z1 (1:1.2.11.dfsg-1+deb10u2) [security]
- compression library - 32 bit runtime
- lib32z1-dev (1:1.2.11.dfsg-1+deb10u2) [security]
- compression library - 32 bit - DO NOT USE EXCEPT FOR PACKAGING
- lib3ds-1-3 (1.3.0-9+b1)
- Autodesk 3D Studio file reader C library
- lib3ds-dev (1.3.0-9+b1)
- Autodesk 3D Studio file reader development files
- lib3mf-dev (1.8.1+ds-3+deb10u1)
- Lib3MF is a C++ implementation of the 3D Manufacturing Format (development)
- lib3mf-doc (1.8.1+ds-3+deb10u1)
- Lib3MF is a C++ implementation of the 3D Manufacturing Format (documentation)
- lib3mf1 (1.8.1+ds-3+deb10u1)
- Lib3MF is a C++ implementation of the 3D Manufacturing Format
- lib4store-dev (1.1.6+20151109-2+b3)
- RDF database storage and query engine -- development files
- lib4store0 (1.1.6+20151109-2+b3)
- RDF database storage and query engine -- shared library
- lib64asan4 (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (64bit)
- lib64asan4-dbg (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (64bit debug symbols)
- lib64asan5 (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (64bit)
- lib64asan5-dbg (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (64bit debug symbols)
- lib64asan5-i386-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector (64bit)
- lib64asan5-powerpc-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector (64bit)
- lib64asan5-x32-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector (64bit)
- lib64atomic1 (8.3.0-6)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-dbg (8.3.0-6)
- support library providing __atomic built-in functions (64bit debug symbols)
- lib64atomic1-i386-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-mips-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-mipsel-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-mipsr6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-mipsr6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-powerpc-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (64bit)
- lib64atomic1-x32-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (64bit)
- lib64c-dev
- virtueel pakket geboden door libc6-dev-amd64
- lib64c-dev-i386-cross
- virtueel pakket geboden door libc6-dev-amd64-i386-cross
- lib64c-dev-mips-cross
- virtueel pakket geboden door libc6-dev-mips64-mips-cross
- lib64c-dev-mipsel-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsel-cross
- lib64c-dev-mipsn32-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsn32-cross
- lib64c-dev-mipsn32el-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsn32el-cross
- lib64c-dev-mipsn32r6-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsn32r6-cross
- lib64c-dev-mipsn32r6el-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsn32r6el-cross
- lib64c-dev-mipsr6-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsr6-cross
- lib64c-dev-mipsr6el-cross
- virtueel pakket geboden door libc6-dev-mips64-mipsr6el-cross
- lib64c-dev-powerpc-cross
- virtueel pakket geboden door libc6-dev-ppc64-powerpc-cross
- lib64c-dev-x32-cross
- virtueel pakket geboden door libc6-dev-amd64-x32-cross
- lib64cilkrts5 (7.4.0-6)
- Intel Cilk Plus language extensions (64bit)
- lib64cilkrts5-dbg (7.4.0-6)
- Intel Cilk Plus language extensions (64bit debug symbols)
- lib64gcc-7-dev (7.4.0-6)
- GCC support library (64bit development files)
- lib64gcc-8-dev (8.3.0-6)
- GCC support library (64bit development files)
- lib64gcc-8-dev-i386-cross (8.3.0-2cross1)
- GCC support library (64bit development files)
- lib64gcc-8-dev-mips-cross (8.3.0-2cross1)
- GCC support library (64bit development files)
- lib64gcc-8-dev-mipsel-cross (8.3.0-2cross1)
- GCC support library (64bit development files)
- lib64gcc-8-dev-mipsr6-cross (8.3.0-2cross2)
- GCC support library (64bit development files)
- lib64gcc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GCC support library (64bit development files)
- lib64gcc-8-dev-powerpc-cross (8.3.0-2cross2)
- GCC support library (64bit development files)
- lib64gcc-8-dev-x32-cross (8.3.0-2cross2)
- GCC support library (64bit development files)
- lib64gcc1 (1:8.3.0-6)
- GCC ondersteuningsbibliotheek (64bit)
- lib64gcc1-dbg (1:8.3.0-6)
- GCC support library (debug symbols)
- lib64gcc1-i386-cross (1:8.3.0-2cross1)
- GCC support library (64bit)
- lib64gcc1-i386-dcv1
- virtueel pakket geboden door lib64gcc1-i386-cross
- lib64gcc1-mips-cross (1:8.3.0-2cross1)
- GCC support library (64bit)
- lib64gcc1-mips-dcv1
- virtueel pakket geboden door lib64gcc1-mips-cross
- lib64gcc1-mipsel-cross (1:8.3.0-2cross1)
- GCC support library (64bit)
- lib64gcc1-mipsel-dcv1
- virtueel pakket geboden door lib64gcc1-mipsel-cross
- lib64gcc1-mipsr6-cross (1:8.3.0-2cross2)
- GCC support library (64bit)
- lib64gcc1-mipsr6-dcv1
- virtueel pakket geboden door lib64gcc1-mipsr6-cross
- lib64gcc1-mipsr6el-cross (1:8.3.0-2cross2)
- GCC support library (64bit)
- lib64gcc1-mipsr6el-dcv1
- virtueel pakket geboden door lib64gcc1-mipsr6el-cross
- lib64gcc1-powerpc-cross (1:8.3.0-2cross2)
- GCC support library (64bit)
- lib64gcc1-powerpc-dcv1
- virtueel pakket geboden door lib64gcc1-powerpc-cross
- lib64gcc1-x32-cross (1:8.3.0-2cross2)
- GCC support library (64bit)
- lib64gcc1-x32-dcv1
- virtueel pakket geboden door lib64gcc1-x32-cross
- lib64gfortran-7-dev (7.4.0-6)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev (8.3.0-6)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit development files)
- lib64gfortran4 (7.4.0-6)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran4-dbg (7.4.0-6)
- Runtime library for GNU Fortran applications (64bit debug symbols)
- lib64gfortran5 (8.3.0-6)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-dbg (8.3.0-6)
- Runtime library for GNU Fortran applications (64bit debug symbols)
- lib64gfortran5-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit)
- lib64gfortran5-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (64bit)
- lib64go11 (7.4.0-6)
- Runtime library for GNU Go applications (64bit)
- lib64go11-dbg (7.4.0-6)
- Runtime library for GNU Go applications (64bit debug symbols)
- lib64go13 (8.3.0-6)
- Runtime library for GNU Go applications (64bit)
- lib64go13-dbg (8.3.0-6)
- Runtime library for GNU Go applications (64bit debug symbols)
- lib64go13-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (64bit)
- lib64go13-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (64bit)
- lib64go13-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (64bit)
- lib64go13-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (64bit)
- lib64go13-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (64bit)
- lib64go13-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (64bit)
- lib64go13-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (64bit)
- lib64gomp1 (8.3.0-6)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-dbg (8.3.0-6)
- GCC OpenMP (GOMP) support library (64bit debug symbols)
- lib64gomp1-i386-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-mips-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-mipsel-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-mipsr6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-mipsr6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-powerpc-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gomp1-x32-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (64bit)
- lib64gphobos-7-dev (7.4.0-6)
- Phobos D standard library (64bit development files)
- lib64gphobos-8-dev (8.3.0-6)
- Phobos D standard library (64bit development files)
- lib64gphobos-8-dev-i386-cross (8.3.0-2cross1)
- Phobos D standard library (64bit development files)
- lib64gphobos-8-dev-x32-cross (8.3.0-2cross2)
- Phobos D standard library (64bit development files)
- lib64gphobos71 (7.4.0-6)
- Phobos D standard library (runtime library)
- lib64gphobos71-dbg (7.4.0-6)
- Phobos D standard library (debug symbols)
- lib64gphobos76 (8.3.0-6)
- Phobos D standard library (runtime library)
- lib64gphobos76-dbg (8.3.0-6)
- Phobos D standard library (debug symbols)
- lib64gphobos76-i386-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- lib64gphobos76-x32-cross (8.3.0-2cross2)
- Phobos D standard library (runtime library)
- lib64itm1 (8.3.0-6)
- GNU Transactional Memory Library (64bit)
- lib64itm1-dbg (8.3.0-6)
- GNU Transactional Memory Library (64bit debug symbols)
- lib64itm1-i386-cross (8.3.0-2cross1)
- GNU Transactional Memory Library (64bit)
- lib64itm1-x32-cross (8.3.0-2cross2)
- GNU Transactional Memory Library (64bit)
- lib64mpx2 (8.3.0-6)
- Intel memory protection extensions (64bit)
- lib64mpx2-dbg (8.3.0-6)
- Intel memory protection extensions (64bit debug symbols)
- lib64mpx2-i386-cross (8.3.0-2cross1)
- Intel memory protection extensions (64bit)
- lib64ncurses-dev (6.1+20181013-2+deb10u5) [security]
- developer's libraries for ncurses (64-bit)
- lib64ncurses5-dev
- virtueel pakket geboden door lib64ncurses-dev
- lib64ncurses6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (64-bit)
- lib64ncursesw6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (wide character support) (64-bit)
- lib64objc-7-dev (7.4.0-6)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev (8.3.0-6)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit development files)
- lib64objc4 (8.3.0-6)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-dbg (8.3.0-6)
- Runtime library for GNU Objective-C applications (64 bit debug symbols)
- lib64objc4-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit)
- lib64objc4-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (64bit)
- lib64quadmath0 (8.3.0-6)
- GCC Quad-Precision Math Library (64bit)
- lib64quadmath0-dbg (8.3.0-6)
- GCC Quad-Precision Math Library (64bit debug symbols)
- lib64quadmath0-i386-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library (64bit)
- lib64quadmath0-x32-cross (8.3.0-2cross2)
- GCC Quad-Precision Math Library (64bit)
- lib64readline-dev (7.0-5)
- GNU readline and history libraries, development files (64-bit)
- lib64readline6-dev
- virtueel pakket geboden door lib64readline-dev
- lib64readline7 (7.0-5)
- GNU readline and history libraries, run-time libraries (64-bit)
- lib64stdc++-7-dev (7.4.0-6)
- GNU Standard C++ Library v3 (development files)
- lib64stdc++-8-dev (8.3.0-6)
- GNU Standard C++ Library v3 (development files)
- lib64stdc++-8-dev-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (i386)
- lib64stdc++-8-dev-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mips)
- lib64stdc++-8-dev-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mipsel)
- lib64stdc++-8-dev-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mipsr6)
- lib64stdc++-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mipsr6el)
- lib64stdc++-8-dev-powerpc-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (powerpc)
- lib64stdc++-8-dev-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (x32)
- lib64stdc++6 (8.3.0-6)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-7-dbg (7.4.0-6)
- GNU Standard C++ Library v3 (debugging files)
- lib64stdc++6-8-dbg (8.3.0-6)
- GNU Standard C++ Library v3 (debugging files)
- lib64stdc++6-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-i386-dcv1
- virtueel pakket geboden door lib64stdc++6-i386-cross
- lib64stdc++6-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-mips-dcv1
- virtueel pakket geboden door lib64stdc++6-mips-cross
- lib64stdc++6-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-mipsel-dcv1
- virtueel pakket geboden door lib64stdc++6-mipsel-cross
- lib64stdc++6-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-mipsr6-dcv1
- virtueel pakket geboden door lib64stdc++6-mipsr6-cross
- lib64stdc++6-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-mipsr6el-dcv1
- virtueel pakket geboden door lib64stdc++6-mipsr6el-cross
- lib64stdc++6-powerpc-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-powerpc-dcv1
- virtueel pakket geboden door lib64stdc++6-powerpc-cross
- lib64stdc++6-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (64bit)
- lib64stdc++6-x32-dcv1
- virtueel pakket geboden door lib64stdc++6-x32-cross
- lib64tinfo6 (6.1+20181013-2+deb10u5) [security]
- shared low-level terminfo library for terminal handling (64-bit)
- lib64ubsan0 (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (64bit)
- lib64ubsan0-dbg (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (64bit debug symbols)
- lib64ubsan1 (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (64bit)
- lib64ubsan1-dbg (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (64bit debug symbols)
- lib64ubsan1-i386-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (64bit)
- lib64ubsan1-powerpc-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (64bit)
- lib64ubsan1-x32-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (64bit)
- lib64z-dev
- virtueel pakket geboden door lib64z1-dev
- lib64z1 (1:1.2.11.dfsg-1+deb10u2) [security]
- compression library - 64 bit runtime
- lib64z1-dev (1:1.2.11.dfsg-1+deb10u2) [security]
- compression library - 64 bit - DO NOT USE EXCEPT FOR PACKAGING
- liba52-0.7.4 (0.7.4-19)
- library for decoding ATSC A/52 streams
- liba52-0.7.4-dev (0.7.4-19)
- library for decoding ATSC A/52 streams (development)
- liba52-dev
- virtueel pakket geboden door liba52-0.7.4-dev
- libaa-bin (1.4p5-46)
- sample programs using aalib
- libaa1 (1.4p5-46)
- ASCII art library
- libaa1-dbg (1.4p5-46)
- ASCII art library, debugging symbols
- libaa1-dev (1.4p5-46)
- ASCII art library, development kit
- libaacs-dev (0.9.0-2)
- free-and-libre implementation of AACS (development files)
- libaacs0 (0.9.0-2)
- free-and-libre implementation of AACS
- libaal-dev (1.0.7-1)
- Reiser4's application abstraction library: Development files
- libabigail-dev (1.5-1)
- ABI Generic Analysis and Instrumentation Library (development files)
- libabigail0 (1.5-1)
- ABI Generic Analysis and Instrumentation Library (runtime)
- libabiword-3.0 (3.0.2-8)
- efficient, featureful word processor with collaboration -- shared library
- libabiword-dev (3.0.2-8)
- efficient, featureful word processor with collaboration -- development files
- libabw-0.1-1 (0.1.2-1)
- library for reading and writing AbiWord(tm) documents
- libabw-dev (0.1.2-1)
- library for reading and writing AbiWord(tm) documents -- development
- libaccess-modifier-checker-java (1.16-1)
- Maven plugin for custom access modifier checking
- libaccessodf-java (0.1.1~b-1)
- library for checking accessibility in ODF/ODT documents using Libreoffice
- libaccessors-perl (1.01-2)
- Perl module to create accessor methods in caller's package
- libaccinj64-9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA ACCINJ Library (64-bit)
- libaccounts-glib-dev (1.23-1)
- Accounts database access - development files
- libaccounts-glib-doc (1.23-1)
- Accounts database access - documentation
- libaccounts-glib-tools (1.23-1)
- Accounts database access - tools
- libaccounts-glib0 (1.23-1)
- Accounts database access - shared library
- libaccounts-qt-doc (1.15-2)
- Accounts database access Qt version - documentation
- libaccounts-qt5-1 (1.15-2)
- Accounts database access Qt version - Qt5 shared library
- libaccounts-qt5-dev (1.15-2)
- Accounts database access Qt version - Qt5 development files
- libaccountsservice-dev (0.6.45-2)
- query and manipulate user account information - header files
- libaccountsservice-doc (0.6.45-2)
- query and manipulate user account information - documentation
- libaccountsservice0 (0.6.45-2)
- query and manipulate user account information - shared libraries
- libace-6.4.5 (6.4.5+dfsg-1+b12)
- C++ network programming framework
- libace-dev (6.4.5+dfsg-1+b12)
- C++ network programming framework - development files
- libace-doc (6.4.5+dfsg-1)
- C++ network programming framework - documentation
- libace-flreactor-6.4.5 (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for FLTK
- libace-flreactor-dev (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for FLTK - development files
- libace-foxreactor-6.4.5 (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for FOX
- libace-foxreactor-dev (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for FOX - development files
- libace-htbp-6.4.5 (6.4.5+dfsg-1+b12)
- ACE protocol over HTTP tunneling library
- libace-htbp-dev (6.4.5+dfsg-1+b12)
- ACE protocol over HTTP tunneling library - development files
- libace-inet-6.4.5 (6.4.5+dfsg-1+b12)
- ACE Inet protocol library
- libace-inet-dev (6.4.5+dfsg-1+b12)
- ACE Inet protocol library - ontwikkelingsbestanden
- libace-inet-ssl-6.4.5 (6.4.5+dfsg-1+b12)
- ACE SSL-enabled Inet protocol library
- libace-inet-ssl-dev (6.4.5+dfsg-1+b12)
- ACE SSL-enabled Inet protocol library - development files
- libace-perl (1.92-8+b1)
- Object-Oriented Access to ACEDB Databases
- libace-rmcast-6.4.5 (6.4.5+dfsg-1+b12)
- ACE reliable multicast library
- libace-rmcast-dev (6.4.5+dfsg-1+b12)
- ACE reliable multicast library - development files
- libace-ssl-6.4.5 (6.4.5+dfsg-1+b12)
- ACE secure socket layer library
- libace-ssl-dev (6.4.5+dfsg-1+b12)
- ACE secure socket layer library - development files
- libace-tkreactor-6.4.5 (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for Tk
- libace-tkreactor-dev (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for Tk - development files
- libace-tmcast-6.4.5 (6.4.5+dfsg-1+b12)
- ACE transactional multicast library
- libace-tmcast-dev (6.4.5+dfsg-1+b12)
- ACE transactional multicast library - development files
- libace-xml-utils-6.4.5 (6.4.5+dfsg-1+b12)
- ACE XML utility classes and methods
- libace-xml-utils-dev (6.4.5+dfsg-1+b12)
- ACE XML utility classes and methods - development files
- libace-xtreactor-6.4.5 (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for Xt
- libace-xtreactor-dev (6.4.5+dfsg-1+b12)
- ACE-GUI reactor integration for Xt - development files
- libacexml-6.4.5 (6.4.5+dfsg-1+b12)
- ACE SAX based XML parsing library
- libacexml-dev (6.4.5+dfsg-1+b12)
- ACE SAX based XML parsing library - development files
- libacl1 (2.2.53-4)
- access control list - shared library
- libacl1-dev (2.2.53-4)
- access control list - static libraries and headers
- libacme-bleach-perl (1.150-2)
- Perl module for really clean programs
- libacme-brainfck-perl (1.1.1-2)
- Embed Brainf*ck in your perl code
- libacme-brainfuck-perl
- virtueel pakket geboden door libacme-brainfck-perl
- libacme-constant-perl (0.1.3-1)
- module that makes inconstant constants, except actually not
- libacme-damn-perl (0.08-1+b3)
- Perl module to unbless objects
- libacme-eyedrops-perl (1.62-1)
- funny way for visual programming in Perl
- libacme-poe-knee-perl (1.12-2)
- Time sliced pony race using the POE event loop
- libacpi-dev (0.2-5)
- development files for libacpi
- libacpi0 (0.2-5)
- general purpose library for ACPI
- libacsccid1 (1.1.6-1)
- PC/SC driver for ACS USB CCID smart card readers
- libactionlib-dev (1.11.15-1+deb10u1)
- Robot OS actionlib library - development files
- libactionlib-msgs-dev (1.12.7-1)
- Messages relating to the Robot OS actionlib, C/C++ interface
- libactionlib0d (1.11.15-1+deb10u1)
- Robot OS actionlib library
- libactivation-java (1.2.0-2)
- JavaBeans Activation Framework
- libactivemq-activeio-java (3.1.4-3)
- ActiveMQ ActiveIO protocol implementation framework
- libactivemq-activeio-java-doc (3.1.4-3)
- ActiveMQ ActiveIO protocol implementation framework - documentation
- libactivemq-java (5.15.16-0+deb10u1) [security]
- Java message broker core libraries
- libactivemq-protobuf-java (1.1-6)
- ActiveMQ Protocol Buffers Maven plugin
- libactivemq-protobuf-java-doc (1.1-6)
- ActiveMQ Protocol Buffers Maven plugin - documentation
- libad9361-0 (0.1-5)
- Library of functions specific to the Analog Devices AD9361
- libad9361-dev (0.1-5)
- Development files specific to the Analog Devices AD9361
- libadacgi2 (1.6-22)
- Ada CGI interface: shared library
- libadacgi2-dev (1.6-22)
- Ada CGI interface: development
- libadasockets8 (1.11.1-2)
- bindings for socket services in Ada: shared library
- libadasockets8-dev (1.11.1-2)
- bindings for socket services in Ada: development files
- libaddresses-dev (0.4.8-3+b1)
- Database API backend framework for GNUstep (development files)
- libaddresses0 (0.4.8-3+b1)
- Database API backend framework for GNUstep (library files)
- libaddressview-dev (0.4.8-3+b1)
- Address display/edit framework for GNUstep (development files)
- libaddressview0 (0.4.8-3+b1)
- Address display/edit framework for GNUstep (library files)
- libadios-bin (1.13.1-16)
- ADIOS Adaptable IO system for simulations - binaries
- libadios-dev (1.13.1-16)
- ADIOS Adaptable IO system for simulations
- libadios-examples (1.13.1-16)
- Examples for the ADIOS Adaptable IO system
- libadios-mpich-dev (1.13.1-16)
- ADIOS Adaptable IO system (MPICH development files)
- libadios-openmpi-dev (1.13.1-16)
- ADIOS Adaptable IO system (OpenMPI development files)
- libadmesh-dev (0.98.3-3)
- Tool for processing triangulated solid meshes. Development files
- libadmesh1 (0.98.3-3)
- Tool for processing triangulated solid meshes. Shared library
- libadms0 (2.3.6-2)
- Shared library for automatic device model synthesizer
- libadns1 (1.5.0~rc1-1.1)
- Asynchronous-capable DNS client library
- libadns1-bin
- virtueel pakket geboden door adns-tools
- libadns1-dbg (1.5.0~rc1-1.1)
- Asynchronous-capable DNS client library (debugging symbols)
- libadns1-dev (1.5.0~rc1-1.1)
- Asynchronous-capable DNS client library (development)
- libadolc-dev (2.6.3-2+b1)
- ADOLC development libs and headers
- libadolc2 (2.6.3-2+b1)
- ADOLC automatic differentiation system, runtime libs
- libadplug-2.2.1-0v5 (2.2.1+dfsg3-1)
- free AdLib sound library
- libadplug-dev (2.2.1+dfsg3-1)
- free AdLib sound library (development)
- libaec-dev (1.0.2-1)
- Development files for the Adaptive Entropy Coding library
- libaec-tools (1.0.2-1)
- Adaptive Entropy Coding library (utilies)
- libaec0 (1.0.2-1)
- Adaptive Entropy Coding library
- libaether-ant-tasks-java (1.0.1-4)
- Aether Ant Tasks
- libaff4-0 (0.24.post1-4)
- AFF4 - The Advanced Forensics File Format - development package
- libaff4-dev (0.24.post1-4)
- AFF4 - The Advanced Forensics File Format
- libaff4-utils (0.24.post1-4)
- AFF4 - The Advanced Forensics File Format - utilities
- libafflib-dev (3.7.17-5)
- Advanced Forensics Format Library (development files)
- libafflib0v5 (3.7.17-5)
- Advanced Forensics Format Library
- libafs-pag-perl (1.02-2+b1)
- Perl bindings for AFS PAG manipulation
- libafsauthent2 (1.8.2-1+deb10u1)
- AFS distributed file system runtime library (authentication)
- libafsrpc2 (1.8.2-1+deb10u1)
- AFS distributed file system runtime library (RPC layer)
- libafterburner.fx-java (1.7.0-2)
- minimalistic JavaFX MVP framework
- libafterburner.fx-java-doc (1.7.0-2)
- Documentation for afterburner.fx
- libafterimage-dev (2.2.12-12)
- imaging library designed for AfterStep - development files
- libafterimage0 (2.2.12-12)
- imaging library designed for AfterStep - runtime files
- libafterstep1 (2.2.12-12)
- shared libraries for the AfterStep window manager
- libagg-dev (1:2.6.0-r132+dfsg1-3)
- AntiGrain Geometry graphical toolkit (static development files)
- libagg2 (1:2.6.0-r132+dfsg1-3)
- AntiGrain Geometry graphical toolkit (runtime files)
- libagg2-dev (1:2.6.0-r132+dfsg1-3)
- AntiGrain Geometry graphical toolkit (static and shared development files)
- libagrep-ocaml (1.0-12+b2 [armhf], 1.0-12+b1 [amd64, arm64, i386])
- Wu-Manber algorithm for string searching with errors
- libagrep-ocaml-dev (1.0-12+b2 [armhf], 1.0-12+b1 [amd64, arm64, i386])
- Wu-Manber algorithm for string searching with errors
- libagrep-ocaml-dev-uydl2
- virtueel pakket geboden door libagrep-ocaml-dev
- libagrep-ocaml-dev-y3dw2
- virtueel pakket geboden door libagrep-ocaml-dev
- libagrep-ocaml-uydl2
- virtueel pakket geboden door libagrep-ocaml
- libagrep-ocaml-y3dw2
- virtueel pakket geboden door libagrep-ocaml
- libags-audio-dev (2.1.53-2)
- Advanced Gtk+ Sequencer audio processing engine (Development files)
- libags-audio-doc (2.1.53-2)
- Advanced Gtk+ Sequencer audio processing engine (API documentation)
- libags-audio2 (2.1.53-2)
- Advanced Gtk+ Sequencer audio processing library
- libags-dev (2.1.53-2)
- Advanced Gtk+ Sequencer core library (Development files)
- libags-doc (2.1.53-2)
- Advanced Gtk+ Sequencer core library (API documentation)
- libags-gui-dev (2.1.53-2)
- Advanced Gtk+ Sequencer widget library (Development files)
- libags-gui-doc (2.1.53-2)
- Advanced Gtk+ Sequencer widget library (API documentation)
- libags-gui2 (2.1.53-2)
- Advanced Gtk+ Sequencer widget library
- libags2 (2.1.53-2)
- Advanced Gtk+ Sequencer core library
- libahven-doc (2.7-2)
- Unit test library for Ada (documentation)
- libahven28 (2.7-2)
- Unit test library for Ada
- libahven7-dev (2.7-2)
- Unit test library for Ada (development)
- libai-decisiontree-perl (0.11-1+b1)
- module to create decision trees from training data
- libai-fann-perl (0.10-4+b1)
- Perl wrapper for the FANN library
- libaiksaurus-1.2-0c2a (1.2.1+dev-0.12-6.3)
- This package provides an English-language thesaurus (development)
- libaiksaurus-1.2-data (1.2.1+dev-0.12-6.3)
- This package provides an English-language thesaurus (data)
- libaiksaurus-1.2-dev (1.2.1+dev-0.12-6.3)
- This package provides an English-language thesaurus (development files)
- libaiksaurus-bin
- virtueel pakket geboden door aiksaurus
- libaiksaurus-data
- virtueel pakket geboden door libaiksaurus-1.2-data
- libaiksaurus-dev
- virtueel pakket geboden door libaiksaurus-1.2-dev
- libaiksaurusgtk-1.2-0c2a (1.2.1+dev-0.12-6.3)
- graphical interface to the Aiksaurus toolkit (library)
- libaiksaurusgtk-1.2-dev (1.2.1+dev-0.12-6.3)
- graphical interface to the Aiksaurus toolkit (development)
- libaiksaurusgtk-bin
- virtueel pakket geboden door gaiksaurus
- libaiksaurusgtk-dev
- virtueel pakket geboden door libaiksaurusgtk-1.2-dev
- libaio-dev (0.3.112-3)
- Linux kernel AIO access library - development files
- libaio1 (0.3.112-3)
- Linux kernel AIO access library - shared library
- libaio1-udeb (0.3.112-3)
- Linux kernel AIO access library - shared library
- libair-modes0 (0.0.2.c29eb60-2+b3)
- Gnuradio Mode-S/ADS-B radio - library
- libairline-java (0.7-2)
- Java annotation-based framework for parsing command line parameters
- libairspy-dev (1.0.9-3)
- Tiny and efficient software defined radio receiver - development
- libairspy0 (1.0.9-3)
- Tiny and efficient software defined radio receiver - library
- libairspyhf-dev (1.1.5-1)
- HF+VHF software defined radio receiver - development
- libairspyhf1 (1.1.5-1)
- HF+VHF software defined radio receiver - library
- libajaxtags-java (1.5.1-3)
- Java based library supporting AJAX in Java Server Pages
- libajtcl1504 (15.04b-3)
- AllJoyn thin client library
- libajtcl1509 (15.09a-3)
- AllJoyn thin client library
- libajtcl1604 (16.04-3)
- AllJoyn thin client library
- libakai0 (4.1.0~repack-2)
- library for loading and modifying akai files
- libakonadi-calendar4 (4:4.14.10-11)
- library providing calendar helpers for Akonadi items
- libakonadi-contact4 (4:4.14.10-11)
- Akonadi contacts access library
- libakonadi-dev (1.13.0-12)
- development files for the Akonadi PIM storage service
- libakonadi-kabc4 (4:4.14.10-11)
- Akonadi address book access library
- libakonadi-kcal4 (4:4.14.10-11)
- Akonadi calendar access library
- libakonadi-kde4 (4:4.14.10-11)
- library for using the Akonadi PIM data server
- libakonadi-kmime4 (4:4.14.10-11)
- Akonadi MIME handling library
- libakonadi-notes4 (4:4.14.10-11)
- Akonadi notes access library
- libakonadi-socialutils4 (4:4.14.10-11)
- Akonadi resources for handling social feeds
- libakonadi-xml4 (4:4.14.10-11)
- Akonadi XML helper library
- libakonadiprotocolinternals1 (1.13.0-12)
- libraries for the Akonadi PIM storage service
- libakuma-java (1.10-2)
- Embeddable daemonization library for Java
- libakuma-java-doc (1.10-2)
- Documentation for Embeddable daemonization library
- libalberta-dbg (3.0.1-1+b2)
- adaptive finite element library (debug symbols)
- libalberta-dev (3.0.1-1+b2)
- adaptive finite element library (development files)
- libalberta2-dev (3.0.1-1+b2)
- adaptive finite element library (transitional package)
- libalberta4 (3.0.1-1+b2)
- adaptive finite element library (library)
- libaldmb1 (1:0.9.3-6+b3)
- dynamic universal music bibliotheque, Allegro version
- libaldmb1-dev (1:0.9.3-6+b3)
- development files for libaldmb1
- libalglib-dev (3.14.0-3)
- Development files for the alglib library
- libalglib3.14 (3.14.0-3)
- Numerical analysis and data processing library
- libalgorithm-c3-perl (0.10-1)
- Perl module for merging hierarchies using the C3 algorithm
- libalgorithm-checkdigits-perl (0.50-1)
- Perl extension to generate and test check digits
- libalgorithm-combinatorics-perl (0.27-2+b5)
- module for the efficient generation of combinatorial sequences
- libalgorithm-dependency-perl (1.110-1.1)
- Base class for implementing various dependency trees in Perl
- libalgorithm-diff-perl (1.19.03-2)
- module to find differences between files
- libalgorithm-diff-xs-perl (0.04-5+b1)
- module to find differences between files (XS accelerated)
- libalgorithm-lbfgs-perl (0.16-2+b5)
- Perl interface to an L-BFGS non-linear optimization algorithm
- libalgorithm-merge-perl (0.08-3)
- Perl module for three-way merge of textual data
- libalgorithm-munkres-perl (0.08-3)
- extension for Munkres' solution to Assignment problem
- libalgorithm-naivebayes-perl (0.04-1)
- module to make bayesian prediction of categories
- libalgorithm-numerical-sample-perl (2010011201-1)
- Draw samples from a set
- libalgorithm-permute-perl (0.16-1+b1)
- module to perform permutations with object oriented interface
- libalgorithm-svm-perl (0.13-2+b3 [amd64, arm64, i386], 0.13-2+b1 [armhf])
- bindings for the libsvm Support Vector Machine library
- libalgorithms1 (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - libalgorithms
- libalias-perl (2.32-11+b5)
- module for accessing perl variables through aliases
- libaliased-perl (0.34-1)
- Perl module to provide aliases of class names
- libalien-ffi-perl
- virtueel pakket geboden door libalt-alien-ffi-system-perl
- libalien-gnuplot-perl (1.033-1)
- module to find and validate the gnuplot executable
- libalien-sdl-dev-perl (1.446-3)
- helper to build Perl program using SDL libraries
- libalien-sdl-perl (1.446-3)
- helper to get, build and use SDL libraries
- libalien-wxwidgets-perl (0.69+dfsg-2+b1)
- Perl module for locating wxWidgets binaries
- libalkimia5-7 (7.0.2-2)
- Financial library common classes for KDE SC
- libalkimia5-dev (7.0.2-2)
- Financial library - Development files
- liballeggl4-dev (2:4.4.2-13)
- development files for the allegrogl library
- liballeggl4.4 (2:4.4.2-13)
- library to mix OpenGL graphics with Allegro routines
- liballegro-acodec5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 audio codec addon
- liballegro-acodec5.2 (2:5.2.4.0-3)
- audio codec addon for the Allegro 5 library
- liballegro-audio5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 audio addon
- liballegro-audio5.2 (2:5.2.4.0-3)
- audio addon for the Allegro 5 library
- liballegro-dev
- virtueel pakket geboden door liballegro4-dev
- liballegro-dialog5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 dialog addon
- liballegro-dialog5.2 (2:5.2.4.0-3)
- dialog addon for the Allegro 5 library
- liballegro-image5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 image addon
- liballegro-image5.2 (2:5.2.4.0-3)
- image addon for the Allegro 5 library
- liballegro-physfs5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 physfs addon
- liballegro-physfs5.2 (2:5.2.4.0-3)
- physfs addon for the Allegro 5 library
- liballegro-ttf5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 ttf addon
- liballegro-ttf5.2 (2:5.2.4.0-3)
- ttf addon for the Allegro 5 library
- liballegro-video5-dev (2:5.2.4.0-3)
- header files for the Allegro 5 video addon
- liballegro-video5.2 (2:5.2.4.0-3)
- video addon for the Allegro 5 library
- liballegro4-dev (2:4.4.2-13)
- development files for the Allegro library
- liballegro4.2-dev
- virtueel pakket geboden door liballegro4-dev
- liballegro4.4 (2:4.4.2-13)
- portable library for cross-platform game and multimedia development
- liballegro5-dev (2:5.2.4.0-3)
- development files for the Allegro 5 library
- liballegro5.2 (2:5.2.4.0-3)
- portable library for cross-platform game and multimedia development
- liballjoyn-c-dev-1504 (15.04b+dfsg.1-3)
- AllJoyn C development library
- liballjoyn-c-dev-1509 (15.09a+dfsg.1-3)
- AllJoyn C development library
- liballjoyn-c-dev-1604 (16.04a+dfsg.1-3)
- AllJoyn C development library
- liballjoyn-c1504 (15.04b+dfsg.1-3)
- AllJoyn C run-time library
- liballjoyn-c1509 (15.09a+dfsg.1-3)
- AllJoyn C run-time library
- liballjoyn-c1604 (16.04a+dfsg.1-3)
- AllJoyn C run-time library
- liballjoyn-common-dev-1504 (15.04b+dfsg.1-3)
- AllJoyn common development files
- liballjoyn-common-dev-1509 (15.09a+dfsg.1-3)
- AllJoyn common development files
- liballjoyn-common-dev-1604 (16.04a+dfsg.1-3)
- AllJoyn common development files
- liballjoyn-dev-1504 (15.04b+dfsg.1-3)
- AllJoyn C++ development library
- liballjoyn-dev-1509 (15.09a+dfsg.1-3)
- AllJoyn C++ development library
- liballjoyn-dev-1604 (16.04a+dfsg.1-3)
- AllJoyn C++ development library
- liballjoyn1504 (15.04b+dfsg.1-3)
- AllJoyn C++ run-time library
- liballjoyn1509 (15.09a+dfsg.1-3)
- AllJoyn C++ run-time library
- liballjoyn1604 (16.04a+dfsg.1-3)
- AllJoyn C++ run-time library
- liballjoyngateway1504 (15.04~git20160606-4)
- AllJoyn gateway agent for 1504, library package
- liballjoynservices-dev-1504 (15.04-8)
- AllJoyn base services C++ development library
- liballjoynservices-dev-1509 (15.09-6)
- AllJoyn base services C++ development library
- liballjoynservices-dev-1604 (16.04-5)
- AllJoyn base services C++ development library
- liballjoynservices1504 (15.04-8)
- AllJoyn base services library
- liballjoynservices1509 (15.09-6)
- AllJoyn base services library
- liballjoynservices1604 (16.04-5)
- AllJoyn base services library
- liballjoyntcl-dev-1504 (15.04b-3)
- AllJoyn thin client C++ development library
- liballjoyntcl-dev-1509 (15.09a-3)
- AllJoyn thin client C++ development library
- liballjoyntcl-dev-1604 (16.04-3)
- AllJoyn thin client C++ development library
- libalog-doc (0.5.3-2)
- Logging framework for Ada (documentation)
- libalog0.5.3.1 (0.5.3-2)
- Logging framework for Ada
- libalog4-dev (0.5.3-2)
- Logging framework for Ada (development)
- libalsa-ocaml (0.2.3-1+b2 [armhf], 0.2.3-1+b1 [amd64, arm64, i386])
- OCaml bindings for the ALSA library
- libalsa-ocaml-azih5
- virtueel pakket geboden door libalsa-ocaml
- libalsa-ocaml-dev (0.2.3-1+b2 [armhf], 0.2.3-1+b1 [amd64, arm64, i386])
- OCaml bindings for the ALSA library
- libalsa-ocaml-dev-azih5
- virtueel pakket geboden door libalsa-ocaml-dev
- libalsa-ocaml-dev-od6i6
- virtueel pakket geboden door libalsa-ocaml-dev
- libalsa-ocaml-od6i6
- virtueel pakket geboden door libalsa-ocaml
- libalsaplayer-dev (0.99.81-2)
- alsaplayer plugin library (development files)
- libalsaplayer0 (0.99.81-2)
- alsaplayer plugin library
- libalt-alien-ffi-system-perl (0.21-1)
- simplified alternative to Alien::FFI that uses system libffi
- libalt-base-perl (0.2-3)
- base class for alternate modules
- libalt-perl (0.19-1)
- namespace for alternate module implementations
- libalure-dev (1.2-6+b1)
- AL Utilities REtooled (development files)
- libalure1 (1.2-6+b1)
- AL Utilities REtooled (shared library)
- libalut-dev (1.1.0-5+b2)
- OpenAL Utility Toolkit development files
- libalut0 (1.1.0-5+b2)
- OpenAL Utility Toolkit
- libalzabo-perl (0.92-4)
- Data modelling tool and RDBMS-OO mapper
- libam7xxx-dev (0.1.7-1+b1)
- library for accessing am7xxx devices - development files
- libam7xxx0.1 (0.1.7-1+b1)
- library for accessing am7xxx based devices
- libam7xxx0.1-bin (0.1.7-1+b1)
- library for accessing am7xxx devices - utilities
- libam7xxx0.1-doc (0.1.7-1)
- library for accessing am7xxx devices - documentation
- libamazon-s3-perl (0.45-2)
- portable client interface to Amazon Simple Storage System (S3)
- libamazon-sqs-simple-perl (2.07-1)
- Perl module for accessing the Amazon Simple Queue
- libambit0 (0.3-2)
- utilities for Suunto Ambit sport watches
- libambit0-dev (0.3-2)
- utilities for Suunto Ambit sport watches
- libambix-dev (0.1.1-1+b1)
- AMBIsonics eXchange library (development files)
- libambix-doc (0.1.1-1)
- AMBIsonics eXchange library (documentation)
- libambix-utils (0.1.1-1+b1)
- AMBIsonics eXchange library (utilities)
- libambix0 (0.1.1-1+b1)
- AMBIsonics eXchange library
- libamd2 (1:5.4.0+dfsg-1)
- approximate minimum degree ordering library for sparse matrices
- libamtk-5-0 (5.0.0-3)
- Actions, Menus and Toolbars Kit for GTK+
- libamtk-5-common (5.0.0-3)
- Actions, Menus and Toolbars Kit for GTK+ - architecture-independent files
- libamtk-5-dev (5.0.0-3)
- Actions, Menus and Toolbars Kit for GTK+ - development files
- libanalitza-dev (4:17.08.3-2+b3)
- development files for Analitza
- libanalitza8 (4:17.08.3-2+b3)
- library to work with mathematical expressions
- libanalitzagui8 (4:17.08.3-2+b3)
- library to work with mathematical expressions - GUI routines
- libanalitzaplot8 (4:17.08.3-2+b3)
- library to work with mathematical expressions - plotting bits
- libanalitzawidgets8 (4:17.08.3-2+b3)
- library to work with mathematical expressions - widgets
- libandroid-23-java (6.0.1+r72-5)
- Android framework for API Level 23 (6.0 Marshmallow)
- libandroid-json-java (8.1.0+r23-2)
- Android rewrite of the evil licensed json.org
- libandroid-json-org-java (20121204-20090211-5)
- androids rewrite of the evil licensed json.org
- libandroid-json-org-java-doc (20121204-20090211-5)
- Documentation for androids rewrite of the evil licensed json.org
- libandroid-uiautomator-23-java (6.0.1+r72-5)
- UI Automator framework for API Level 23 (6.0 Marshmallow)
- libanet0.4.1 (0.4.1-2)
- Ada networking library
- libanet3-dev (0.4.1-2)
- Ada networking library (development)
- libanfo-dev
- virtueel pakket geboden door libanfo0-dev
- libanfo0 (0.98-7)
- Library for Short Read Aligner/Mapper from MPG
- libanfo0-dev (0.98-7)
- Development files for Short Read Aligner/Mapper from MPG
- libangles-dev (1.9.11-3)
- Robot OS set of simple math utilities to work with angles
- libanimal-sniffer-java (1.16-1)
- JDK/API verification tools
- libanimal-sniffer-java-doc (1.16-1)
- Documentation for Animal Sniffer
- libanjuta-3-0 (2:3.28.0-5)
- GNOME development IDE, for C/C++ - shared libraries
- libanjuta-dev (2:3.28.0-5)
- GNOME development IDE, for C/C++ - development files
- libann-dev (1.1.2+doc-7)
- Approximate Nearest Neighbor Searching library (development files)
- libann0 (1.1.2+doc-7)
- Approximate Nearest Neighbor Searching library
- libannotation-indexer-java (1.12-1)
- Indexing and validation of Java annotations
- libannotation-indexer-java-doc (1.12-1)
- Documentation for libannotation-indexer-java
- libansicolor-perl (= 4.06)
- virtueel pakket geboden door perl
- libantelope-java (3.5.1-4)
- graphical user interface for Ant
- libantelope-java-doc (3.5.1-4)
- graphical user interface for Ant - documentation
- libanthy-dev (1:0.3-8.1)
- Japanese kana-kanji conversion - runtime library
- libanthy1 (1:0.3-8.1)
- Japanese kana-kanji conversion - runtime library
- libanthyinput-dev (1:0.3-8.1)
- Japanese kana-kanji conversion - runtime library for input
- libanthyinput0 (1:0.3-8.1)
- Japanese kana-kanji conversion - runtime library for input
- libantlr-dev (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc
- libantlr-java (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc (java library)
- libantlr-maven-plugin-java (2.2-4)
- Maven ANTLR Plugin
- libantlr3-gunit-java (3.5.2-9)
- Unit Test framework for ANTLR grammars
- libantlr3-gunit-java-doc (3.5.2-9)
- API documentation for gUnit
- libantlr3-runtime-java (3.5.2-9)
- Runtime library for ANTLR 3
- libantlr3.2-gunit-java (3.2-16)
- Unit Test framework for ANTLR grammars
- libantlr3c-3.4-0 (3.4+dfsg-3)
- ANTLR v3 parser generator C runtime
- libantlr3c-3.4-0
- virtueel pakket geboden door libantlr3c-antlrdbg-3.4-0
- libantlr3c-antlrdbg-3.4-0 (3.4+dfsg-3)
- ANTLR v3 parser generator C runtime with ANTLR debugger
- libantlr3c-dev (3.4+dfsg-3)
- ANTLR v3 parser generator C runtime [development files]
- libantlr4-runtime-dev (4.7.2+dfsg-1)
- ANTLR Parser Generator - C++ runtime support (development files)
- libantlr4-runtime-java (4.7.2-1)
- Runtime library for ANTLR 4
- libantlr4-runtime4.7.2 (4.7.2+dfsg-1)
- ANTLR Parser Generator - C++ runtime support (shared library)
- libany-moose-perl (0.27-2)
- module to use either Moose or Mouse, based on availability
- libany-template-processdir-perl (0.08-3)
- Perl module for processing a directory of templates
- libany-uri-escape-perl (0.01-3)
- module to load URI::Escape::XS preferentially over URI::Escape
- libanydata-perl (0.12-1)
- simple tied hash interface for files and data structures
- libanyevent-aggressiveidle-perl (0.04-1)
- Aggressive idle processes for AnyEvent.
- libanyevent-cachedns-perl (0.08-2)
- simple DNS resolver with caching for AnyEvent
- libanyevent-callback-perl (0.06-1)
- callback aggregator for AnyEvent watchers
- libanyevent-connection-perl (0.06-5)
- base class for TCP clients
- libanyevent-dbd-pg-perl (0.03-5)
- AnyEvent interface to DBD::Pg's async interface
- libanyevent-dbi-perl (3.04-1)
- module supporting asynchronous DBI access
- libanyevent-fcgi-perl (0.04-1)
- Perl non-blocking FastCGI server
- libanyevent-feed-perl (0.3-1)
- Perl module to retrieve new entries in an RSS/Atom feed
- libanyevent-fork-perl (1.31-1)
- module to create new processes
- libanyevent-forkobject-perl (0.09-1)
- fork jail for AnyEvent
- libanyevent-handle-udp-perl (0.049-1)
- client/server UDP handles for AnyEvent
- libanyevent-http-perl (2.24-1)
- simple non-blocking HTTP/HTTPS client
- libanyevent-http-scopedclient-perl (0.0.5-2)
- AnyEvent::HTTP based scoped client
- libanyevent-httpd-perl (0.93-5)
- simple lightweight event based web (application) server
- libanyevent-i3-perl (0.17-1)
- Perl module to communicate with the i3 window manager
- libanyevent-irc-perl (0.97-2)
- Perl module for handling IRC connections
- libanyevent-memcached-perl (0.08-1)
- AnyEvent memcached client
- libanyevent-perl (7.140-3)
- event loop framework with multiple implementations
- libanyevent-processor-perl (0.006-1)
- class for AnyEvent tasks that may be interrupted
- libanyevent-rabbitmq-perl (1.19+dfsg-2)
- asynchronous and multi channel Perl AMQP client
- libanyevent-redis-perl (0.24-2)
- Perl module that implements a non-blocking Redis client
- libanyevent-serialize-perl (0.04-1)
- serializer and deserializer for AnyEvent
- libanyevent-termkey-perl (0.02-3)
- module for terminal key input using libtermkey with AnyEvent
- libanyevent-tools-perl (0.12-1)
- instrument collection for AnyEvent
- libanyevent-xmpp-perl (0.55-5)
- implementation of the XMPP Protocol
- libanyevent-yubico-perl (0.9.3-2)
- Perl module for validating YubiKey OTPs in AnyEvent applications
- libao-common (1.2.2+20180113-1)
- Cross Platform Audio Output Library (Common files)
- libao-dbg (1.2.2+20180113-1)
- Cross Platform Audio Output Library Debug Symbols
- libao-dev (1.2.2+20180113-1)
- Cross Platform Audio Output Library Development
- libao-ocaml (0.2.0-2+b2 [armhf], 0.2.0-2+b1 [amd64, arm64, i386])
- OCaml bindings for libao -- runtime files
- libao-ocaml-dev (0.2.0-2+b2 [armhf], 0.2.0-2+b1 [amd64, arm64, i386])
- OCaml bindings for libao -- development files
- libao-ocaml-dev-ezwb8
- virtueel pakket geboden door libao-ocaml-dev
- libao-ocaml-dev-yoqi4
- virtueel pakket geboden door libao-ocaml-dev
- libao-ocaml-ezwb8
- virtueel pakket geboden door libao-ocaml
- libao-ocaml-yoqi4
- virtueel pakket geboden door libao-ocaml
- libao4 (1.2.2+20180113-1)
- Cross Platform Audio Output Library
- libaoflagger0 (2.13.0-1+b2)
- Find RFI in radio astronomical observations (shared lib)
- libaom-dev (1.0.0-3+deb10u1) [security]
- AV1 Video Codec Library -- Development Files
- libaom-doc (1.0.0-3+deb10u1) [security]
- AV1 Video Codec Library -- Documentation
- libaom0 (1.0.0-3+deb10u1) [security]
- AV1 Video Codec Library
- libaopalliance-java (20070526-6)
- library for interoperability for Java AOP implementations
- libaopalliance-java-doc (20070526-6)
- library for interoperability for Java AOP implementations - documentation
- libaosd-dev (0.2.7-1.1+b1)
- atheme.org's on screen display library - dev
- libaosd-text2 (0.2.7-1.1+b1)
- atheme.org's on screen display library - text layout
- libaosd2 (0.2.7-1.1+b1)
- atheme.org's on screen display library - main
- libapache-admin-config-perl (0.95-1)
- module to read/write Apache like configuration files
- libapache-asp-perl (2.63-1)
- perl Apache::ASP - Active Server Pages for Apache with mod_perl
- libapache-authenhook-perl (2.00-04+pristine-6+b1)
- Perl API for Apache 2.1 authentication
- libapache-authznetldap-perl (0.07-6)
- Apache-Perl module that enables to authorize a user with LDAP attributes
- libapache-db-perl (0.14-5+b1)
- module to run the interactive Perl debugger under mod_perl
- libapache-dbi-perl (1.12-2)
- interface connecting Apache server to database via perl's DBI
- libapache-dbilogger-perl (0.93-13)
- Perl module for tracking what's being transferred in a DBI database
- libapache-directory-api-java (1.0.0-1)
- Apache Directory LDAP API
- libapache-directory-jdbm-java (2.0.0~M2-2)
- ApacheDS JDBM Implementation
- libapache-gallery-perl (1.0.2-5)
- Apache module to create image galleries on-the-fly
- libapache-htgroup-perl (1.23-2)
- interface to Apache authentication group files
- libapache-htpasswd-perl (1.9-1)
- module to manage Unix crypt-style password file
- libapache-logformat-compiler-perl (0.35-1)
- Perl module to pre-compile a LogFormat string
- libapache-mime4j-java (0.8.2-1)
- MIME and RFC822 parser for Java
- libapache-mod-jk-doc (1:1.2.46-1+deb10u2) [security]
- Documentation of libapache2-mod-jk package
- libapache-poi-java (4.0.1-1)
- Apache POI - Java API for Microsoft Documents
- libapache-poi-java-doc (4.0.1-1)
- Apache POI - Java API for Microsoft Documents (Documentation)
- libapache-pom-java (18-1)
- Maven metadata for all Apache Software projects
- libapache-session-browseable-perl (1.3.0-1+deb10u1) [security]
- module adding index and search methods to Apache::Session
- libapache-session-ldap-perl (0.4-1+deb10u1) [security]
- LDAP implementation of Apache::Session
- libapache-session-memcached-perl (0.03-2)
- Perl module for storing persistent data using memcached
- libapache-session-perl (1.93-3)
- modules for keeping persistent user data across HTTP requests
- libapache-session-sqlite3-perl (0.03-1)
- SQLite3 implementation of Apache::Session
- libapache-session-wrapper-perl (0.34-3)
- simple wrapper around Apache::Session
- libapache-sessionx-perl (2.01-5)
- extended persistence framework for session data
- libapache-singleton-perl (0.17-1)
- Singleton class for mod_perl
- libapache-ssllookup-perl (2.00-04-2+b1)
- glue layer between Perl handlers and the mod_ssl public API
- libapache2-authcassimple-perl (0.10-3)
- Apache2 module to authenticate trough a CAS server
- libapache2-authcookie-perl (3.27-1)
- Perl Authentication and Authorization via cookies
- libapache2-mod-apparmor (2.13.2-10)
- changehat AppArmor library as an Apache module
- libapache2-mod-apreq2 (2.13-7~deb10u2) [security]
- generic Apache request library - Apache module
- libapache2-mod-auth-cas (1.2-1)
- CAS authentication module for Apache2
- libapache2-mod-auth-gssapi (1.6.1-1)
- GSSAPI Authentication module for Apache2
- libapache2-mod-auth-kerb (5.4-2.4~deb10u1)
- apache2 module for Kerberos authentication
- libapache2-mod-auth-mellon (0.14.2-1+deb10u1) [security]
- SAML 2.0 authentication module for Apache
- libapache2-mod-auth-openid (0.8-5)
- OpenID authentication module for Apache2
- libapache2-mod-auth-openidc (2.3.10.2-1+deb10u4) [security]
- OpenID Connect authentication module for Apache
- libapache2-mod-auth-pgsql (2.0.3-6.1)
- Module for Apache2 which provides PostgreSQL authentication
- libapache2-mod-auth-plain (2.0.52)
- Module for Apache2 which provides plaintext authentication
- libapache2-mod-auth-pubtkt (0.13-1)
- key-based single-sign-on authentication module for Apache
- libapache2-mod-auth-radius (1.5.8-1.3)
- Apache 2.x module for RADIUS authentication
- libapache2-mod-auth-tkt (2.3.99~b1-1)
- lightweight single-sign-on authentication module for Apache
- libapache2-mod-authn-sasl (1.2-2)
- SASL authentication backend provider for Apache
- libapache2-mod-authn-yolo (1.1-1)
- Yolo style authentication for Apache 2
- libapache2-mod-authn-yubikey (1.0-2)
- Yubikey authentication provider for Apache
- libapache2-mod-authnz-external (3.3.2-0.1+b1)
- authenticate Apache against external authentication services
- libapache2-mod-authnz-pam (1.2.0-1)
- PAM authorization checker and PAM Basic Authentication provider
- libapache2-mod-authz-unixgroup (1.1.0-0.1)
- access control based on on unix group membership for Apache
- libapache2-mod-bw (0.92-11)
- bandwidth limiting module for apache2
- libapache2-mod-dacs (1.4.40-2)
- Distributed Access Control System (DACS) - Apache Module
- libapache2-mod-defensible (1.4-3.2)
- module for Apache2 which provides DNSBL usage
- libapache2-mod-dnssd (0.6-3.2)
- Zeroconf support for Apache 2 via avahi
- libapache2-mod-encoding (20040616-6)
- Apache2 module for non-ascii filename interoperability
- libapache2-mod-evasive (1.10.1-3)
- evasive module to minimize HTTP DoS or brute force attacks
- libapache2-mod-fcgid (1:2.3.9-4)
- FastCGI interface module for Apache 2
- libapache2-mod-form (0~svn146-1)
- Apache module to decode data submitted from Web forms
- libapache2-mod-form-dev (0~svn146-1)
- Apache module to decode data submitted from Web forms (development files)
- libapache2-mod-geoip (1.2.10-1)
- GeoIP support for apache2
- libapache2-mod-gnutls (0.9.0-1.1~deb10u1)
- Apache module for TLS encryption with GnuTLS
- libapache2-mod-intercept-form-submit (1.1.0-1)
- Apache module to intercept login form submission and run PAM authentication
- libapache2-mod-jk (1:1.2.46-1+deb10u2) [security]
- Apache 2 connector for the Tomcat Java servlet engine
- libapache2-mod-ldap-userdir (1.1.19-2.1)
- Apache module that provides UserDir lookups via LDAP
- libapache2-mod-ldap-userdir-dbg (1.1.19-2.1)
- Debugging symbols for mod_ldap_userdir
- libapache2-mod-lisp (1.3.1-1.3)
- An Apache2 module that interfaces with Lisp environments
- libapache2-mod-log-slow (1.0.8-3)
- Apache module for logging of slow requests handling
- libapache2-mod-log-sql (1.100-16.3+b1)
- Use SQL to store/write your Apache queries logs - Base
- libapache2-mod-log-sql-dbi (1.100-16.3+b1)
- Use SQL to store/write your Apache queries logs - DBI interface
- libapache2-mod-log-sql-mysql (1.100-16.3+b1)
- Use SQL to store/write your Apache queries logs - MySQL interface
- libapache2-mod-log-sql-ssl (1.100-16.3+b1)
- Use SQL to store/write your Apache queries logs - SSL extension
- libapache2-mod-lookup-identity (1.0.0-1)
- Apache module to retrieve additional information about the authenticated user
- libapache2-mod-mapcache (1.6.1-3)
- tile caching server - Apache module
- libapache2-mod-md (2.4.38-3+deb10u10) [security]
- transitional package
- libapache2-mod-mime-xattr (0.4-6)
- Apache2 module to get MIME info from filesystem extended attributes
- libapache2-mod-mono (3.8-3)
- Apache module for running ASP.NET applications on Mono
- libapache2-mod-musicindex (1.4.1-3)
- Browse, stream, download and search through MP3/Ogg/FLAC files
- libapache2-mod-neko (2.2.0-2+b12)
- Apache module for running server-side Neko programs
- libapache2-mod-netcgi-apache (4.1.2-3+b1)
- OCaml application-level Internet libraries - netcgi2 Apache2 connector
- libapache2-mod-ocamlnet
- virtueel pakket geboden door libapache2-mod-netcgi-apache
- libapache2-mod-parser3 (3.4.5-4)
- Parser 3, HTML-embedded scripting language (Apache2 module)
- libapache2-mod-passenger (5.0.30-1.1)
- Rails and Rack support for Apache2
- libapache2-mod-perl2 (2.0.10-3)
- Integration of perl with the Apache2 web server
- libapache2-mod-perl2-dev (2.0.10-3)
- Integration of perl with the Apache2 web server - development files
- libapache2-mod-perl2-doc (2.0.10-3)
- Integration of perl with the Apache2 web server - documentation
- libapache2-mod-php (2:7.3+69)
- server-side, HTML-embedded scripting language (Apache 2 module) (default)
- libapache2-mod-php
- virtueel pakket geboden door libapache2-mod-php7.3
- libapache2-mod-php7.3 (7.3.31-1~deb10u6) [security]
- server-side, HTML-embedded scripting language (Apache 2 module)
- libapache2-mod-proxy-msrpc (0.6-1)
- Apache module for Outlook Anywhere support in reverse proxy setups
- libapache2-mod-proxy-uwsgi (2.4.38-3+deb10u10) [security]
- transitional package
- libapache2-mod-python (3.3.1-11)
- Python-embedding module for Apache 2
- libapache2-mod-python-doc (3.3.1-11)
- Python-embedding module for Apache 2 - documentation
- libapache2-mod-qos (11.61-1)
- quality of service module for the apache2
- libapache2-mod-r-base (1.2.8-1+b3)
- server-side R integration with Apache 2
- libapache2-mod-removeip (1.0b-5.2)
- Module to remove IP from apache2's logs
- libapache2-mod-rivet (3.1.1-1)
- Server-side Tcl programming system combining ease of use and power
- libapache2-mod-rivet-doc (3.1.1-1)
- Documentation for Rivet, a server-side Tcl programming system
- libapache2-mod-rpaf (0.6-13)
- module for Apache2 which takes the last IP from the 'X-Forwarded-For' header
- libapache2-mod-ruwsgi (2.0.18-1)
- uwsgi module for Apache2 (mod_Ruwsgi)
- libapache2-mod-ruwsgi-dbg (2.0.18-1)
- debugging symbols for Apache2 mod_Ruwsgi
- libapache2-mod-scgi (1.13-1.1)
- Apache module implementing the SCGI protocol
- libapache2-mod-security2 (2.9.3-1+deb10u2) [security]
- Tighten web applications security for Apache
- libapache2-mod-shib (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (Apache module)
- libapache2-mod-shib2 (3.0.4+dfsg1-1+deb10u2)
- transitional package
- libapache2-mod-svn (1.10.4-1+deb10u3)
- Apache Subversion server modules for Apache httpd
- libapache2-mod-upload-progress (0.2-2)
- upload progress support for the Apache web server
- libapache2-mod-uwsgi (2.0.18-1)
- uwsgi module for Apache2 (mod_uwsgi)
- libapache2-mod-uwsgi-dbg (2.0.18-1)
- debugging symbols for Apache2 mod_uwsgi
- libapache2-mod-vhost-ldap (2.4.0-1)
- Apache 2 module for Virtual Hosting from LDAP
- libapache2-mod-watchcat (1.1.2-1)
- Process monitoring Apache module
- libapache2-mod-webauth (4.7.0-7)
- Apache module for WebAuth authentication
- libapache2-mod-webauthldap (4.7.0-7)
- Apache module for WebAuth LDAP lookup and authorization
- libapache2-mod-webkdc (4.7.0-7)
- Apache modules for a WebAuth authentication KDC
- libapache2-mod-wsgi (4.6.5-1+deb10u1) [security]
- Python WSGI adapter module for Apache
- libapache2-mod-wsgi-py3 (4.6.5-1+deb10u1) [security]
- Python 3 WSGI adapter module for Apache
- libapache2-mod-xforward (0.6-1)
- Apache module implements redirection based on X-Forward response header
- libapache2-mod-xsendfile (0.12-2)
- Serve large static files efficiently from web applications
- libapache2-mpm-itk (2.4.7-04-1)
- multiuser module for Apache
- libapache2-reload-perl (0.13-2)
- module for reloading Perl modules when changed on disk
- libapache2-request-perl (2.13-7~deb10u2) [security]
- generic Apache request library - Perl modules
- libapache2-sitecontrol-perl (1.05-2)
- perl web site authentication/authorization system
- libapacheds-i18n-java (2.0.0~M24-3)
- Apache Directory Server (i18n)
- libapacheds-java (2.0.0~M24-3)
- Apache Directory Server (Libraries)
- libapacheds-kerberos-codec-java (2.0.0~M24-3)
- Apache Directory Server (Kerberos Codec)
- libapertium
- virtueel pakket geboden door libapertium3-3.5-1
- libapertium3-3.5-1 (3.5.2-1)
- Shared library for Apertium
- libapksig-java (0.8-2)
- library to sign and verify Android APKs
- libapophenia
- virtueel pakket geboden door libapophenia2
- libapophenia-dev
- virtueel pakket geboden door libapophenia2-dev
- libapophenia2 (1.0+ds-7+b13)
- Apophenia Statistical C Library -- library package
- libapophenia2-dev (1.0+ds-7+b13)
- Apophenia Statistical C Library -- development package
- libapp-cache-perl (0.37-2)
- Easy application-level caching library for perl
- libapp-cell-perl (0.222-1)
- configuration, error-handling, localization, and logging "framework"
- libapp-cli-perl (0.313-2)
- Dispatcher module for command line interface programs
- libapp-cmd-perl (0.331-1)
- Perl interface to write command line apps with less suffering
- libapp-cmd-plugin-prompt-perl (1.005-3)
- plug prompting routines into your commands
- libapp-control-perl (1.02-3)
- Perl module for apachectl style control of another executable
- libapp-cpants-lint-perl (0.05-5)
- commandline frontend to Module::CPANTS::Analyse - library
- libapp-daemon-perl (0.22-3)
- Perl module to start an Application as a Daemon
- libapp-fatpacker-perl (0.010008-1)
- module to pack dependencies onto script files
- libapp-info-perl (0.57-2)
- module to provide metadata about software packages installed
- libapp-nopaste-perl (1.012-1)
- application for easy access to any pastebin
- libapp-options-perl (1.12-2)
- command-line option values processing system
- libapp-rad-perl (1.05-2)
- Perl module for rapid and easy creation of command line applications
- libapp-repl-perl (0.012-2)
- container for functions for the iperl program
- libapp-termcast-perl (0.13-3)
- termcasting module and client
- libapparmor-dev (2.13.2-10)
- AppArmor development libraries and header files
- libapparmor-perl (2.13.2-10)
- AppArmor library Perl bindings
- libapparmor1 (2.13.2-10)
- changehat AppArmor library
- libappconfig-perl (1.71-2)
- Perl module for configuration file and command line handling
- libappconfig-std-perl (1.10-1)
- Perl module for standard app configuration
- libappframework-java
- virtueel pakket geboden door libbetter-appframework-java
- libappimage-dev (0.1.9+dfsg-1)
- Development files for libappimage
- libappimage0 (0.1.9+dfsg-1)
- Core library for appimage
- libappindicator-dev (0.4.92-7)
- allow applications to export a menu into the panel -- development
- libappindicator-doc (0.4.92-7)
- allow applications to export a menu into the panel -- documentation
- libappindicator1 (0.4.92-7)
- allow applications to export a menu into the panel
- libappindicator3-0.1-cil (12.10.0+git20151221-5)
- CLI binding for libayatana-appindicator3 using GObject introspection
- libappindicator3-0.1-cil-dev (12.10.0+git20151221-5)
- CLI binding for libayatana-appindicator3 using GObject introspection
- libappindicator3-1 (0.4.92-7)
- allow applications to export a menu into the panel -- GTK3 version
- libappindicator3-dev (0.4.92-7)
- allow applications to export a menu into the panel -- GTK3 development
- (0.7.1-1)
- Common header files for GtkMenuShell to GMenuModel parser
- (0.7.1-1)
- GtkMenuShell to GMenuModel parser (GTK+2.0 development files)
- (0.7.1-1)
- GtkMenuShell to GMenuModel parser (GTK+2.0)
- (0.7.1-1)
- GtkMenuShell to GMenuModel parser (GTK+3.0 development files)
- (0.7.1-1)
- GtkMenuShell to GMenuModel parser (GTK+3.0)
- libappstream-dev (0.12.5-1)
- Library to access AppStream services (development files)
- libappstream-glib-dev (0.7.14-1+deb10u1)
- GNOME library to access AppStream services (development files)
- libappstream-glib8 (0.7.14-1+deb10u1)
- GNOME library to access AppStream services
- libappstream4 (0.12.5-1)
- Library to access AppStream services
- libappstreamqt-dev (0.12.5-1)
- Qt5 library to access AppStream services (development files)
- libappstreamqt2 (0.12.5-1)
- Qt5 library to access AppStream services
- libapr-memcache-dev (0.7.0-3+b1)
- memcache client - development libraries
- libapr-memcache0 (0.7.0-3+b1)
- memcache client - shared library
- libapr1 (1.6.5-1+b1)
- Apache Portable Runtime Library
- libapr1-dbg (1.6.5-1+b1)
- Apache Portable Runtime Library - Debugging Symbols
- libapr1-dev (1.6.5-1+b1)
- Apache Portable Runtime Library - Development Headers
- libapreq2-3 (2.13-7~deb10u2) [security]
- generic Apache request library
- libapreq2-dev (2.13-7~deb10u2) [security]
- generic Apache request library - development files
- libapreq2-doc (2.13-7~deb10u2) [security]
- generic Apache request library - documentation
- libapriltag-dev (0.10.0-3)
- AprilTags Visual Fiducial System
- libapriltag1 (0.10.0-3)
- AprilTags Visual Fiducial System
- libapron (0.9.10-9+b4 [armhf], 0.9.10-9+b3 [amd64, arm64, i386])
- runtime libraries for APRON
- libapron-dev (0.9.10-9)
- abstract interpretation library
- libapron-ocaml (0.9.10-9+b4 [armhf], 0.9.10-9+b3 [amd64, arm64, i386])
- runtime libraries for APRON (OCaml interface)
- libapron-ocaml-88t95
- virtueel pakket geboden door libapron-ocaml
- libapron-ocaml-d1hi5
- virtueel pakket geboden door libapron-ocaml
- libapron-ocaml-dev (0.9.10-9+b4 [armhf], 0.9.10-9+b3 [amd64, arm64, i386])
- abstract interpretation library (OCaml interface)
- libapron-ocaml-dev-88t95
- virtueel pakket geboden door libapron-ocaml-dev
- libapron-ocaml-dev-d1hi5
- virtueel pakket geboden door libapron-ocaml-dev
- libaprutil1 (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library
- libaprutil1-dbd-mysql (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - MySQL Driver
- libaprutil1-dbd-odbc (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - ODBC Driver
- libaprutil1-dbd-pgsql (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - PostgreSQL Driver
- libaprutil1-dbd-sqlite3 (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - SQLite3 Driver
- libaprutil1-dbg (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - Debugging Symbols
- libaprutil1-dev (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - Development Headers
- libaprutil1-ldap (1.6.1-4+deb10u1) [security]
- Apache Portable Runtime Utility Library - LDAP Driver
- libapt-inst (= 1.8.2.2)
- virtueel pakket geboden door libapt-inst2.0
- libapt-inst (= 1.8.2.3)
- virtueel pakket geboden door libapt-inst2.0
- libapt-inst2.0 (1.8.2.3)
- deb package format runtime library
- libapt-pkg (= 1.8.2.2)
- virtueel pakket geboden door libapt-pkg5.0
- libapt-pkg (= 1.8.2.3)
- virtueel pakket geboden door libapt-pkg5.0
- libapt-pkg-dev (1.8.2.3)
- development files for APT's libapt-pkg and libapt-inst
- libapt-pkg-doc (1.8.2.3)
- documentation for APT development
- libapt-pkg-perl (0.1.34+b1)
- Perl interface to libapt-pkg
- libapt-pkg5.0 (1.8.2.3)
- package management runtime library
- libaqbanking-backend
- virtueel pakket geboden door libaqhbci24
- libaqbanking-data (5.7.8-3)
- configuration files for libaqbanking
- libaqbanking-dev (5.7.8-3)
- library for online banking applications
- libaqbanking-doc (5.7.8-3)
- library for online banking applications
- libaqbanking35 (5.7.8-3)
- library for online banking applications
- libaqbanking35-plugins (5.7.8-3)
- plugins needed by libaqbanking35
- libaqebics0 (5.7.8-3)
- library for EBICS online banking
- libaqhbci24 (5.7.8-3)
- library for HBCI online banking
- libaqofxconnect7 (5.7.8-3)
- library for OFX online banking
- libaqsis-dev (1.8.2-11+b1)
- 3D rendering solution adhering to the RenderMan(R) standard, development files
- libaqsis1 (1.8.2-11+b1)
- 3D rendering solution adhering to the RenderMan(R) standard, shared libs
- libaravis-0.6-0 (0.6.0-2)
- glib/gobject based library for video acquisition using GenICam cameras
- libaravis-dev (0.6.0-2)
- Development files for aravis library
- libarb (6.0.6-4) [non-free]
- phylogenetic sequence analysis suite - libraries
- libarb-dev (6.0.6-4) [non-free]
- phylogenetic sequence analysis suite - development files
- libarccommon3v5 (5.4.3-2)
- ARC Grid middleware
- libarch-perl (0.5.2-2)
- high-level interface to GNU Arch
- libarchive-any-create-perl (0.3-2)
- abstract API to create tar/tar.gz/zip archives
- libarchive-any-lite-perl (0.11-1)
- simple CPAN package extractor
- libarchive-any-perl (0.0945-1)
- Perl module to deal with file archives in any format
- libarchive-ar-perl (2.02-2)
- Perl interface for manipulating ar archives
- libarchive-cpio-perl (0.10-1)
- module for manipulations of cpio archives
- libarchive-dev (3.3.3-4+deb10u3) [security]
- Multi-format archive and compression library (development files)
- (0.80-1)
- generic archive extracting module
- libarchive-peek-perl (0.35-2)
- Perl module for peeking into archives without extracting them
- libarchive-tar-perl (= 2.30)
- virtueel pakket geboden door perl
- libarchive-tar-wrapper-perl (0.33-1)
- API wrapper around the 'tar' utility
- libarchive-tools (3.3.3-4+deb10u3) [security]
- FreeBSD implementations of 'tar' and 'cpio' and other archive tools
- libarchive-zip-perl (1.64-1)
- Perl module for manipulation of ZIP archives
- libarchive13 (3.3.3-4+deb10u3) [security]
- Multi-format archive and compression library (shared library)
- libarcus-dev (3.3.0-2)
- message queue for Cura based on protobuf (development files)
- libarcus3 (3.3.0-2)
- message queue for Cura based on protobuf (shared library)
- libargon2-0 (0~20171227-0.2)
- memory-hard hashing function - runtime library
- libargon2-0-dev
- virtueel pakket geboden door libargon2-dev
- libargon2-1 (0~20171227-0.2)
- memory-hard hashing function - runtime library
- libargon2-1-udeb (0~20171227-0.2)
- memory-hard hashing function - runtime library (udeb)
- libargon2-dev (0~20171227-0.2)
- memory-hard hashing function - development files
- libargparse4j-java (0.4.4-1)
- command-line argument parser for Java based on Python's argparse module
- libargparse4j-java-doc (0.4.4-1)
- documentation for libargparse4j-java
- libargs4j-java (2.33-1)
- Java command line arguments parser
- libargs4j-java-doc (2.33-1)
- Documentation for Java command line arguments parser
- libargtable2-0 (13-1)
- Library for parsing GNU style command line arguments
- libargtable2-dev (13-1)
- Library for parsing GNU style command line arguments (development headers)
- libargtable2-docs (13-1)
- Library for parsing GNU style command line arguments (documentation)
- libaria-demo (2.8.0+repack-1.2)
- C++ library for MobileRobots/ActivMedia robots (demo example)
- libaria-dev (2.8.0+repack-1.2)
- C++ library for MobileRobots/ActivMedia robots (devel)
- libaria-dev-doc (2.8.0+repack-1.2)
- C++ library for MobileRobots/ActivMedia robots (devel docs)
- libaria2 (2.8.0+repack-1.2)
- C++ library for MobileRobots/ActivMedia robots
- libaria2-0 (1.34.0-4)
- C++ library interface to aria2
- libaria2-0-dev (1.34.0-4)
- High speed download utility (Development files)
- libaribb24-0 (1.0.3-2)
- library for ARIB STD-B24 decoding (runtime files)
- libaribb24-dev (1.0.3-2)
- library for ARIB STD-B24 decoding (development files)
- libarmadillo-dev (1:9.200.7+dfsg-1)
- streamlined C++ linear algebra library - Headers
- libarmadillo9 (1:9.200.7+dfsg-1)
- streamlined C++ linear algebra library
- libarmci-mpi-dev (0.0~git20180917-2)
- ARMCI-MPI (Development version)
- libarpack++2-dev (2.3-9)
- Object-oriented version of the ARPACK package (development)
- libarpack++2c2a (2.3-9)
- Object-oriented version of the ARPACK package (runtime)
- libarpack2 (3.7.0-2)
- Fortran77 subroutines to solve large scale eigenvalue problems
- libarpack2-dev (3.7.0-2)
- Fortran77 subroutines to solve large scale eigenvalue problems (development)
- libarray-compare-perl (3.0.2-1)
- Perl module to easily compare arrays
- libarray-diff-perl (0.07-2)
- Perl module to find the differences between two arrays
- libarray-group-perl (4.2-1)
- module that converts an array into array of arrayrefs of uniform size N
- libarray-intspan-perl (2.003-1)
- Handles arrays of scalars or objects using integer ranges as index
- libarray-iterator-perl (0.11-2)
- simple class to provide iterators over Perl arrays
- libarray-printcols-perl (2.6-2)
- Perl module to print array elements vertically
- libarray-refelem-perl (1.00+ds-1+b3)
- module to set up array elements as aliases
- libarray-unique-perl (0.08-2)
- Tie-able array that allows only unique values
- libarray-utils-perl (0.5-1)
- collection of small utils for array manipulation
- libart-2.0-2 (2.3.21-4)
- Library of functions for 2D graphics - runtime files
- libart-2.0-dev (2.3.21-4)
- Library of functions for 2D graphics - development files
- libasa-perl (1.03-1)
- Perl module for expanding a class or object's list of base classes
- libasan4 (7.4.0-6)
- AddressSanitizer -- a fast memory error detector
- libasan4-armhf
- virtueel pakket geboden door libasan4
- libasan4-dbg (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (debug symbols)
- libasan4-dbg-armhf
- virtueel pakket geboden door libasan4-dbg
- libasan5 (8.3.0-6)
- AddressSanitizer -- a fast memory error detector
- libasan5-amd64-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-arm64-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-armel-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-armhf
- virtueel pakket geboden door libasan5
- libasan5-armhf-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-dbg (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (debug symbols)
- libasan5-dbg-armhf
- virtueel pakket geboden door libasan5-dbg
- libasan5-i386-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-powerpc-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector
- libasan5-ppc64-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector
- libasan5-ppc64el-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-s390x-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector
- libasan5-sparc64-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector
- libasan5-x32-cross (8.3.0-2cross2)
- AddressSanitizer -- a fast memory error detector
- libasedrive-serial (3.7-7)
- PC/SC driver for the Athena ASEDrive IIIe serial smart card reader
- libasedrive-usb (3.7-7)
- PC/SC driver for the Athena ASEDrive IIIe USB smart card reader
- libasio-dev (1:1.12.2-1)
- cross-platform C++ library for network programming
- libasio-doc (1:1.12.2-1)
- documentation for asio C++ library for network programming
- libasis2018 (2018-2)
- Ada Semantic Interface Specification (ASIS) runtime library
- libasis2018-dev (2018-2)
- Ada Semantic Interface Specification (ASIS) headers and libraries
- libasl-dev (0.1.7-2+b1)
- development files for ASL
- libasl0 (0.1.7-2+b1)
- multiphysics simulation software
- libasm-dev (0.176-1.1+deb10u1) [security]
- libasm development libraries and header files
- libasm-java (7.0-1)
- Java bytecode manipulation framework
- libasm-java-doc (7.0-1)
- Java bytecode manipulation framework (documentation)
- libasm1 (0.176-1.1+deb10u1) [security]
- library with a programmable assembler interface
- libasm4-java (5.0.4-2)
- Java bytecode manipulation framework
- libasm4-java-doc (5.0.4-2)
- Documentation for ASM, the Java(TM) bytecode manipulation framework
- libasmtools-java (7.0-b09-2~deb10u1) [security]
- OpenJDK AsmTools
- libasn1-8-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - ASN.1 library
- libasound-dev
- virtueel pakket geboden door libasound2-dev
- libasound2 (1.1.8-1)
- shared library for ALSA applications
- libasound2-data (1.1.8-1)
- Configuration files and profiles for ALSA drivers
- libasound2-dev (1.1.8-1)
- shared library for ALSA applications -- development files
- libasound2-doc (1.1.8-1)
- documentation for user-space ALSA application programming
- libasound2-plugin-equal (0.6-7)
- equalizer plugin for ALSA
- libasound2-plugin-smixer (1.1.8-1)
- smixer plugin for ALSA library
- libasound2-plugins (1.1.8-1)
- ALSA library additional plugins
- libasound2-udeb (1.1.8-1)
- shared library for ALSA applications (udeb)
- libaspect-perl (1.04-1)
- module for Aspect-Oriented Programming in Perl
- libaspectj-java (1.9.2-1)
- aspect-oriented extension for Java - library
- libaspectj-java-doc (1.9.2-1)
- aspect-oriented extension for Java - API documentation
- libaspectj-maven-plugin-java (1.11-1)
- AspectJ compiler Maven Plugin
- libaspell-dev (0.60.7~20110707-6+deb10u1)
- Development files for applications with GNU Aspell support
- libaspell15 (0.60.7~20110707-6+deb10u1)
- GNU Aspell spell-checker runtime library
- libasprintf-dev (0.19.8.1-9)
- GNU Internationalization library development files
- libasprintf0v5 (0.19.8.1-9)
- GNU library to use fprintf and friends in C++
- libasr-dev (1.0.2-2)
- asynchronous DNS resolver (development files)
- libasr0 (1.0.2-2)
- asynchronous DNS resolver
- libass-dev (1:0.14.0-2)
- development files for libass
- libass9 (1:0.14.0-2)
- library for SSA/ASS subtitles rendering
- libassa-3.5-5-dbg (3.5.1-6+b1)
- debugging symbols for libassa3.5-5
- libassa-3.5-5-dev (3.5.1-6+b1)
- object-oriented C++ networking library (development files)
- libassa-3.5-5v5 (3.5.1-6+b1)
- object-oriented C++ networking library
- libassertj-core-java (2.3.0-4)
- Fluent assertions for Java
- libassimp-dev (4.1.0~dfsg-5)
- 3D model import library (development)
- libassimp-doc (4.1.0~dfsg-5)
- 3D model import library (documentation)
- libassimp4 (4.1.0~dfsg-5)
- 3D model import library
- libassuan-dev (2.5.2-1)
- IPC library for the GnuPG components -- header files
- libassuan-mingw-w64-dev (2.5.2-1)
- IPC library for the GnuPG components -- Windows port
- libassuan0 (2.5.2-1)
- IPC library for the GnuPG components
- libast-dev
- virtueel pakket geboden door libast2-dev
- libast1-dev
- virtueel pakket geboden door libast2-dev
- libast2 (0.7-9)
- Library of Assorted Spiffy Things
- libast2-dev (0.7-9)
- libast2 development files
- libasterisk-agi-perl (1.08-1)
- Collections of Perl modules to be used with Asterisk PBX AGI
- libastro-dev (4:17.08.3-3.2)
- development files for the Marble astrononmy library
- libastro-fits-cfitsio-perl (1.12-1+b1)
- Perl extension for using the cfitsio library
- virtueel pakket geboden door libastro-fits-header-perl
- virtueel pakket geboden door libastro-fits-header-perl
- (3.07-2)
- module for reading, modifying and writing FITS headers
- libastro1 (4:17.08.3-3.2)
- Marble astronomy library
- libastrometry-dev (0.76+dfsg-3)
- Astrometry plate solver (development files)
- libastrometry0 (0.76+dfsg-3)
- Astrometry plate solver (shared lib)
- libastyle-dev (3.1-2)
- Library header files for Artistic Style
- libastyle3 (3.1-2)
- Shared library for Artistic Style
- libastylej-jni (3.1-2)
- Java JNI library for Artistic Style
- libasync-http-client-java (2.6.0-1)
- Java Asynchronous HTTP Client
- libasync-interrupt-perl (1.24-1+b1)
- module to allow C/XS libraries to interrupt perl
- libasync-mergepoint-perl (0.04-2)
- Perl module to resynchronize multiple control flows
- libasyncaudio-dev (17.12.2-4)
- AsyncAudio library for SvxLink (development files)
- libasyncaudio1.5 (17.12.2-4)
- AsyncAudio library for SvxLink
- libasynccore-dev (17.12.2-4)
- AsyncCore library for SvxLink (development files)
- libasynccore1.5 (17.12.2-4)
- AsyncCore library for SvxLink
- libasynccpp-dev (17.12.2-4)
- AsyncCpp library for SvxLink (development files)
- libasynccpp1.5 (17.12.2-4)
- AsyncCpp library for SvxLink
- libasyncns-dev (0.8-6)
- Asynchronous name service query library development files
- libasyncns0 (0.8-6)
- Asynchronous name service query library
- libasyncqt-dev (17.12.2-4)
- AsyncQt library for SvxLink (development files)
- libasyncqt1.5 (17.12.2-4)
- AsyncQt library for SvxLink
- libat-at-clojure (1.2.0-1)
- simple ahead-of-time function scheduler for Clojure
- libatasmart-bin (0.19-5)
- ATA S.M.A.R.T. reading and parsing library - utilities
- libatasmart-dev (0.19-5)
- ATA S.M.A.R.T. reading and parsing library - development files
- libatasmart4 (0.19-5)
- ATA S.M.A.R.T. reading and parsing library
- libatd-ocaml (2.0.0-3)
- syntax for cross-language data types in OCaml - plugins
- libatd-ocaml-4vxd5
- virtueel pakket geboden door libatd-ocaml
- libatd-ocaml-9d3y2
- virtueel pakket geboden door libatd-ocaml
- libatd-ocaml-dev (2.0.0-3)
- syntax for cross-language data types in OCaml - development files
- libatd-ocaml-dev-4vxd5
- virtueel pakket geboden door libatd-ocaml-dev
- libatd-ocaml-dev-9d3y2
- virtueel pakket geboden door libatd-ocaml-dev
- libatdgen-ocaml (2.0.0-3)
- OCaml code generator for biniou and JSON - plugins
- libatdgen-ocaml-8iaw5
- virtueel pakket geboden door libatdgen-ocaml
- libatdgen-ocaml-b21m4
- virtueel pakket geboden door libatdgen-ocaml
- libatdgen-ocaml-dev (2.0.0-3)
- OCaml code generator for biniou and JSON - executable and dev files
- libatdgen-ocaml-dev-8iaw5
- virtueel pakket geboden door libatdgen-ocaml-dev
- libatdgen-ocaml-dev-b21m4
- virtueel pakket geboden door libatdgen-ocaml-dev
- libatfs1 (1.4pl6-14)
- Attributed File System (AtFS runtime libraries)
- libatinject-jsr330-api-java (1.0+ds1-5)
- Java API for JSR-330 Dependency Injection
- libatinject-jsr330-api-java-doc (1.0+ds1-5)
- Documentation for libatinject-jsr330-api-java
- libatinject-jsr330-tck-java (1.0+ds1-5)
- Java API for JSR-330 Dependency Injection (TCK)
- libatk-adaptor (2.30.0-5)
- AT-SPI 2 toolkit bridge
- libatk-adaptor-udeb (2.30.0-5)
- AT-SPI 2 toolkit bridge - module for d-i
- libatk-bridge-2.0-0-udeb (2.30.0-5)
- AT-SPI 2 toolkit bridge - shared library for d-i
- libatk-bridge2.0-0 (2.30.0-5)
- AT-SPI 2 toolkit bridge - shared library
- libatk-bridge2.0-dev (2.30.0-5)
- Development files for the AT-SPI 2 toolkit bridge
- libatk-wrapper-java (0.33.3-22+deb10u1)
- ATK implementation for Java using JNI
- libatk-wrapper-java-jni (0.33.3-22+deb10u1)
- ATK implementation for Java using JNI (JNI bindings)
- libatk1.0-0 (2.30.0-2)
- ATK accessibility toolkit
- libatk1.0-data (2.30.0-2)
- Common files for the ATK accessibility toolkit
- libatk1.0-dev (2.30.0-2)
- Development files for the ATK accessibility toolkit
- libatk1.0-doc (2.30.0-2)
- Documentation files for the ATK toolkit
- libatk1.0-udeb (2.30.0-2)
- ATK accessibility toolkit
- libatk3.0-cil (2.99.3-3)
- CLI binding for the ATK accessibility toolkit
- libatkmm-1.6-1v5 (2.28.0-2)
- C++ wrappers for ATK accessibility toolkit (shared libraries)
- libatkmm-1.6-dev (2.28.0-2)
- C++ wrappers for ATK accessibility toolkit (development files)
- libatkmm-1.6-doc (2.28.0-2)
- C++ wrappers for ATK accessibility toolkit (documentation)
- libatlas-base-dev (3.10.3-8)
- Automatically Tuned Linear Algebra Software, generic static
- libatlas-cpp-0.6-3 (0.6.4-2)
- World Forge wire protocol library - runtime libs
- libatlas-cpp-0.6-dev (0.6.4-2)
- World Forge wire protocol library - developer files
- libatlas-cpp-0.6-tools (0.6.4-2)
- World Forge wire protocol library - tools
- libatlas-cpp-doc (0.6.4-2)
- World Forge wire protocol library - documentation
- libatlas-doc (3.10.3-8)
- Automatically Tuned Linear Algebra Software, documentation
- libatlas-test (3.10.3-8)
- Automatically Tuned Linear Algebra Software, test programs
- libatlas3-base (3.10.3-8)
- Automatically Tuned Linear Algebra Software, generic shared
- libatm-dev
- virtueel pakket geboden door libatm1-dev
- libatm1 (1:2.5.1-2)
- shared library for ATM (Asynchronous Transfer Mode)
- libatm1-dev (1:2.5.1-2)
- Development files for compiling ATM programs
- libatombus-perl (1.0405-5)
- AtomPub server for messaging
- libatomic-ops-dev (7.6.6-2)
- A library for atomic operations (development files)
- libatomic1 (8.3.0-6)
- support library providing __atomic built-in functions
- libatomic1-alpha-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-amd64-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-arm64-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-armel-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-armhf
- virtueel pakket geboden door libatomic1
- libatomic1-armhf-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-dbg (8.3.0-6)
- support library providing __atomic built-in functions (debug symbols)
- libatomic1-dbg-armhf
- virtueel pakket geboden door libatomic1-dbg
- libatomic1-hppa-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-i386-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-m68k-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-mips-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-mips64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-mips64el-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-mips64r6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-mips64r6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-mipsel-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-mipsr6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-mipsr6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-powerpc-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-powerpcspe-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-ppc64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-ppc64el-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-riscv64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-s390x-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions
- libatomic1-sh4-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-sparc64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomic1-x32-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions
- libatomicparsley-dev (2.1.5-6)
- main library for atomic parsley in gtkpod, development kit
- libatomicparsley0 (2.1.5-6)
- main library for atomic parsley in gtkpod, shared library
- libatompub-perl (0.3.7-3)
- implementation of Atom Publishing Protocol
- libatrildocument-dev (1.20.3-1+deb10u1)
- MATE document rendering library (development files)
- libatrildocument3 (1.20.3-1+deb10u1)
- MATE document rendering library
- libatrilview-dev (1.20.3-1+deb10u1)
- MATE document viewing library (development files)
- libatrilview3 (1.20.3-1+deb10u1)
- MATE document viewing library
- libatspi0-udeb (2.30.0-7)
- Assistive Technology Service Provider Interface - module for d-i
- libatspi2.0-0 (2.30.0-7)
- Assistive Technology Service Provider Interface - shared library
- libatspi2.0-dev (2.30.0-7)
- Development files for the assistive technology service provider
- libattean-perl (0.021-1)
- semantic web framework
- libatteanx-compatibility-trine-perl (0.002-3)
- compatibility layer between RDF::Trine and Attean
- libatteanx-endpoint-perl (0.002-5)
- SPARQL 1.1 Protocol Endpoint
- libatteanx-serializer-rdfa-perl (0.100-1)
- RDFa Serializer for Attean
- libatteanx-store-ldf-perl (0.04-1)
- Linked Data Fragment RDF store
- libatteanx-store-sparql-perl (0.012-1)
- Attean SPARQL triple store
- libattica-dbg (0.4.2-2+b11)
- debug symbols for libattica
- libattica-dev (0.4.2-2+b11)
- development files for libattica
- libattica0.4 (0.4.2-2+b11)
- Qt library that implements the Open Collaboration Services API
- libattr1 (1:2.4.48-4)
- extended attribute handling - shared library
- libattr1-dev (1:2.4.48-4)
- extended attributes handling - static libraries and headers
- libattr1-udeb (1:2.4.48-4)
- extended attribute handling - shared library
- libattribute-handlers-perl (= 1.01)
- virtueel pakket geboden door perl
- libattribute-storage-perl (0.09-1+b1)
- declare and retrieve named attributes about CODE
- libaubio-dev (0.4.6-2)
- library for audio and music analysis, synthesis, and effects
- libaubio-doc (0.4.6-2)
- library for audio segmentation -- documentation
- libaubio5 (0.4.6-2)
- library for audio segmentation
- libaudclient-dev (3.5~rc2-1+b1)
- audacious D-Bus remote control library (development files)
- libaudclient2 (3.5~rc2-1+b1)
- audacious D-Bus remote control library
- libaudcore5 (3.10.1-1)
- audacious core engine library
- libaudgui5 (3.10.1-1)
- audacious media player (libaudgui shared library)
- libaudio-cd-perl (0.05-11+b1)
- library to handle CDDB and low-level cd io calls
- libaudio-dev (1.9.4-6)
- Network Audio System - development files
- libaudio-ecasound-perl (1.01-4+b1)
- Perl binding to the ecasound sampler, recorder, fx-processor
- libaudio-file-perl (0.11-4)
- Perl audio file abstraction library
- libaudio-flac-decoder-perl (0.3+dfsg-2+b6 [amd64, armhf, i386], 0.3+dfsg-2+b5 [arm64])
- Perl module providing an object-oriented FLAC decoder
- (2.4-3+b1)
- Perl interface to FLAC file header metadata
- libaudio-mixer-perl (0.7-7+b5)
- perl extension for Sound Mixer control
- libaudio-moosic-perl (0.10-3)
- Moosic client library for Perl
- libaudio-mpd-common-perl (2.003-2)
- collection of common perl helper classes for MPD
- libaudio-mpd-perl (2.004-2)
- module to communicate with MPD servers
- libaudio-musepack-perl (1.0.1-2)
- object-oriented interface to Musepack file information and APE tag fields
- libaudio-rpld-perl (0.006-1)
- module to communicate with RoarAudio PlayList Daemon
- libaudio-scan-perl (1.01-1+b1)
- module for reading metadata from common audio file formats
- libaudio-scrobbler-perl (0.01-2.3)
- perl interface to audioscrobbler.com/last.fm
- libaudio-wav-perl (0.14-2)
- modules for manipulating WAV Audio files
- libaudio-wma-perl (1.3-3)
- perl extension for reading WMA/ASF Metadata
- libaudio-xmmsclient-perl (0.8+dfsg-18.2)
- XMMS2 - Perl client library
- libaudio2 (1.9.4-6)
- Network Audio System - shared libraries
- libaudiofile-dev (0.3.6-5+deb10u1) [security]
- Open-source version of SGI's audiofile library (header files)
- libaudiofile1 (0.3.6-5+deb10u1) [security]
- Open-source version of SGI's audiofile library
- libaudiomask-dev (1.0-3)
- Audio masking threshold estimation lib headers, docs and examples
- libaudiomask1 (1.0-3)
- Audio masking threshold estimation library
- libaudit-common (1:2.8.4-3)
- Dynamic library for security auditing - common files
- libaudit-dev (1:2.8.4-3)
- Header files and static library for security auditing
- libaudit1 (1:2.8.4-3)
- Dynamic library for security auditing
- libaudtag3 (3.10.1-1)
- audacious media player (libaudtag shared library)
- libaugeas-dev (1.11.0-3)
- Development files for writing applications based on libaugeas0
- libaugeas0 (1.11.0-3)
- Augeas configuration editing library and API
- libaunit18 (18-2)
- AUnit, a unit testing framework for Ada: shared library
- libaunit18-dev (18-2)
- AUnit, a unit testing framework for Ada: development
- libauparse-dev (1:2.8.4-3)
- Header files and static library for the libauparse0 library
- libauparse0 (1:2.8.4-3)
- Dynamic library for parsing security auditing
- libauth-googleauth-perl (1.02-1)
- Google Authenticator TOTP Abstraction
- libauth-yubikey-decrypter-perl (0.07-2)
- yubikey token output decryptor
- libauth-yubikey-webclient-perl (4.01-1)
- Perl module to authenticate a Yubikey against the Yubico Web API
- libauthcas-perl (1.7-1)
- Client library for CAS 2.0
- libauthen-bitcard-perl (0.90-2)
- Perl module providing authentication using the Bitcard service
- libauthen-captcha-perl (1.024-2)
- Perl extension for creating captcha's
- libauthen-cas-client-perl (0.07-2)
- Perl module for authentication using CAS
- libauthen-dechpwd-perl (2.007-1~1+b1)
- Perl module for DEC VMS password hashing
- libauthen-htpasswd-perl (0.171-2)
- Perl module to read and modify Apache .htpasswd files
- libauthen-krb5-admin-perl (0.17-1+b9 [amd64, armhf, i386], 0.17-1+b7 [arm64])
- Perl extension for MIT Kerberos 5 admin interface
- libauthen-krb5-perl (1.9-5+b4)
- Perl interface to Kerberos 5 API
- libauthen-krb5-simple-perl (0.43-2+b7 [amd64, armhf, i386], 0.43-2+b5 [arm64])
- Perl module for authentication using Kerberos 5
- libauthen-libwrap-perl (0.23-1+b3)
- module providing access to the TCP Wrappers library
- libauthen-ntlm-perl (1.09-1)
- authentication module for NTLM
- libauthen-oath-perl (2.0.1-1)
- Perl module for OATH One Time Passwords
- libauthen-pam-perl (0.16-3+b6)
- Perl interface to PAM library
- libauthen-passphrase-perl (0.008-2)
- Perl module encapsulating hashed passwords/passphrases as objects
- libauthen-radius-perl (0.29-2)
- module to authenticate users using RADIUS
- libauthen-sasl-cyrus-perl (0.13-server-10+b6 [amd64, armhf, i386], 0.13-server-10+b5 [arm64])
- Perl extension for Cyrus SASL library
- libauthen-sasl-perl (2.1600-1)
- Authen::SASL - SASL Authentication framework
- libauthen-sasl-saslprep-perl (1.100-1)
- Stringprep Profile for User Names and Passwords (RFC 4013)
- libauthen-scram-perl (0.011-1)
- Salted Challenge Response Authentication Mechanism (RFC 5802)
- libauthen-simple-cdbi-perl (0.2-3)
- Simple CDBI authentication
- libauthen-simple-dbi-perl (0.2-3)
- Simple DBI authentication
- libauthen-simple-dbm-perl (0.2-4)
- module to allow simple DBM authentication
- libauthen-simple-http-perl (0.2-5)
- module to allow the use of simple HTTP authentication
- libauthen-simple-kerberos-perl (0.1-5)
- module to allow the use of simple Kerberos authentication methods
- libauthen-simple-ldap-perl (0.3-1)
- module for simple LDAP authentication
- libauthen-simple-net-perl (0.2-5)
- module to use simple NET authentication methods
- libauthen-simple-pam-perl (0.2-4)
- simple PAM authentication module
- libauthen-simple-passwd-perl (0.6-4)
- Simple Passwd authentication
- libauthen-simple-perl (0.5-1)
- simple and consistent perl framework for authentication
- libauthen-simple-radius-perl (0.1-3)
- Simple RADIUS authentication
- libauthen-simple-smb-perl (0.1-4)
- Simple SMB authentication
- libauthen-smb-perl (0.91-6+b6)
- SMB authentication module for Perl
- libauthen-tacacsplus-perl (0.26-1+b5)
- Perl module for authentication using TACACS+ server
- libauthen-u2f-perl (0.003-1)
- pure Perl FIDO U2F server library
- libauthen-u2f-tester-perl (0.03-1)
- FIDO/U2F Authentication Test Client
- libauthority-shared-perl
- virtueel pakket geboden door librole-commons-perl
- libautobox-core-perl (1.33-1)
- module providing automatic methods for core functions
- libautobox-dump-perl (20090426.1746-3)
- Perl module that display results in a Human/perl readable form
- libautobox-junctions-perl (0.002-1)
- module providing autoboxified junction-style operators
- libautobox-list-util-perl (20090629-3)
- Perl module providing List::Util functions as methods on arrays
- libautobox-perl (3.0.1-1+b1)
- Perl pragma for method calls on native types
- libautocomplete-java (2.5.3-1)
- Java library for auto-completion in text component
- libautocomplete-java-doc (2.5.3-1)
- Java library for auto-completion in text component (documentation)
- libautodie-perl (= 2.29-2)
- virtueel pakket geboden door perl
- libautomaton-java (1.11-8+dfsg1-1)
- Finite-State Automaton for Regular Expressions
- libautounit-dev (0.20.1-5)
- Development files for C unit testing framework
- libautounit2 (0.20.1-5)
- C unit testing framework interfacing well with autotools
- libautovivification-perl (0.18-1+b1)
- pragma for lexically disabling autovivification
- libavahi-client-dev (0.7-4+deb10u3) [security]
- Development files for the Avahi client library
- libavahi-client3 (0.7-4+deb10u3) [security]
- Avahi client library
- libavahi-common-data (0.7-4+deb10u3) [security]
- Avahi common data files
- libavahi-common-dev (0.7-4+deb10u3) [security]
- Development files for the Avahi common library
- libavahi-common3 (0.7-4+deb10u3) [security]
- Avahi common library
- libavahi-compat-libdnssd-dev (0.7-4+deb10u3) [security]
- Development headers for the Avahi Apple Bonjour compatibility library
- libavahi-compat-libdnssd1 (0.7-4+deb10u3) [security]
- Avahi Apple Bonjour compatibility library
- libavahi-core-dev (0.7-4+deb10u3) [security]
- Development files for Avahi's embeddable mDNS/DNS-SD library
- libavahi-core7 (0.7-4+deb10u3) [security]
- Avahi's embeddable mDNS/DNS-SD library
- libavahi-glib-dev (0.7-4+deb10u3) [security]
- Development headers for the Avahi GLib integration library
- libavahi-glib1 (0.7-4+deb10u3) [security]
- Avahi GLib integration library
- libavahi-gobject-dev (0.7-4+deb10u3) [security]
- Development headers for the Avahi GObject library
- libavahi-gobject0 (0.7-4+deb10u3) [security]
- Avahi GObject library
- libavahi-ui-gtk3-0 (0.7-4+deb10u3) [security]
- Avahi GTK+ User interface library for GTK3
- libavahi-ui-gtk3-dev (0.7-4+deb10u3) [security]
- Development headers for the Avahi GTK+ User interface library
- libavalon-framework-java (4.2.0-10)
- Common framework for Java server applications
- libavalon-framework-java-doc (4.2.0-10)
- Common framework for Java server applications (API)
- libavc1394-0 (0.5.4-5)
- control IEEE 1394 audio/video devices
- libavc1394-dev (0.5.4-5)
- control IEEE 1394 audio/video devices (development files)
- libavc1394-tools (0.5.4-5)
- control IEEE 1394 audio/video devices (utilities)
- libavcall1 (2.1-2)
- foreign function call libraries - calling C functions with variable arguments
- libavcodec-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with de/encoders for audio/video codecs - development files
- (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with extra codecs (metapackage)
- libavcodec-extra
- virtueel pakket geboden door libavcodec-extra58
- (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with additional de/encoders for audio/video codecs
- libavcodec58 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with de/encoders for audio/video codecs - runtime files
- libavcodec58 (= 7:4.1.11-0+deb10u1)
- virtueel pakket geboden door libavcodec-extra58
- libavcodec58 (= 7:4.1.9-0+deb10u1)
- virtueel pakket geboden door libavcodec-extra58
- libavdevice-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for handling input and output devices - development files
- libavdevice58 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for handling input and output devices - runtime files
- libavfilter-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library containing media filters - development files
- (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with extra filters (metapackage)
- libavfilter-extra
- virtueel pakket geboden door libavfilter-extra7
- (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with extra media filters - runtime files
- libavfilter7 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library containing media filters - runtime files
- libavfilter7 (= 7:4.1.11-0+deb10u1)
- virtueel pakket geboden door libavfilter-extra7
- libavfilter7 (= 7:4.1.9-0+deb10u1)
- virtueel pakket geboden door libavfilter-extra7
- libavformat-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with (de)muxers for multimedia containers - development files
- libavformat58 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with (de)muxers for multimedia containers - runtime files
- libavifile-0.7-bin (1:0.7.48~20090503.ds-20.1+b1)
- toolkit for libavifile
- libavifile-0.7-common (1:0.7.48~20090503.ds-20.1)
- toolkit for libavifile
- libavifile-0.7-dev (1:0.7.48~20090503.ds-20.1+b1)
- development header files for libavifile
- libavifile-0.7c2 (1:0.7.48~20090503.ds-20.1+b1)
- shared libraries for AVI read/writing
- libavis-dev (1.2.4-9+b2)
- high-performance publish/subscribe event router (C client library)
- libavis0 (1.2.4-9+b2)
- high-performance publish/subscribe event router (C client library)
- libavkys-dev (8.5.0+dfsg-2)
- full featured webcam capture application - dev
- libavkys8 (8.5.0+dfsg-2)
- full featured webcam capture application - library
- libavl-dev (0.3.5-4)
- AVL tree manipulation library - development
- libavl1 (0.3.5-4)
- AVL tree manipulation library - runtime
- libavogadro-dev (1.2.0-4+b2)
- Molecular Graphics and Modelling System (development files)
- libavogadro1 (1.2.0-4+b2)
- Molecular Graphics and Modelling System (library)
- libavresample-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg compatibility library for resampling - development files
- libavresample4 (7:4.1.11-0+deb10u1) [security]
- FFmpeg compatibility library for resampling - runtime files
- libavro-compiler-java (1.7.7-3)
- Apache Avro compiler for Java
- libavro-dev (1.8.2-1)
- Apache Avro C library headers (avro-c)
- libavro-java (1.7.7-3)
- Apache Avro data serialization system
- libavro-maven-plugin-java (1.7.7-3)
- Apache Avro Maven plugin
- libavro23 (1.8.2-1)
- Apache Avro C shared library (avro-c)
- libavutil-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with functions for simplifying programming - development files
- libavutil56 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library with functions for simplifying programming - runtime files
- libawl-php (0.60-1+deb10u1)
- Andrew's Web Libraries - PHP Utility Libraries
- libaws-bin (19.0-2)
- Ada Web Server utilities
- libaws-doc (19.0-2)
- Ada Web Server documentation
- libaws-signature4-perl (1.02-1)
- module to create a version4 signature for Amazon Web Services
- libaws18-dev (19.0-2)
- Ada Web Server development files
- libaws4 (19.0-2)
- Ada Web Server shared library
- libax25 (0.0.12-rc4-2)
- ax25 library for hamradio applications
- libax25-dev (0.0.12-rc4-2)
- ax25 library development files
- libaxiom-java (1.2.8-2)
- Apache AXIOM - StAX-based XML Infoset compliant object model
- libaxis-java (1.4-28+deb10u1) [security]
- SOAP implementation in Java
- libaxis-java-doc (1.4-28+deb10u1) [security]
- SOAP implementation in Java (documentation)
- libaxmlrpc-java (1.9.0-2)
- XML-RPC Java library
- libaxmlrpc-java-doc (1.9.0-2)
- XML-RPC Java library -- documentation
- libayatana-appindicator-dev (0.5.3-4)
- Ayatana Application Indicators (development files, GTK-2+ version)
- libayatana-appindicator-doc (0.5.3-4)
- Ayatana Application Indicators (documentation files, GTK-2+ version)
- libayatana-appindicator0.1-cil (0.5.3-4)
- CLI bindings for libayatana-appindicator
- libayatana-appindicator0.1-cil-dev (0.5.3-4)
- Application Indicators for Mono
- libayatana-appindicator1 (0.5.3-4)
- Ayatana Application Indicators (GTK-2+ version)
- libayatana-appindicator3-1 (0.5.3-4)
- Ayatana Application Indicators (GTK-3+ version)
- libayatana-appindicator3-dev (0.5.3-4)
- Ayatana Application Indicators (development files, GTK-3+ version)
- libayatana-ido3-0.4-0 (0.4.4-1)
- Widgets and other objects used for Ayatana Indicators
- libayatana-ido3-0.4-dev
- virtueel pakket geboden door libayatana-ido3-dev
- libayatana-ido3-dev (0.4.4-1)
- Widgets and other objects used for Ayatana Indicators - development files
- libayatana-indicator-dev (0.6.2-3)
- panel indicator applet - library development files (GTK-2+)
- libayatana-indicator3-7 (0.6.2-3)
- panel indicator applet - shared library (GTK-3+ variant)
- libayatana-indicator3-dev (0.6.2-3)
- panel indicator applet - library development files (GTK-3+)
- libayatana-indicator3-tools (0.6.2-3)
- Tools for libayatana-indicator
- libayatana-indicator7 (0.6.2-3)
- panel indicator applet - shared library (GTK-2+ variant)
- libb-compiling-perl (0.06-1+b5)
- Perl module for expose PL_compiling to perl
- libb-debug-perl (1.26-1)
- module to print debug info about perl ops
- libb-hooks-endofscope-perl (0.24-1)
- module for executing code after a scope finished compilation
- libb-hooks-op-annotation-perl (0.44-2+b6)
- module to allow annotation and delegation of hooked OPs
- libb-hooks-op-check-entersubforcv-perl (0.10-1+b1)
- Invoke callbacks on construction of entersub OPs for certain CVs
- libb-hooks-op-check-perl (0.22-1+b1)
- Perl wrapper for OP check callbacks
- libb-hooks-op-ppaddr-perl (0.06-1+b1)
- C API to hook into Perl opcode execution
- libb-hooks-parser-perl (0.19-1+b2)
- module providing an interface to Perl's parser variables
- libb-keywords-perl (1.20-1)
- lists of internal perl keywords
- libb-lint-perl (1.22-1)
- Perl lint
- libb-perlreq-perl (0.82-5+b3)
- Perl module to determine Perl module dependencies
- libb-utils-perl (0.27-1+b5)
- Perl module assisting with op tree manipulation
- libb2-1 (0.98.1-1)
- BLAKE2 family of hash functions
- libb2-dev (0.98.1-1)
- BLAKE2 family of hash functions -- development files
- libb64-0d (1.2-5)
- base64 encoding/decoding library - runtime library
- libb64-dev (1.2-5)
- base64 encoding/decoding library - development files
- libbabeltrace-ctf-dev (1.5.6-2+deb10u1)
- Babeltrace development files (transitional package)
- libbabeltrace-ctf-dev (= 1.5.6-2+deb10u1)
- virtueel pakket geboden door libbabeltrace-dev
- libbabeltrace-ctf1 (1.5.6-2+deb10u1)
- Babeltrace conversion libraries (transitional package)
- libbabeltrace-ctf1 (= 1.5.6-2+deb10u1)
- virtueel pakket geboden door libbabeltrace1
- libbabeltrace-dev (1.5.6-2+deb10u1)
- Babeltrace development files
- libbabeltrace1 (1.5.6-2+deb10u1)
- Babeltrace conversion libraries
- libbabl-0.1-0 (0.1.62-1)
- Dynamic, any to any, pixel format conversion library
- libbabl-dev (0.1.62-1)
- Dynamic, any to any, pixel format conversion library (development files)
- libbabl-doc (0.1.62-1)
- Dynamic, any to any, pixel format conversion library (documentation)
- libbackuppc-xs-perl (0.57-2)
- Perl module with C backend for BackupPC 4
- libbackward-cpp-dev (1.4-1)
- Beautiful stack trace pretty printer for C++
- libball1.5 (1.5.0+git20180813.37fc53c-3)
- Biochemical Algorithms Library
- libball1.5-data (1.5.0+git20180813.37fc53c-3)
- Biochemical Algorithms Library (data files)
- libball1.5-dev (1.5.0+git20180813.37fc53c-3)
- Header files for the Biochemical Algorithms Library
- libball1.5-doc (1.5.0+git20180813.37fc53c-3)
- documentation for the BALL library
- libballview1.5 (1.5.0+git20180813.37fc53c-3)
- Biochemical Algorithms Library, VIEW framework
- libballview1.5-dev (1.5.0+git20180813.37fc53c-3)
- Header files for the VIEW part of the Biochemical Algorithms Library
- libbam-dev (0.1.19-4)
- manipulates nucleotide sequence alignments in BAM or SAM format
- libbambamc-dev (0.0.50-3)
- Development files for reading and writing BAM (genome alignment) files
- libbambamc0 (0.0.50-3)
- Runtime library for reading and writing BAM (genome alignment) files
- libbamf-doc (0.5.4-1)
- Window matching library - documentation
- libbamf3-2 (0.5.4-1)
- Window matching library - shared library
- libbamf3-dev (0.5.4-1)
- Window matching library - development files
- libbamtools-dev (2.5.1+dfsg-3)
- C++ API for manipulating BAM (genome alignment) files
- libbamtools-doc (2.5.1+dfsg-3)
- docs for dynamic library for manipulating BAM (genome alignment) files
- libbamtools2.5.1 (2.5.1+dfsg-3)
- dynamic library for manipulating BAM (genome alignment) files
- libbarclay-java (2.1.0-3)
- Java library to parse command line
- libbarcode-code128-perl (2.21-2)
- Perl library to generate CODE 128 bar codes
- libbarcode-datamatrix-perl (0.10-1)
- generator for Data Matrix barcodes
- libbarcode-datamatrix-png-perl (0.04-1)
- generator of PNG Data Matrix barcodes
- libbarcode-zbar-perl (0.22-1+deb10u1) [security]
- bar code scanner and decoder (Perl bindings)
- libbareword-filehandles-perl (0.006-1+b1)
- Perl pragma to disable bareword filehandles
- libbart-dev (0.4.04-2)
- Development files for BART
- libbase-java (1.1.6-2)
- A general purpose base service library (OOo 3.1 branch)
- libbase1 (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - libbase
- libbase58-0 (0.1.4-2)
- library for Bitcoin's base58 encoding
- libbase58-dev (0.1.4-2)
- library for Bitcoin's base58 encoding -- development files
- libbase64-ocaml (2.0.0-2+b2 [armhf], 2.0.0-2+b1 [amd64, arm64, i386])
- Base64 encoding and decoding OCaml library (runtime files)
- libbase64-ocaml-dev (2.0.0-2+b2 [armhf], 2.0.0-2+b1 [amd64, arm64, i386])
- Base64 encoding and decoding OCaml library (dev files)
- libbase64-ocaml-dev-p2lz0
- virtueel pakket geboden door libbase64-ocaml-dev
- libbase64-ocaml-dev-r0is5
- virtueel pakket geboden door libbase64-ocaml-dev
- libbase64-ocaml-p2lz0
- virtueel pakket geboden door libbase64-ocaml
- libbase64-ocaml-r0is5
- virtueel pakket geboden door libbase64-ocaml
- libbash (0.9.11-2)
- bash dynamic-like shared libraries
- libbash-doc (0.9.11-2)
- bash dynamic-like shared libraries - documentation
- libbasicobjects-dev (0.6.1-2)
- Basic object types for C -- development files
- libbasicobjects0 (0.6.1-2)
- Basic object types for C
- libbasicplayer-java (3.0-6)
- threaded simple player class based on JavaSound API
- libbasicusageenvironment1 (2018.11.26-1.1)
- multimedia RTSP streaming library (BasicUsageEnvironment class)
- libbatik-java (1.10-2+deb10u3) [security]
- xml.apache.org SVG Library
- libbatteries-camlp4-dev
- virtueel pakket geboden door libbatteries-ocaml-dev
- libbatteries-ocaml-dev (2.6.0-1+b2 [armhf], 2.6.0-1+b1 [amd64, arm64, i386])
- Batteries included: OCaml development platform - development files
- libbatteries-ocaml-dev-sgbg8
- virtueel pakket geboden door libbatteries-ocaml-dev
- libbatteries-ocaml-dev-zlps2
- virtueel pakket geboden door libbatteries-ocaml-dev
- libbatteries-ocaml-doc (2.6.0-1)
- Batteries included - OCaml development platform - documentation
- libbcel-java (6.2-1+deb10u1) [security]
- Analyze, create, and manipulate (binary) Java class files
- libbcel-java-doc (6.2-1+deb10u1) [security]
- Documentation for Byte Code Engineering Library (BCEL)
- libbcmail-java (1.60-1+deb10u1) [security]
- Bouncy Castle generators/processors for S/MIME and CMS
- libbcmail-java-doc (1.60-1+deb10u1) [security]
- Bouncy Castle generators/processors for S/MIME and CMS (Documentation)
- libbcpg-java (1.60-1+deb10u1) [security]
- Bouncy Castle generators/processors for OpenPGP
- libbcpg-java-doc (1.60-1+deb10u1) [security]
- Bouncy Castle generators/processors for OpenPGP (Documentation)
- libbcpkix-java (1.60-1+deb10u1) [security]
- Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS, OCSP, CMP, and CRMF
- libbcpkix-java-doc (1.60-1+deb10u1) [security]
- Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS... (Documentation)
- libbcprov-java (1.60-1+deb10u1) [security]
- Bouncy Castle Java Cryptographic Service Provider
- libbcprov-java-doc (1.60-1+deb10u1) [security]
- Bouncy Castle Java Cryptographic Service Provider (Documentation)
- libbctoolbox-dev (0.6.0-2+b2)
- Helper code for software by Belledonne Communications (development headers)
- libbctoolbox1 (0.6.0-2+b2)
- Helper library for software by Belledonne Communications (shared library)
- libbdd-dev (2.4-11+b1)
- Binary decision-diagram library (development)
- libbdd0c2 (2.4-11+b1)
- Binary decision-diagram library (runtime)
- libbde-dev (20190102-1)
- BitLocker Drive Encryption access library -- development files
- libbde-utils (20190102-1)
- Tools to access the BitLocker Drive Encryption format.
- libbde1 (20190102-1)
- BitLocker Drive Encryption access library
- libbdplus-dev (0.1.2-3)
- implementation of BD+ for reading Blu-ray Discs (development files)
- libbdplus0 (0.1.2-3)
- implementation of BD+ for reading Blu-ray Discs
- libbeansbinding-java (1.2.1-4)
- Beans Binding API (library)
- libbeansbinding-java-doc (1.2.1-4)
- Beans Binding API (documentation)
- libbeckon-clojure (0.1.1-1)
- handle POSIX signals in Clojure
- libbelcard-dev (1.0.2-1)
- VCard standard format manipulation library (development headers)
- libbelcard1 (1.0.2-1)
- VCard standard format manipulation library
- libbellesip-dev (1.6.3-5)
- SIP stack from the Linphone team (development files)
- libbellesip0 (1.6.3-5)
- SIP stack from the Linphone team
- libbelr-dev (0.1.3-2)
- language recognition library by Belledonne Communications (development headers)
- libbelr1 (0.1.3-2)
- language recognition library by Belledonne Communications
- libben-ocaml (0.8.2+b3)
- OCaml libraries for Debian maintainers (runtime package)
- libben-ocaml-95p61
- virtueel pakket geboden door libben-ocaml
- libben-ocaml-dev (0.8.2+b3)
- OCaml libraries for Debian maintainers (development package)
- libben-ocaml-dev-95p61
- virtueel pakket geboden door libben-ocaml-dev
- libben-ocaml-dev-wosn3
- virtueel pakket geboden door libben-ocaml-dev
- libben-ocaml-wosn3
- virtueel pakket geboden door libben-ocaml
- libbenchmark-apps-perl (0.04-1)
- simple module to benchmark applications
- libbenchmark-dev (1.4.1-3)
- Microbenchmark support library, development files
- libbenchmark-ocaml-dev (1.3-2+b2 [armhf], 1.3-2+b1 [amd64, arm64, i386])
- OCaml benchmarking library
- libbenchmark-ocaml-dev-fwpa6
- virtueel pakket geboden door libbenchmark-ocaml-dev
- libbenchmark-ocaml-dev-wuu66
- virtueel pakket geboden door libbenchmark-ocaml-dev
- libbenchmark-progressbar-perl (0.00001-2)
- Perl module that displays progress bar during benchmarking
- libbenchmark-timer-perl (0.7112-1)
- benchmarking module with statistical confidence
- libbenchmark-tools (1.4.1-3)
- Microbenchmark support library, tools and documentation
- libbenchmark1 (1.4.1-3)
- Microbenchmark support library, shared library
- libbencode-perl (1.501-1)
- Perl module for BitTorrent serialisation format
- libberkeleydb-perl (0.55-2)
- use Berkeley DB databases from Perl
- libbest-perl (0.15-2)
- fallbackable module loader
- libbetter-appframework-java (1.9.2-1)
- Java Better Swing Application Framework (library)
- libbetter-appframework-java-doc (1.9.2-1)
- Java Better Swing Application Framework (documentation)
- libbfb-dev
- virtueel pakket geboden door libbfb0-dev
- libbfb0 (0.24-5+b5)
- bfb protocol library
- libbfb0-dev (0.24-5+b5)
- bfb protocol library - development files
- libbfd-dev
- virtueel pakket geboden door binutils-dev
- libbfio-dev (20170123-4)
- Header files and libraries for developing applications for libbfio
- libbfio1 (20170123-4)
- Library to provide basic input/output abstraction
- libbg-dev (2.04+dfsg-2)
- BG Libraries Collection (development)
- libbg2 (2.04+dfsg-2)
- BG Libraries Collection
- libbg2-doc (2.04+dfsg-2)
- BG Libraries Collection (documentation)
- libbiblesync-dev (1.2.0-1)
- multicast protocol to support Bible co-navigation (development files)
- libbiblesync1.1 (1.2.0-1)
- multicast protocol to support Bible co-navigation
- libbiblio-citation-parser-perl (1.10+dfsg-2)
- citation parsing framework
- libbiblio-endnotestyle-perl (0.06-1)
- Perl module to format bibliographic references using Endnote-like templates
- libbiblio-isis-perl (0.24-1.1)
- Perl module which reads ISIS databases
- libbiblio-thesaurus-perl (0.43-2)
- Perl extension for managing ISO thesaurus
- libbibutils-dev (6.2-1)
- bibliography file converter, development kit
- libbibutils6 (6.2-1)
- bibliography file converter, shared library
- libbidi-clojure (2.1.2-2)
- bidirectional URI routing for Clojure
- libbin-prot-ocaml (113.33.03-4)
- type-safe binary serialization for OCaml values (runtime)
- libbin-prot-ocaml-2lii2
- virtueel pakket geboden door libbin-prot-ocaml
- libbin-prot-ocaml-dev (113.33.03-4)
- type-safe binary serialization for OCaml values (dev files)
- libbin-prot-ocaml-dev-2lii2
- virtueel pakket geboden door libbin-prot-ocaml-dev
- libbin-prot-ocaml-dev-dkov5
- virtueel pakket geboden door libbin-prot-ocaml-dev
- libbin-prot-ocaml-dkov5
- virtueel pakket geboden door libbin-prot-ocaml
- libbind-config-parser-perl (0.01-1)
- parser for BIND Config files
- libbind-confparser-perl (0.95-5)
- parser class for BIND configuration files
- libbind-dev (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Static Libraries and Headers used by BIND
- libbind-export-dev (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Development files for the exported BIND libraries
- libbind9-161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- BIND9 gedeelde bibliotheek door BIND gebruikt
- libbindex-java (2.2+svn101-4)
- OSGi BIndex reader
- libbinio-dev (1.4+dfsg1-6)
- Binary I/O stream class library (development files)
- libbinio1v5 (1.4+dfsg1-6)
- Binary I/O stream class library
- libbiniou-ocaml (1.0.12-2+b3 [armhf], 1.0.12-2+b2 [amd64, arm64, i386])
- flexible binary data format in OCaml - plugins
- libbiniou-ocaml-dev (1.0.12-2+b3 [armhf], 1.0.12-2+b2 [amd64, arm64, i386])
- flexible binary data format in OCaml - development files
- libbiniou-ocaml-dev-n7q56
- virtueel pakket geboden door libbiniou-ocaml-dev
- libbiniou-ocaml-dev-qasz4
- virtueel pakket geboden door libbiniou-ocaml-dev
- libbiniou-ocaml-n7q56
- virtueel pakket geboden door libbiniou-ocaml
- libbiniou-ocaml-qasz4
- virtueel pakket geboden door libbiniou-ocaml
- libbintray-client-java (0.8.1-4)
- Bintray REST Client Java API Bindings
- libbintray-client-java-doc (0.8.1-4)
- Bintray REST Client Java API Bindings (Documentations)
- libbinutils (2.31.1-16)
- GNU binary utilities (private shared library)
- libbinutils-dbg (2.31.1-16)
- GNU binary utilities (private shared library, debug symbols)
- libbio-asn1-entrezgene-perl (1.720-2)
- parser for NCBI Entrez Gene and NCBI Sequence records
- libbio-chado-schema-perl (0.20000-2)
- DBIx::Class layer for the Chado database schema
- libbio-coordinate-perl (1.7.1-3)
- BioPerl modules for working with biological coordinates
- libbio-das-lite-perl (2.11-7)
- implementation of the BioDas protocol
- libbio-eutilities-perl (1.75-4)
- BioPerl interface to the Entrez Programming Utilities (E-utilities)
- libbio-graphics-perl (2.40-3)
- Generate GD images of Bio::Seq objects
- libbio-mage-perl (20030502.3-5)
- Container module for classes in the MAGE package: MAGE
- libbio-mage-utils-perl (20030502.0-4)
- Extra modules for classes in the MAGE package: MAGE
- libbio-perl-perl (1.7.2-3)
- BioPerl core perl modules
- libbio-perl-run-perl (1.7.2-4)
- BioPerl wrappers: modules
- libbio-primerdesigner-perl (0.07-6)
- Perl module to design PCR primers using primer3 and epcr
- libbio-samtools-perl (1.43-2)
- Perl interface to SamTools library for DNA sequencing
- libbio-scf-perl (1.03-4)
- Perl extension for reading and writing SCF sequence files
- libbio-tools-phylo-paml-perl (1.7.3-2)
- Bioperl interface to the PAML suite
- libbio-tools-run-alignment-clustalw-perl (1.7.4-1)
- Bioperl interface to Clustal W
- libbio-tools-run-alignment-tcoffee-perl (1.7.4-1)
- Bioperl interface to T-Coffee
- libbiococoa-dev (2.2.2-4+b1)
- Bioinformatics framework for GNUstep and Cocoa (development files)
- libbiococoa2 (2.2.2-4+b1)
- Bioinformatics framework for GNUstep and Cocoa (library files)
- libbiojava-java (1:1.7.1-8)
- Java API to biological data and applications (default version)
- libbiojava-java-demos (1:1.7.1-8)
- Example programs for BioJava
- libbiojava-java-doc (1:1.7.1-8)
- [Biology] Documentation for BioJava
- libbiojava1.7-java (1:1.7.1-8)
- Java API to biological data and applications (version 1.7)
- libbiojava4-java (4.2.12+dfsg-2)
- Java API to biological data and applications (default version)
- libbiojava4-java
- virtueel pakket geboden door libbiojava4-java
- libbiojava4-java-doc (4.2.12+dfsg-2)
- [Biology] Documentation for BioJava
- libbiojava4.0-java (4.2.12+dfsg-2)
- Java API to biological data and applications (version 4)
- libbiometric-dev (0.9.61-2)
- Biometric Identification DRIVER API - development files
- libbiometric0 (0.9.61-2)
- Biometric Identification library
- libbioparser-dev (1.2.1-1)
- library for parsing several formats in bioinformatics
- libbiosig-dev (1.9.3-2)
- I/O library for biomedical data - development files
- libbiosig2 (1.9.3-2)
- I/O library for biomedical data - dynamic library
- libbison-dev (2:3.3.2.dfsg-1)
- YACC-compatible parser generator - development library
- libbit-vector-minimal-perl (1.3-5)
- object-oriented wrapper around vec()
- libbit-vector-perl (7.4-1+b5)
- Perl module for bit vectors and more
- libbitmask-dev (2.0-3)
- header files and docs for libbitmask
- libbitmask1 (2.0-3)
- supports multi-word bitmask operations
- libbitstream-dev (1.5-1)
- C headers giving access to binary streams like MPEG or DVB
- libbitstring-ocaml (2.0.4-2+b3 [armhf], 2.0.4-2+b2 [amd64, arm64, i386])
- Erlang-style bitstrings for OCaml (runtime)
- libbitstring-ocaml-b7jl7
- virtueel pakket geboden door libbitstring-ocaml
- libbitstring-ocaml-dev (2.0.4-2+b3 [armhf], 2.0.4-2+b2 [amd64, arm64, i386])
- Erlang-style bitstrings for OCaml (development files)
- libbitstring-ocaml-dev-b7jl7
- virtueel pakket geboden door libbitstring-ocaml-dev
- libbitstring-ocaml-dev-yqdf6
- virtueel pakket geboden door libbitstring-ocaml-dev
- libbitstring-ocaml-yqdf6
- virtueel pakket geboden door libbitstring-ocaml
- libbjack-ocaml (0.1.4-2+b4 [armhf], 0.1.4-2+b3 [amd64, i386], 0.1.4-2+b2 [arm64])
- OCaml blocking interface to jack audio connection kit
- libbjack-ocaml-dev (0.1.4-2+b4 [armhf], 0.1.4-2+b3 [amd64, i386], 0.1.4-2+b2 [arm64])
- OCaml blocking interface to jack audio connection kit
- libbjack-ocaml-dev-mpur1
- virtueel pakket geboden door libbjack-ocaml-dev
- libbjack-ocaml-dev-pptb4
- virtueel pakket geboden door libbjack-ocaml-dev
- libbjack-ocaml-mpur1
- virtueel pakket geboden door libbjack-ocaml
- libbjack-ocaml-pptb4
- virtueel pakket geboden door libbjack-ocaml
- libblacs-mpi-dev
- virtueel pakket geboden door libscalapack-mpich-dev, libscalapack-openmpi-dev, libscalapack-mpi-dev
- libbladerf-dev (0.2017.12~rc1-2)
- nuand bladeRF software-defined radio device (header files)
- libbladerf1 (0.2017.12~rc1-2)
- nuand bladeRF software-defined radio device
- libblas-dev (3.8.0-2)
- Basic Linear Algebra Subroutines 3, static library
- libblas-test (3.8.0-2)
- Basic Linear Algebra Subroutines 3, testing programs
- libblas.so
- virtueel pakket geboden door libatlas-base-dev, libopenblas-dev, libblas-dev, libblis-pthread-dev, libblis-serial-dev, libblis-openmp-dev
- libblas.so.3
- virtueel pakket geboden door libatlas3-base, libblis2-openmp, libblis2-pthread, libblis2-serial, libblas3, libopenblas-base
- libblas3 (3.8.0-2)
- Basic Linear Algebra Reference implementations, shared library
- libblas64.so
- virtueel pakket geboden door libblis64-openmp-dev, libblis64-serial-dev, libblis64-pthread-dev
- libblas64.so.3
- virtueel pakket geboden door libblis64-2-serial, libblis64-2-openmp, libblis64-2-pthread
- libblasr (5.3.1+dfsg-2.1)
- tools for aligning PacBio reads to target sequences
- libblasr-dev (5.3.1+dfsg-2.1)
- tools for aligning PacBio reads to target sequences (development files)
- libblimps-dev
- virtueel pakket geboden door libblimps3-dev
- libblimps3 (3.9+ds-1) [non-free]
- blocks database improved searcher library
- libblimps3-dev (3.9+ds-1) [non-free]
- blocks database improved searcher library (development)
- libblis-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis-openmp-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis-pthread-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis-serial-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis.so
- virtueel pakket geboden door libblis-pthread-dev, libblis-openmp-dev, libblis-serial-dev
- libblis.so.2
- virtueel pakket geboden door libblis2-openmp, libblis2-pthread, libblis2-serial
- libblis2 (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis2-openmp (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis2-pthread (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis2-serial (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis64-2 (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis64-2-openmp (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis64-2-pthread (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis64-2-serial (0.5.1-11)
- BLAS-like Library Instantiation Software Framework - shared library
- libblis64-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis64-openmp-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis64-pthread-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis64-serial-dev (0.5.1-11)
- BLAS-like Library Instantiation Software Framework
- libblis64.so
- virtueel pakket geboden door libblis64-pthread-dev, libblis64-serial-dev, libblis64-openmp-dev
- libblis64.so.2
- virtueel pakket geboden door libblis64-2-pthread, libblis64-2-openmp, libblis64-2-serial
- libbliss
- virtueel pakket geboden door libbliss2
- libbliss-dev (0.73-2)
- development files to compute graph automorphisms and labelings -- libdev
- libbliss-dev-common (0.73-2)
- development files to compute graph automorphisms and labelings -- headers
- libbliss2 (0.73-2)
- library to compute graph automorphisms and labelings
- libblitz-dev
- virtueel pakket geboden door libblitz0-dev
- libblitz-doc (1:1.0.1+ds-3)
- C++ template class library for scientific computing - doc
- libblitz0
- virtueel pakket geboden door libblitz0v5
- libblitz0-dev (1:1.0.1+ds-3)
- C++ template class library for scientific computing - libdev
- libblitz0v5 (1:1.0.1+ds-3)
- C++ template class library for scientific computing
- libblkid-dev (2.33.1-0.1+deb10u1) [security]
- block device ID library - headers and static libraries
- libblkid1 (2.33.1-0.1+deb10u1) [security]
- block device ID library
- libblkid1-udeb (2.33.1-0.1)
- stripped down block device ID library, for debian-installer
- libblockdev-btrfs-dev (2.20-7+deb10u1)
- BTRFS plugin for libblockdev - development
- libblockdev-btrfs2 (2.20-7+deb10u1)
- BTRFS plugin for libblockdev
- libblockdev-crypto-dev (2.20-7+deb10u1)
- Crypto plugin for libblockdev - development
- libblockdev-crypto2 (2.20-7+deb10u1)
- Crypto plugin for libblockdev
- libblockdev-dev (2.20-7+deb10u1)
- Library for manipulating block devices - development
- libblockdev-dm-dev (2.20-7+deb10u1)
- Device Mapper plugin for libblockdev - development
- libblockdev-dm2 (2.20-7+deb10u1)
- Device Mapper plugin for libblockdev
- libblockdev-fs-dev (2.20-7+deb10u1)
- file system plugin for libblockdev - development
- libblockdev-fs2 (2.20-7+deb10u1)
- file system plugin for libblockdev
- libblockdev-kbd-dev (2.20-7+deb10u1)
- kernel block device plugin for libblockdev - development
- libblockdev-kbd2 (2.20-7+deb10u1)
- kernel block device plugin for libblockdev
- libblockdev-loop-dev (2.20-7+deb10u1)
- Loop device plugin for libblockdev - development
- libblockdev-loop2 (2.20-7+deb10u1)
- Loop device plugin for libblockdev
- libblockdev-lvm-dbus-dev (2.20-7+deb10u1)
- LVM DBus plugin for libblockdev - development
- libblockdev-lvm-dbus2 (2.20-7+deb10u1)
- LVM DBus plugin for libblockdev
- libblockdev-lvm-dev (2.20-7+deb10u1)
- LVM plugin for libblockdev - development
- libblockdev-lvm2 (2.20-7+deb10u1)
- LVM plugin for libblockdev
- libblockdev-mdraid-dev (2.20-7+deb10u1)
- MD RAID plugin for libblockdev - development
- libblockdev-mdraid2 (2.20-7+deb10u1)
- MD RAID plugin for libblockdev
- libblockdev-mpath-dev (2.20-7+deb10u1)
- Multipath plugin for libblockdev - development
- libblockdev-mpath2 (2.20-7+deb10u1)
- Multipath plugin for libblockdev
- libblockdev-nvdimm-dev (2.20-7+deb10u1)
- NVDIMM plugin for libblockdev - development
- libblockdev-nvdimm2 (2.20-7+deb10u1)
- NVDIMM plugin for libblockdev
- libblockdev-part-dev (2.20-7+deb10u1)
- Partitioning plugin for libblockdev - development
- libblockdev-part-err-dev (2.20-7+deb10u1)
- Partition error utility functions for libblockdev - development
- libblockdev-part-err2 (2.20-7+deb10u1)
- Partition error utility functions for libblockdev
- libblockdev-part2 (2.20-7+deb10u1)
- Partitioning plugin for libblockdev
- libblockdev-plugins-all (2.20-7+deb10u1)
- All plugins for libblockdev
- libblockdev-swap-dev (2.20-7+deb10u1)
- Swap plugin for libblockdev - development
- libblockdev-swap2 (2.20-7+deb10u1)
- Swap plugin for libblockdev
- libblockdev-utils-dev (2.20-7+deb10u1)
- Utility functions for libblockdev - development
- libblockdev-utils2 (2.20-7+deb10u1)
- Utility functions for libblockdev
- libblockdev-vdo-dev (2.20-7+deb10u1)
- VDO plugin for libblockdev - development
- libblockdev-vdo2 (2.20-7+deb10u1)
- VDO plugin for libblockdev
- libblockdev2 (2.20-7+deb10u1)
- Library for manipulating block devices
- libblocksruntime-dev (0.4.1-1.1)
- Blocks Runtime (development files)
- libblocksruntime0 (0.4.1-1.1)
- Blocks Runtime library
- libbloom-dev (1.5-5)
- simple and small bloom filter implementation in C (development files)
- libbloom-filter-perl (1.2-2)
- Bloom filter implemented in Perl
- libbloom1 (1.5-5)
- simple and small bloom filter implementation in C
- libblosc-dev (1.15.1+ds1-1)
- high performance meta-compressor optimized for binary data (development files)
- libblosc1 (1.15.1+ds1-1)
- high performance meta-compressor optimized for binary data
- libbluetooth-dev (5.50-1.2~deb10u4) [security]
- Development files for using the BlueZ Linux Bluetooth library
- libbluetooth3 (5.50-1.2~deb10u4) [security]
- Library to use the BlueZ Linux Bluetooth stack
- libbluetooth3-dev
- virtueel pakket geboden door libbluetooth-dev
- libbluray-bdj (1:1.1.0-1+deb10u1) [security]
- Blu-ray Disc Java support library (BD-J library)
- libbluray-bin (1:1.1.0-1+deb10u1) [security]
- Blu-ray disc playback support library (tools)
- libbluray-dev (1:1.1.0-1+deb10u1) [security]
- Blu-ray disc playback support library (development files)
- libbluray-doc (1:1.1.0-1+deb10u1) [security]
- Blu-ray disc playback support library (documentation)
- libbluray2 (1:1.1.0-1+deb10u1) [security]
- Blu-ray disc playback support library (shared library)
- libbmusb-dev (0.7.3-2)
- userspace driver for Blackmagic USB3 video capture cards
- libbmusb5 (0.7.3-2)
- userspace driver for Blackmagic USB3 video capture cards
- libbobcat-dev (4.08.06-1)
- headers and documentation for the Bobcat library
- libbobcat4 (4.08.06-1)
- run-time (shared) Bobcat library
- libbogl-dev (0.1.18-13)
- Ben's Own Graphics Library - development files
- libbogl0 (0.1.18-13)
- Ben's Own Graphics Library - shared library
- libboilerpipe-java (1.2.0-1)
- Boilerplate removal and fulltext extraction from HTML pages
- libboinc
- virtueel pakket geboden door libboinc7
- libboinc-app
- virtueel pakket geboden door libboinc-app7
- libboinc-app-dev (7.14.2+dfsg-3)
- development files to build applications for BOINC projects
- libboinc-app7 (7.14.2+dfsg-3)
- libraries for BOINC's scientific applications
- libboinc7 (7.14.2+dfsg-3)
- libraries of BOINC the client depends on
- libbond-dev (1.8.3-1+b1)
- Messages related to Robot OS bond_core - development
- libbondcpp-dev (1.8.3-1+b1)
- Development files for Robot OS bondcpp library
- libbondcpp1d (1.8.3-1+b1)
- Robot OS bondcpp library
- libboolean-perl (0.46-1)
- module providing transparent support for booleans
- libboolstuff-0.1-0 (0.1.16-1)
- library for operating on boolean expression binary trees
- libboolstuff-0.1-0-dbg (0.1.16-1)
- library for operating on boolean expression binary trees - debug
- libboost-all-dev (1.67.0.1)
- Boost C++ Libraries development files (ALL) (default version)
- libboost-atomic-dev (1.67.0.1)
- atomic data types, operations, and memory ordering constraints (default version)
- libboost-atomic1.67-dev (1.67.0-13+deb10u1)
- atomic data types, operations, and memory ordering constraints
- libboost-atomic1.67.0 (1.67.0-13+deb10u1)
- atomic data types, operations, and memory ordering constraints
- libboost-chrono-dev (1.67.0.1)
- C++ representation of time duration, time point, and clocks (default version)
- libboost-chrono1.67-dev (1.67.0-13+deb10u1)
- C++ representation of time duration, time point, and clocks
- libboost-chrono1.67.0 (1.67.0-13+deb10u1)
- C++ representation of time duration, time point, and clocks
- libboost-container-dev (1.67.0.1)
- C++ library that implements several well-known containers - dev files (default version)
- libboost-container1.67-dev (1.67.0-13+deb10u1)
- C++ library that implements several well-known containers - dev files
- libboost-container1.67.0 (1.67.0-13+deb10u1)
- C++ library that implements several well-known containers
- libboost-context-dev (1.67.0.1)
- provides a sort of cooperative multitasking on a single thread (default version)
- libboost-context1.67-dev (1.67.0-13+deb10u1)
- provides a sort of cooperative multitasking on a single thread
- libboost-context1.67.0 (1.67.0-13+deb10u1)
- provides a sort of cooperative multitasking on a single thread
- libboost-contract-dev (1.67.0.1)
- Contract library for C++ - dev files (default version)
- libboost-contract1.67-dev (1.67.0-13+deb10u1)
- Contract library for C++ - dev files
- libboost-contract1.67.0 (1.67.0-13+deb10u1)
- Contract library for C++
- libboost-coroutine-dev (1.67.0.1)
- provides a sort of cooperative multitasking on a single thread (default version)
- libboost-coroutine1.67-dev (1.67.0-13+deb10u1)
- provides a sort of cooperative multitasking on a single thread
- libboost-coroutine1.67.0 (1.67.0-13+deb10u1)
- provides a sort of cooperative multitasking on a single thread
- libboost-date-time-dev (1.67.0.1)
- set of date-time libraries based on generic programming concepts (default version)
- libboost-date-time1.67-dev (1.67.0-13+deb10u1)
- set of date-time libraries based on generic programming concepts
- libboost-date-time1.67.0 (1.67.0-13+deb10u1)
- set of date-time libraries based on generic programming concepts
- libboost-dev (1.67.0.1)
- Boost C++ Libraries development files (default version)
- libboost-doc (1.67.0.1)
- Boost.org libraries documentation placeholder (default version)
- libboost-exception-dev (1.67.0.1)
- library to help write exceptions and handlers (default version)
- libboost-exception1.67-dev (1.67.0-13+deb10u1)
- library to help write exceptions and handlers
- libboost-fiber-dev (1.67.0.1)
- cooperatively-scheduled micro-/userland-threads (default version)
- libboost-fiber1.67-dev (1.67.0-13+deb10u1)
- cooperatively-scheduled micro-/userland-threads
- libboost-fiber1.67.0 (1.67.0-13+deb10u1)
- cooperatively-scheduled micro-/userland-threads
- libboost-filesystem-dev (1.67.0.1)
- filesystem operations (portable paths, iteration over directories, etc) in C++ (default version)
- libboost-filesystem1.67-dev (1.67.0-13+deb10u1)
- filesystem operations (portable paths, iteration over directories, etc) in C++
- libboost-filesystem1.67.0 (1.67.0-13+deb10u1)
- filesystem operations (portable paths, iteration over directories, etc) in C++
- libboost-geometry-utils-perl (0.15-2+b7)
- Perl module providing bindings to the Boost Geometry library
- libboost-graph-dev (1.67.0.1)
- generic graph components and algorithms in C++ (default version)
- libboost-graph-parallel-dev (1.67.0.1)
- generic graph components and algorithms in C++ (default version)
- libboost-graph-parallel1.67-dev (1.67.0-13+deb10u1)
- generic graph components and algorithms in C++
- libboost-graph-parallel1.67.0 (1.67.0-13+deb10u1)
- generic graph components and algorithms in C++
- libboost-graph1.67-dev (1.67.0-13+deb10u1)
- generic graph components and algorithms in C++
- libboost-graph1.67.0 (1.67.0-13+deb10u1)
- generic graph components and algorithms in C++
- libboost-iostreams-dev (1.67.0.1)
- Boost.Iostreams Library development files (default version)
- libboost-iostreams1.67-dev (1.67.0-13+deb10u1)
- Boost.Iostreams Library development files
- libboost-iostreams1.67.0 (1.67.0-13+deb10u1)
- Boost.Iostreams Library
- libboost-locale-dev (1.67.0.1)
- C++ facilities for localization (default version)
- libboost-locale1.67-dev (1.67.0-13+deb10u1)
- C++ facilities for localization
- libboost-locale1.67.0 (1.67.0-13+deb10u1)
- C++ facilities for localization
- libboost-log-dev (1.67.0.1)
- C++ logging library (default version)
- libboost-log1.67-dev (1.67.0-13+deb10u1)
- C++ logging library
- libboost-log1.67.0 (1.67.0-13+deb10u1)
- C++ logging library
- libboost-math-dev (1.67.0.1)
- Boost.Math Library development files (default version)
- libboost-math1.67-dev (1.67.0-13+deb10u1)
- Boost.Math Library development files
- libboost-math1.67.0 (1.67.0-13+deb10u1)
- Boost.Math Library
- libboost-mpi-dev (1.67.0.1)
- C++ interface to the Message Passing Interface (MPI) (default version)
- libboost-mpi-python-dev (1.67.0.1)
- C++ interface to the Message Passing Interface (MPI), Python Bindings (default version)
- libboost-mpi-python1.67-dev (1.67.0-13+deb10u1)
- C++ interface to the Message Passing Interface (MPI), Python Bindings
- libboost-mpi-python1.67.0 (1.67.0-13+deb10u1)
- C++ interface to the Message Passing Interface (MPI), Python Bindings
- libboost-mpi-python1.67.0-py27
- virtueel pakket geboden door libboost-mpi-python1.67.0
- libboost-mpi-python1.67.0-py37
- virtueel pakket geboden door libboost-mpi-python1.67.0
- libboost-mpi1.67-dev (1.67.0-13+deb10u1)
- C++ interface to the Message Passing Interface (MPI)
- libboost-mpi1.67.0 (1.67.0-13+deb10u1)
- C++ interface to the Message Passing Interface (MPI)
- libboost-numpy-dev (1.67.0.1)
- Boost.Python NumPy extensions development files (default version)
- libboost-numpy1.67-dev (1.67.0-13+deb10u1)
- Boost.Python NumPy extensions development files
- libboost-numpy1.67.0 (1.67.0-13+deb10u1)
- Boost.Python NumPy extensions
- libboost-numpy1.67.0-py27
- virtueel pakket geboden door libboost-numpy1.67.0
- libboost-numpy1.67.0-py37
- virtueel pakket geboden door libboost-numpy1.67.0
- libboost-program-options-dev (1.67.0.1)
- program options library for C++ (default version)
- libboost-program-options1.67-dev (1.67.0-13+deb10u1)
- program options library for C++
- libboost-program-options1.67.0 (1.67.0-13+deb10u1)
- program options library for C++
- libboost-python-dev (1.67.0.1)
- Boost.Python Library development files (default version)
- libboost-python1.67-dev (1.67.0-13+deb10u1)
- Boost.Python Library development files
- libboost-python1.67.0 (1.67.0-13+deb10u1)
- Boost.Python Library
- libboost-python1.67.0-py27
- virtueel pakket geboden door libboost-python1.67.0
- libboost-python1.67.0-py37
- virtueel pakket geboden door libboost-python1.67.0
- libboost-random-dev (1.67.0.1)
- Boost Random Number Library (default version)
- libboost-random1.67-dev (1.67.0-13+deb10u1)
- Boost Random Number Library
- libboost-random1.67.0 (1.67.0-13+deb10u1)
- Boost Random Number Library
- libboost-regex-dev (1.67.0.1)
- regular expression library for C++ (default version)
- libboost-regex1.67-dev (1.67.0-13+deb10u1)
- regular expression library for C++
- libboost-regex1.67.0 (1.67.0-13+deb10u1)
- regular expression library for C++
- libboost-serialization-dev (1.67.0.1)
- serialization library for C++ (default version)
- libboost-serialization1.67-dev (1.67.0-13+deb10u1)
- serialization library for C++
- libboost-serialization1.67.0 (1.67.0-13+deb10u1)
- serialization library for C++
- libboost-signals-dev (1.67.0.1)
- managed signals and slots library for C++ (default version)
- libboost-signals1.67-dev (1.67.0-13+deb10u1)
- managed signals and slots library for C++
- libboost-signals1.67.0 (1.67.0-13+deb10u1)
- managed signals and slots library for C++
- libboost-stacktrace-dev (1.67.0.1)
- library to capture and print stack traces - development files (default version)
- libboost-stacktrace1.67-dev (1.67.0-13+deb10u1)
- library to capture and print stack traces - development files
- libboost-stacktrace1.67.0 (1.67.0-13+deb10u1)
- library to capture and print stack traces
- libboost-system-dev (1.67.0.1)
- Operating system (e.g. diagnostics support) library (default version)
- libboost-system1.67-dev (1.67.0-13+deb10u1)
- Operating system (e.g. diagnostics support) library
- libboost-system1.67.0 (1.67.0-13+deb10u1)
- Operating system (e.g. diagnostics support) library
- libboost-test-dev (1.67.0.1)
- components for writing and executing test suites (default version)
- libboost-test1.67-dev (1.67.0-13+deb10u1)
- components for writing and executing test suites
- libboost-test1.67.0 (1.67.0-13+deb10u1)
- components for writing and executing test suites
- libboost-thread-dev (1.67.0.1)
- portable C++ multi-threading (default version)
- libboost-thread1.67-dev (1.67.0-13+deb10u1)
- portable C++ multi-threading
- libboost-thread1.67.0 (1.67.0-13+deb10u1)
- portable C++ multi-threading
- libboost-timer-dev (1.67.0.1)
- C++ wall clock and CPU process timers (default version)
- libboost-timer1.67-dev (1.67.0-13+deb10u1)
- C++ wall clock and CPU process timers
- libboost-timer1.67.0 (1.67.0-13+deb10u1)
- C++ wall clock and CPU process timers
- libboost-tools-dev (1.67.0.1)
- Boost C++ Libraries development tools (default version)
- libboost-type-erasure-dev (1.67.0.1)
- C++ runtime polymorphism based on concepts (default version)
- libboost-type-erasure1.67-dev (1.67.0-13+deb10u1)
- C++ runtime polymorphism based on concepts
- libboost-type-erasure1.67.0 (1.67.0-13+deb10u1)
- C++ runtime polymorphism based on concepts
- libboost-wave-dev (1.67.0.1)
- C99/C++ preprocessor library (default version)
- libboost-wave1.67-dev (1.67.0-13+deb10u1)
- C99/C++ preprocessor library
- libboost-wave1.67.0 (1.67.0-13+deb10u1)
- C99/C++ preprocessor library
- libboost1.67-all-dev (1.67.0-13+deb10u1)
- Boost C++ Libraries development files (ALL)
- libboost1.67-dev (1.67.0-13+deb10u1)
- Boost C++ Libraries development files
- libboost1.67-doc (1.67.0-13+deb10u1)
- Boost.org libraries documentation placeholder
- libboost1.67-tools-dev (1.67.0-13+deb10u1)
- Boost C++ Libraries development tools
- libbot-basicbot-perl (0.93-1)
- simple IRC bot baseclass
- libbot-basicbot-pluggable-perl (1.20-1)
- extended simple IRC bot for pluggable modules
- libbot-training-perl (0.07-1)
- text training material for bots
- libbotan-2-9 (2.9.0-2)
- multiplatform crypto library (2.x version)
- libbotan-2-dev (2.9.0-2)
- multiplatform crypto library (2.x version)
- libbotan-2-doc (2.9.0-2)
- multiplatform crypto library (2.x version)
- libboulder-perl (1.30-5)
- Perl module for hierarchical tag/value structures
- libbox2d-dev (2.3.1+ds-5)
- 2D physics engine - development files
- libbox2d-doc (2.3.1+ds-5)
- 2D physics engine - documentation
- libbox2d2.3.0 (2.3.1+ds-5)
- 2D physics engine
- libbpf-dev (4.19.304-1) [security]
- eBPF helper library (development files)
- libbpf4.19 (4.19.304-1) [security]
- eBPF helper library (shared library)
- libbpfcc (0.8.0-4)
- shared library for BPF Compiler Collection (BCC)
- libbpfcc-dev (0.8.0-4)
- shared library for BPF Compiler Collection (BCC)
- libbpp-core-dev (2.4.1-3)
- Bio++ Core library development files
- libbpp-core4 (2.4.1-3)
- Bio++ Core library
- libbpp-phyl-dev (2.4.1-2)
- Bio++ Phylogenetic library development files
- libbpp-phyl-omics-dev (2.4.1-1)
- Bio++ Phylogenetics library: genomics components (development files)
- libbpp-phyl-omics3 (2.4.1-1)
- Bio++ Phylogenetics library: genomics components
- libbpp-phyl12 (2.4.1-2)
- Bio++ Phylogenetic library
- libbpp-popgen-dev (2.4.1-1)
- Bio++ Population Genetics library development files
- libbpp-popgen8 (2.4.1-1)
- Bio++ Population Genetics library
- libbpp-qt-dev (2.4.1-1)
- Bio++ Qt Graphic classes library development files
- libbpp-qt2 (2.4.1-1)
- Bio++ Qt Graphic classes library
- libbpp-raa-dev (2.4.1-1)
- Bio++ Remote Acnuc Access library development files
- libbpp-raa4 (2.4.1-1)
- Bio++ Remote Acnuc Access library
- libbpp-seq-dev (2.4.1-3)
- Bio++ Sequence library development files
- libbpp-seq-omics-dev (2.4.1-3)
- Bio++ Sequence library: genomics components (development files)
- libbpp-seq-omics3 (2.4.1-3)
- Bio++ Sequence library: genomics components
- libbpp-seq12 (2.4.1-3)
- Bio++ Sequence library
- libbrahe-1.3-3 (1.3.2-7)
- heterogeneous C library of numeric functions
- libbrahe-dev (1.3.2-7)
- heterogeneous C library of numeric functions
- libbraiding-dev (1.0-1)
- computations on braid groups (development files)
- libbraiding0 (1.0-1)
- computations on braid groups
- libbrailleutils-java (1.2.3-4)
- cross platform library for embossing/converting PEF-files
- libbrailleutils-java-doc (1.2.3-4)
- javadoc for brailleUtils for converting/embossing PEF files
- libbrasero-media3-1 (3.12.2-5)
- CD/DVD burning library for GNOME - runtime
- libbrasero-media3-dev (3.12.2-5)
- CD/DVD burning library for GNOME - development
- libbread-board-perl (0.32-2)
- solderless way to wire up your application components
- libbrial-dev (1.2.4-2)
- polynomials over Boolean Rings, core development files
- libbrial-groebner-dev (1.2.4-2)
- development files for libbrial-groebner
- libbrial-groebner3 (1.2.4-2)
- computer algebra routines of BRiAl
- libbrial3 (1.2.4-2)
- polynomials over Boolean Rings, shared library
- libbridge-method-injector-java (1.18-2)
- Evolve Java classes without breaking compatibility
- libbridge-method-injector-java-doc (1.18-2)
- Documentation for Bridge Method Injector
- libbrlapi-dev (5.6-10+deb10u1)
- Library for communication with BRLTTY - static libs and headers
- libbrlapi-java (5.6-10+deb10u1)
- Java bindings for BrlAPI
- libbrlapi-jni (5.6-10+deb10u1)
- Java bindings for BrlAPI (native library)
- libbrlapi0.6 (5.6-10+deb10u1)
- braille display access via BRLTTY - shared library
- libbroccoli-dev (1.100-1)
- Bro client communications library -- headers and static libraries
- libbroccoli5 (1.100-1)
- Bro client communications library
- libbrotli-dev (1.0.7-2+deb10u1)
- library implementing brotli encoder and decoder (development files)
- libbrotli1 (1.0.7-2+deb10u1)
- library implementing brotli encoder and decoder (shared libraries)
- libbrowser-open-perl (0.04-1)
- Perl module to open a browser in a given URL
- libbs2b-dev (3.1.0+dfsg-2.2)
- Bauer stereophonic-to-binaural DSP library development files
- libbs2b0 (3.1.0+dfsg-2.2)
- Bauer stereophonic-to-binaural DSP library
- libbsd-arc4random-perl (1.50-6+b6 [amd64, armhf, i386], 1.50-6+b5 [arm64])
- CPAN's BSD::arc4random -- Perl bindings for arc4random
- libbsd-dev (0.9.1-2+deb10u1)
- utility functions from BSD systems - development files
- libbsd-resource-perl (1.2911-1+b3)
- BSD process resource limit and priority functions
- libbsd0 (0.9.1-2+deb10u1)
- utility functions from BSD systems - shared library
- libbsd0-udeb (0.9.1-2+deb10u1)
- utility functions from BSD systems - shared library
- libbsf-java (1:2.4.0-8)
- Bean Scripting Framework to support scripting languages in Java
- libbsf-java-doc (1:2.4.0-8)
- Bean Scripting Framework to support scripting - documentation
- libbsh-java (2.0b4-19)
- Java scripting environment (BeanShell) Version 2 (library)
- libbson-1.0-0 (1.14.0-1)
- Library to parse and generate BSON documents - runtime files
- libbson-dev (1.14.0-1)
- Library to parse and generate BSON documents - dev files
- libbson-doc (1.14.0-1)
- Library to parse and generate BSON documents - documentation
- libbson-perl (1.10.2-1)
- BSON serialization and deserialization for Perl
- libbson-xs-perl (0.6.0-1)
- Perl XS implementation of MongoDB's BSON serialization
- libbssolv-perl (0.15-1)
- module to compute package dependencies (for Open Build Service backend)
- libbt-dev (0.70.1-36)
- Blackbox - development library
- libbt0 (0.70.1-36)
- Blackbox - shared library
- libbt0v5
- virtueel pakket geboden door libbt0
- libbtbb-dev (2018.08.R1-2)
- Bluetooth baseband decoding library - development files
- libbtbb1 (2018.08.R1-2)
- Bluetooth baseband decoding library
- libbtf1 (1:5.4.0+dfsg-1)
- permutation to block triangular form library for sparse matrices
- libbtm-java (2.1.4-4)
- Bitronix JTA Transaction Manager
- libbtparse-dev (0.85-2+b1)
- C library to parse BibTeX files - development files
- libbtparse1 (0.85-2+b1)
- C library to parse BibTeX files
- libbtrfs-dev (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (development headers)
- libbtrfs0 (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (runtime library)
- libbtrfsutil-dev (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (util development headers)
- libbtrfsutil1 (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (runtime util library)
- libbudgie-plugin0 (10.5-1)
- Plugin library for budgie-desktop
- libbudgie-private0 (10.5-1)
- Budgie Private library for budgie-desktop
- libbudgietheme0 (10.5-1)
- Theme library for budgie-desktop
- libbuffy-dev (1.9.2-2+b1)
- Base functions for building mailbox summary applications
- libbuffy-perl (0.16+b6)
- Perl wrapper for the libbuffy library
- libbuild-helper-maven-plugin-java (3.0.0-1)
- Build Helper Maven Plugin
- libbullet-dev (2.87+dfsg-3)
- professional 3D Game Multiphysics Library -- development files
- libbullet-doc (2.87+dfsg-3)
- professional 3D Game Multiphysics Library -- documentation
- (2.87+dfsg-3)
- professional 3D Game Multiphysics Library -- extra development
- (2.87+dfsg-3)
- professional 3D Game Multiphysics Library -- extra libraries
- libbullet2.87 (2.87+dfsg-3)
- professional 3D Game Multiphysics Library
- libbulletml-dev (0.0.6-7)
- C++ library to handle BulletML easily - development files
- libbulletml0v5 (0.0.6-7)
- C++ library to handle BulletML easily - runtime library
- libbultitude-clojure (0.3.0-2)
- Clojure library for finding namespaces on the classpath
- libburn-dev (1.5.0-1+deb10u1)
- development package for libburn4
- libburn-doc (1.5.0-1+deb10u1)
- background documentation for libburn library
- libburn4 (1.5.0-1+deb10u1)
- library to provide CD/DVD/BD writing functions
- libburner-media3-1 (3.0.6-1)
- CD/DVD burning library for UKUI - runtime
- libburner-media3-dev (3.0.6-1)
- CD/DVD burning library for GNOME - development
- libbusiness-br-ids-perl (0.0022-1)
- modules for dealing with Brazilian identification codes (CPF, CNPJ, ...)
- libbusiness-creditcard-perl (0.36-1)
- Perl module to validate or generate credit card checksums and names
- libbusiness-edi-perl (0.05-2)
- class for generating U.N. EDI interchange objects
- libbusiness-edifact-interchange-perl (0.07-1)
- module to parse Edifact messages for book ordering
- libbusiness-hours-perl (0.13-1)
- Perl module to calculate business hours in a time period
- libbusiness-isbn-data-perl (20140910.003-1)
- data pack for Business::ISBN
- libbusiness-isbn-perl (3.004-1)
- Perl library to work with International Standard Book Numbers
- libbusiness-isin-perl (0.20-2)
- module to validate International Securities Identification Numbers
- libbusiness-ismn-perl (1.201-1)
- module for International Standard Music Numbers
- libbusiness-issn-perl (1.003-1)
- Perl extension for International Standard Serial Numbers (ISSN)
- libbusiness-onlinepayment-authorizenet-perl (3.23-1)
- AuthorizeNet backend for Business::OnlinePayment
- libbusiness-onlinepayment-ippay-perl (0.09-2)
- backend for IPPay in Business::OnlinePayment framework
- libbusiness-onlinepayment-openecho-perl (0.03-4)
- ECHO backend module for Business::OnlinePayment
- libbusiness-onlinepayment-payconnect-perl (0.02-3)
- PaymentOne PayConnect backend for Business::OnlinePayment
- libbusiness-onlinepayment-payflowpro-perl (1.01-4)
- PayPal Payflow Pro backend for Business::OnlinePayment
- libbusiness-onlinepayment-paymentech-perl (2.07-1)
- Chase Paymentech backend for Business::OnlinePayment
- libbusiness-onlinepayment-perl (3.05-1)
- Perl extension for online payment processing
- libbusiness-onlinepayment-tclink-perl (1.03-5)
- TrustCommerce backend for Business::OnlinePayment
- libbusiness-onlinepayment-transactioncentral-perl (0.06-4)
- Transaction Central backend module for Business::OnlinePayment
- libbusiness-onlinepayment-viaklix-perl (0.02-2)
- viaKLIX backend for Business::OnlinePayment
- libbusiness-paypal-api-perl (0.76-1)
- module providing access to the PayPal API
- libbusiness-tax-vat-validation-perl (1.11-1)
- library for European VAT numbers validation
- libbusiness-us-usps-webtools-perl (1.122-1+deb10u1)
- Perl module enabling use of USPS Web Tools services
- libbwa-dev (0.7.17-3)
- Burrows-Wheeler Aligner source files
- libbyte-buddy-java (1.7.11-1)
- Runtime code generation for the Java virtual machine
- libbyte-buddy-java-doc (1.7.11-1)
- Runtime code generation for the Java virtual machine (document)
- libbytecode-java (0.92.svn.20090106-2)
- Java bytecode manipulation library
- libbytecode-java-doc (0.92.svn.20090106-2)
- Documentation for the API of the Java bytecode library
- libbytelist-java (1.0.15-1)
- helper library for packaging JRuby
- libbytes-random-secure-perl (0.29-1)
- Perl extension to generate cryptographically-secure random bytes
- libbytesize-dev (1.4-1)
- library for common operations with sizes in bytes - development
- libbytesize1 (1.4-1)
- library for common operations with sizes in bytes
- libbz2-1.0 (1.0.6-9.2~deb10u2) [security]
- high-quality block-sorting file compressor library - runtime
- libbz2-dev (1.0.6-9.2~deb10u2) [security]
- high-quality block-sorting file compressor library - development
- libbz2-ocaml (0.6.0-7+b2 [armhf], 0.6.0-7+b1 [amd64, arm64, i386])
- OCaml bindings for the bzip2 compression library
- libbz2-ocaml-dev (0.6.0-7+b2 [armhf], 0.6.0-7+b1 [amd64, arm64, i386])
- OCaml bindings for the bzip2 compression library
- libbz2-ocaml-dev-jbcg2
- virtueel pakket geboden door libbz2-ocaml-dev
- libbz2-ocaml-dev-l16c1
- virtueel pakket geboden door libbz2-ocaml-dev
- libbz2-ocaml-jbcg2
- virtueel pakket geboden door libbz2-ocaml
- libbz2-ocaml-l16c1
- virtueel pakket geboden door libbz2-ocaml
- libbzrtp-dev (1.0.6-3)
- Development files for the Bzrtp key exchange library
- libbzrtp0 (1.0.6-3)
- Library for the ZRTP key exchange protocol
- libc++-11-dev (1:11.0.1-2~deb10u1)
- LLVM C++ Standard library (development files)
- libc++-13-dev (1:13.0.1-6~deb10u4)
- LLVM C++ Standard library (development files)
- libc++-7-dev (1:7.0.1-8+deb10u2)
- LLVM C++ Standard library (development files)
- libc++-dev (1:7.0-47)
- LLVM C++ Standard library (development files)
- libc++-x.y
- virtueel pakket geboden door libc++1-13, libc++1-7, libc++1-11
- libc++-x.y-dev
- virtueel pakket geboden door libc++-13-dev, libc++-7-dev, libc++-11-dev
- libc++1 (1:7.0-47)
- LLVM C++ Standard library
- libc++1-11 (1:11.0.1-2~deb10u1)
- LLVM C++ Standard library
- libc++1-13 (1:13.0.1-6~deb10u4)
- LLVM C++ Standard library
- libc++1-7 (1:7.0.1-8+deb10u2)
- LLVM C++ Standard library
- libc++abi-11-dev (1:11.0.1-2~deb10u1)
- LLVM low level support for a standard C++ library (development files)
- libc++abi-13-dev (1:13.0.1-6~deb10u4)
- LLVM low level support for a standard C++ library (development files)
- libc++abi-7-dev (1:7.0.1-8+deb10u2)
- LLVM low level support for a standard C++ library (development files)
- libc++abi-dev (1:7.0-47)
- LLVM low level support for a standard C++ library (development files)
- libc++abi-x.y
- virtueel pakket geboden door libc++abi1-11, libc++abi1-7, libc++abi1-13
- libc++abi-x.y-dev
- virtueel pakket geboden door libc++abi-13-dev, libc++abi-11-dev, libc++abi-7-dev
- libc++abi1 (1:7.0-47)
- LLVM low level support for a standard C++ library
- libc++abi1-11 (1:11.0.1-2~deb10u1)
- LLVM low level support for a standard C++ library
- libc++abi1-13 (1:13.0.1-6~deb10u4)
- LLVM low level support for a standard C++ library
- libc++abi1-7 (1:7.0.1-8+deb10u2)
- LLVM low level support for a standard C++ library
- libc-ares-dev (1.14.0-1+deb10u4) [security]
- asynchronous name resolver - development files
- libc-ares2 (1.14.0-1+deb10u4) [security]
- asynchronous name resolver
- libc-bin (2.28-10+deb10u3) [security]
- GNU C Library: Binaries
- libc-client-dev
- virtueel pakket geboden door libc-client2007e-dev
- libc-client2007e (8:2007f~dfsg-6)
- c-client library for mail protocols - library files
- libc-client2007e-dev (8:2007f~dfsg-6)
- c-client library for mail protocols - development files
- libc-dbg
- virtueel pakket geboden door libc6-dbg
- libc-dev
- virtueel pakket geboden door libc6-dev
- libc-dev-alpha-cross
- virtueel pakket geboden door libc6.1-dev-alpha-cross
- libc-dev-amd64-cross
- virtueel pakket geboden door libc6-dev-amd64-cross
- libc-dev-arm64-cross
- virtueel pakket geboden door libc6-dev-arm64-cross
- libc-dev-armel-cross
- virtueel pakket geboden door libc6-dev-armel-cross
- libc-dev-armhf-cross
- virtueel pakket geboden door libc6-dev-armhf-cross
- libc-dev-bin (2.28-10+deb10u3) [security]
- GNU C Library: Development binaries
- libc-dev-hppa-cross
- virtueel pakket geboden door libc6-dev-hppa-cross
- libc-dev-i386-cross
- virtueel pakket geboden door libc6-dev-i386-cross
- libc-dev-m68k-cross
- virtueel pakket geboden door libc6-dev-m68k-cross
- libc-dev-mips-cross
- virtueel pakket geboden door libc6-dev-mips-cross
- libc-dev-mips64-cross
- virtueel pakket geboden door libc6-dev-mips64-cross
- libc-dev-mips64el-cross
- virtueel pakket geboden door libc6-dev-mips64el-cross
- libc-dev-mips64r6-cross
- virtueel pakket geboden door libc6-dev-mips64r6-cross
- libc-dev-mips64r6el-cross
- virtueel pakket geboden door libc6-dev-mips64r6el-cross
- libc-dev-mipsel-cross
- virtueel pakket geboden door libc6-dev-mipsel-cross
- libc-dev-mipsn32-cross
- virtueel pakket geboden door libc6-dev-mipsn32-cross
- libc-dev-mipsn32el-cross
- virtueel pakket geboden door libc6-dev-mipsn32el-cross
- libc-dev-mipsn32r6-cross
- virtueel pakket geboden door libc6-dev-mipsn32r6-cross
- libc-dev-mipsn32r6el-cross
- virtueel pakket geboden door libc6-dev-mipsn32r6el-cross
- libc-dev-mipsr6-cross
- virtueel pakket geboden door libc6-dev-mipsr6-cross
- libc-dev-mipsr6el-cross
- virtueel pakket geboden door libc6-dev-mipsr6el-cross
- libc-dev-powerpc-cross
- virtueel pakket geboden door libc6-dev-powerpc-cross
- libc-dev-powerpcspe-cross
- virtueel pakket geboden door libc6-dev-powerpcspe-cross
- libc-dev-ppc64-cross
- virtueel pakket geboden door libc6-dev-ppc64-cross
- libc-dev-ppc64el-cross
- virtueel pakket geboden door libc6-dev-ppc64el-cross
- libc-dev-riscv64-cross
- virtueel pakket geboden door libc6-dev-riscv64-cross
- libc-dev-s390x-cross
- virtueel pakket geboden door libc6-dev-s390x-cross
- libc-dev-sh4-cross
- virtueel pakket geboden door libc6-dev-sh4-cross
- libc-dev-sparc64-cross
- virtueel pakket geboden door libc6-dev-sparc64-cross
- libc-dev-x32-cross
- virtueel pakket geboden door libc6-dev-x32-cross
- libc-icap-mod-contentfiltering (1:0.5.3-1+deb10u1)
- Content filtering Service for c-icap
- libc-icap-mod-urlcheck (1:0.5.3-1+deb10u1)
- URL Check Service for c-icap
- libc-icap-mod-virus-scan (1:0.5.3-1+deb10u1)
- Antivirus Service for c-icap
- libc-icap-module
- virtueel pakket geboden door libc-icap-mod-urlcheck, libc-icap-mod-virus-scan, libc-icap-mod-contentfiltering
- libc-l10n (2.28-10+deb10u3) [security]
- GNU C Library: localization files
- libc-pic
- virtueel pakket geboden door libc6-pic
- libc-udeb
- virtueel pakket geboden door libc6-udeb
- libc3p0-java (0.9.1.2-10)
- library for JDBC connection pooling
- libc3p0-java-doc (0.9.1.2-10)
- library for JDBC connection pooling (documentation)
- libc6 (2.28-10+deb10u3) [security]
- GNU C Bibliotheek: Gedeelde bibliotheken
- libc6
- virtueel pakket geboden door libc6-udeb
- libc6-amd64 (2.28-10+deb10u3) [security]
- GNU C bibliotheek: 64bit gedeelde bibliotheek voor AMD64
- libc6-amd64-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-amd64-dcv1
- virtueel pakket geboden door libc6-amd64-cross
- libc6-amd64-i386-cross (2.28-7cross1)
- GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
- libc6-amd64-i386-dcv1
- virtueel pakket geboden door libc6-amd64-i386-cross
- libc6-amd64-x32-cross (2.28-7cross1)
- GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
- libc6-amd64-x32-dcv1
- virtueel pakket geboden door libc6-amd64-x32-cross
- libc6-arm64-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-arm64-dcv1
- virtueel pakket geboden door libc6-arm64-cross
- libc6-armel-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-armel-dcv1
- virtueel pakket geboden door libc6-armel-cross
- libc6-armhf-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-armhf-dcv1
- virtueel pakket geboden door libc6-armhf-cross
- libc6-dbg (2.28-10+deb10u3) [security]
- GNU C Library: detached debugging symbols
- libc6-dev (2.28-10+deb10u3) [security]
- GNU C bibliotheek: ontwikkelbibliotheken en header bestanden
- libc6-dev-alpha-cross
- virtueel pakket geboden door libc6.1-dev-alpha-cross
- libc6-dev-amd64 (2.28-10+deb10u3) [security]
- GNU C Library: 64bit Development Libraries for AMD64
- libc6-dev-amd64-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-amd64-dcv1
- virtueel pakket geboden door libc6-dev-amd64-cross
- libc6-dev-amd64-i386-cross (2.28-7cross1)
- GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
- libc6-dev-amd64-i386-dcv1
- virtueel pakket geboden door libc6-dev-amd64-i386-cross
- libc6-dev-amd64-x32-cross (2.28-7cross1)
- GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
- libc6-dev-amd64-x32-dcv1
- virtueel pakket geboden door libc6-dev-amd64-x32-cross
- libc6-dev-arm64-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-arm64-dcv1
- virtueel pakket geboden door libc6-dev-arm64-cross
- libc6-dev-armel-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-armel-dcv1
- virtueel pakket geboden door libc6-dev-armel-cross
- libc6-dev-armhf-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-armhf-dcv1
- virtueel pakket geboden door libc6-dev-armhf-cross
- libc6-dev-hppa-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-hppa-dcv1
- virtueel pakket geboden door libc6-dev-hppa-cross
- libc6-dev-i386 (2.28-10+deb10u3) [security]
- GNU C Library: 32-bit development libraries for AMD64
- libc6-dev-i386-amd64-cross (2.28-7cross1)
- GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
- libc6-dev-i386-amd64-dcv1
- virtueel pakket geboden door libc6-dev-i386-amd64-cross
- libc6-dev-i386-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-i386-dcv1
- virtueel pakket geboden door libc6-dev-i386-cross
- libc6-dev-i386-x32-cross (2.28-7cross1)
- GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
- libc6-dev-i386-x32-dcv1
- virtueel pakket geboden door libc6-dev-i386-x32-cross
- libc6-dev-m68k-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-m68k-dcv1
- virtueel pakket geboden door libc6-dev-m68k-cross
- libc6-dev-mips-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mips-dcv1
- virtueel pakket geboden door libc6-dev-mips-cross
- libc6-dev-mips32-mips64-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mips64-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mips64-cross
- libc6-dev-mips32-mips64el-cross (2.28-7cross1)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mips64el-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mips64el-cross
- libc6-dev-mips32-mips64r6-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mips64r6-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mips64r6-cross
- libc6-dev-mips32-mips64r6el-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mips64r6el-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mips64r6el-cross
- libc6-dev-mips32-mipsn32-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mipsn32-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mipsn32-cross
- libc6-dev-mips32-mipsn32el-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mipsn32el-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mipsn32el-cross
- libc6-dev-mips32-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mipsn32r6-cross
- libc6-dev-mips32-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
- libc6-dev-mips32-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-dev-mips32-mipsn32r6el-cross
- libc6-dev-mips64-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mips64-dcv1
- virtueel pakket geboden door libc6-dev-mips64-cross
- libc6-dev-mips64-mips-cross (2.28-7cross1)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mips-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mips-cross
- libc6-dev-mips64-mipsel-cross (2.28-7cross1)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsel-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsel-cross
- libc6-dev-mips64-mipsn32-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsn32-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsn32-cross
- libc6-dev-mips64-mipsn32el-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsn32el-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsn32el-cross
- libc6-dev-mips64-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsn32r6-cross
- libc6-dev-mips64-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsn32r6el-cross
- libc6-dev-mips64-mipsr6-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsr6-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsr6-cross
- libc6-dev-mips64-mipsr6el-cross (2.28-7cross2)
- GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mips64-mipsr6el-dcv1
- virtueel pakket geboden door libc6-dev-mips64-mipsr6el-cross
- libc6-dev-mips64el-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mips64el-dcv1
- virtueel pakket geboden door libc6-dev-mips64el-cross
- libc6-dev-mips64r6-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mips64r6-dcv1
- virtueel pakket geboden door libc6-dev-mips64r6-cross
- libc6-dev-mips64r6el-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mips64r6el-dcv1
- virtueel pakket geboden door libc6-dev-mips64r6el-cross
- libc6-dev-mipsel-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsel-dcv1
- virtueel pakket geboden door libc6-dev-mipsel-cross
- libc6-dev-mipsn32-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsn32-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-cross
- libc6-dev-mipsn32-mips-cross (2.28-7cross1)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mips-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mips-cross
- libc6-dev-mipsn32-mips64-cross (2.28-7cross2)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mips64-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mips64-cross
- libc6-dev-mipsn32-mips64el-cross (2.28-7cross1)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mips64el-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mips64el-cross
- libc6-dev-mipsn32-mips64r6-cross (2.28-7cross2)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mips64r6-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mips64r6-cross
- libc6-dev-mipsn32-mips64r6el-cross (2.28-7cross2)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mips64r6el-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mips64r6el-cross
- libc6-dev-mipsn32-mipsel-cross (2.28-7cross1)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mipsel-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mipsel-cross
- libc6-dev-mipsn32-mipsr6-cross (2.28-7cross2)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mipsr6-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mipsr6-cross
- libc6-dev-mipsn32-mipsr6el-cross (2.28-7cross2)
- GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
- libc6-dev-mipsn32-mipsr6el-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32-mipsr6el-cross
- libc6-dev-mipsn32el-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsn32el-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32el-cross
- libc6-dev-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32r6-cross
- libc6-dev-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-dev-mipsn32r6el-cross
- libc6-dev-mipsr6-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsr6-dcv1
- virtueel pakket geboden door libc6-dev-mipsr6-cross
- libc6-dev-mipsr6el-cross (2.28-7cross2)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-mipsr6el-dcv1
- virtueel pakket geboden door libc6-dev-mipsr6el-cross
- libc6-dev-powerpc-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-powerpc-dcv1
- virtueel pakket geboden door libc6-dev-powerpc-cross
- libc6-dev-powerpc-ppc64-cross (2.28-7cross1)
- GNU C Library: 32bit powerpc development libraries for ppc64 (for cross-compiling)
- libc6-dev-powerpc-ppc64-dcv1
- virtueel pakket geboden door libc6-dev-powerpc-ppc64-cross
- libc6-dev-powerpcspe-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-powerpcspe-dcv1
- virtueel pakket geboden door libc6-dev-powerpcspe-cross
- libc6-dev-ppc64-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-ppc64-dcv1
- virtueel pakket geboden door libc6-dev-ppc64-cross
- libc6-dev-ppc64-powerpc-cross (2.28-7cross1)
- GNU C Library: 64bit Development Libraries for PowerPC64 (for cross-compiling)
- libc6-dev-ppc64-powerpc-dcv1
- virtueel pakket geboden door libc6-dev-ppc64-powerpc-cross
- libc6-dev-ppc64el-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-ppc64el-dcv1
- virtueel pakket geboden door libc6-dev-ppc64el-cross
- libc6-dev-riscv64-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-riscv64-dcv1
- virtueel pakket geboden door libc6-dev-riscv64-cross
- libc6-dev-s390-s390x-cross (2.28-7cross1)
- GNU C Library: 32bit Development Libraries for IBM zSeries (for cross-compiling)
- libc6-dev-s390-s390x-dcv1
- virtueel pakket geboden door libc6-dev-s390-s390x-cross
- libc6-dev-s390x-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-s390x-dcv1
- virtueel pakket geboden door libc6-dev-s390x-cross
- libc6-dev-sh4-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-sh4-dcv1
- virtueel pakket geboden door libc6-dev-sh4-cross
- libc6-dev-sparc-sparc64-cross (2.28-7cross1)
- GNU C Library: 32bit Development Libraries for SPARC (for cross-compiling)
- libc6-dev-sparc-sparc64-dcv1
- virtueel pakket geboden door libc6-dev-sparc-sparc64-cross
- libc6-dev-sparc64-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-sparc64-dcv1
- virtueel pakket geboden door libc6-dev-sparc64-cross
- libc6-dev-x32 (2.28-10+deb10u3) [security]
- GNU C Library: X32 ABI Development Libraries for AMD64
- libc6-dev-x32-amd64-cross (2.28-7cross1)
- GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
- libc6-dev-x32-amd64-dcv1
- virtueel pakket geboden door libc6-dev-x32-amd64-cross
- libc6-dev-x32-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6-dev-x32-dcv1
- virtueel pakket geboden door libc6-dev-x32-cross
- libc6-dev-x32-i386-cross (2.28-7cross1)
- GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
- libc6-dev-x32-i386-dcv1
- virtueel pakket geboden door libc6-dev-x32-i386-cross
- libc6-hppa-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-hppa-dcv1
- virtueel pakket geboden door libc6-hppa-cross
- libc6-i386 (2.28-10+deb10u3) [security]
- GNU C Library: 32-bit shared libraries for AMD64
- libc6-i386-amd64-cross (2.28-7cross1)
- GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
- libc6-i386-amd64-dcv1
- virtueel pakket geboden door libc6-i386-amd64-cross
- libc6-i386-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-i386-dcv1
- virtueel pakket geboden door libc6-i386-cross
- libc6-i386-x32-cross (2.28-7cross1)
- GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
- libc6-i386-x32-dcv1
- virtueel pakket geboden door libc6-i386-x32-cross
- libc6-i686
- virtueel pakket geboden door libc6
- libc6-i686-i386-cross
- virtueel pakket geboden door libc6-i386-cross
- libc6-m68k-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-m68k-dcv1
- virtueel pakket geboden door libc6-m68k-cross
- libc6-mips-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mips-dcv1
- virtueel pakket geboden door libc6-mips-cross
- libc6-mips32-mips64-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mips64-dcv1
- virtueel pakket geboden door libc6-mips32-mips64-cross
- libc6-mips32-mips64el-cross (2.28-7cross1)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mips64el-dcv1
- virtueel pakket geboden door libc6-mips32-mips64el-cross
- libc6-mips32-mips64r6-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mips64r6-dcv1
- virtueel pakket geboden door libc6-mips32-mips64r6-cross
- libc6-mips32-mips64r6el-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mips64r6el-dcv1
- virtueel pakket geboden door libc6-mips32-mips64r6el-cross
- libc6-mips32-mipsn32-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mipsn32-dcv1
- virtueel pakket geboden door libc6-mips32-mipsn32-cross
- libc6-mips32-mipsn32el-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mipsn32el-dcv1
- virtueel pakket geboden door libc6-mips32-mipsn32el-cross
- libc6-mips32-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-mips32-mipsn32r6-cross
- libc6-mips32-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
- libc6-mips32-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-mips32-mipsn32r6el-cross
- libc6-mips64-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mips64-dcv1
- virtueel pakket geboden door libc6-mips64-cross
- libc6-mips64-mips-cross (2.28-7cross1)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mips-dcv1
- virtueel pakket geboden door libc6-mips64-mips-cross
- libc6-mips64-mipsel-cross (2.28-7cross1)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsel-dcv1
- virtueel pakket geboden door libc6-mips64-mipsel-cross
- libc6-mips64-mipsn32-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsn32-dcv1
- virtueel pakket geboden door libc6-mips64-mipsn32-cross
- libc6-mips64-mipsn32el-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsn32el-dcv1
- virtueel pakket geboden door libc6-mips64-mipsn32el-cross
- libc6-mips64-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-mips64-mipsn32r6-cross
- libc6-mips64-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-mips64-mipsn32r6el-cross
- libc6-mips64-mipsr6-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsr6-dcv1
- virtueel pakket geboden door libc6-mips64-mipsr6-cross
- libc6-mips64-mipsr6el-cross (2.28-7cross2)
- GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
- libc6-mips64-mipsr6el-dcv1
- virtueel pakket geboden door libc6-mips64-mipsr6el-cross
- libc6-mips64el-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mips64el-dcv1
- virtueel pakket geboden door libc6-mips64el-cross
- libc6-mips64r6-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mips64r6-dcv1
- virtueel pakket geboden door libc6-mips64r6-cross
- libc6-mips64r6el-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mips64r6el-dcv1
- virtueel pakket geboden door libc6-mips64r6el-cross
- libc6-mipsel-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsel-dcv1
- virtueel pakket geboden door libc6-mipsel-cross
- libc6-mipsn32-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsn32-dcv1
- virtueel pakket geboden door libc6-mipsn32-cross
- libc6-mipsn32-mips-cross (2.28-7cross1)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mips-dcv1
- virtueel pakket geboden door libc6-mipsn32-mips-cross
- libc6-mipsn32-mips64-cross (2.28-7cross2)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mips64-dcv1
- virtueel pakket geboden door libc6-mipsn32-mips64-cross
- libc6-mipsn32-mips64el-cross (2.28-7cross1)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mips64el-dcv1
- virtueel pakket geboden door libc6-mipsn32-mips64el-cross
- libc6-mipsn32-mips64r6-cross (2.28-7cross2)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mips64r6-dcv1
- virtueel pakket geboden door libc6-mipsn32-mips64r6-cross
- libc6-mipsn32-mips64r6el-cross (2.28-7cross2)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mips64r6el-dcv1
- virtueel pakket geboden door libc6-mipsn32-mips64r6el-cross
- libc6-mipsn32-mipsel-cross (2.28-7cross1)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mipsel-dcv1
- virtueel pakket geboden door libc6-mipsn32-mipsel-cross
- libc6-mipsn32-mipsr6-cross (2.28-7cross2)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mipsr6-dcv1
- virtueel pakket geboden door libc6-mipsn32-mipsr6-cross
- libc6-mipsn32-mipsr6el-cross (2.28-7cross2)
- GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
- libc6-mipsn32-mipsr6el-dcv1
- virtueel pakket geboden door libc6-mipsn32-mipsr6el-cross
- libc6-mipsn32el-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsn32el-dcv1
- virtueel pakket geboden door libc6-mipsn32el-cross
- libc6-mipsn32r6-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsn32r6-dcv1
- virtueel pakket geboden door libc6-mipsn32r6-cross
- libc6-mipsn32r6el-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsn32r6el-dcv1
- virtueel pakket geboden door libc6-mipsn32r6el-cross
- libc6-mipsr6-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsr6-dcv1
- virtueel pakket geboden door libc6-mipsr6-cross
- libc6-mipsr6el-cross (2.28-7cross2)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-mipsr6el-dcv1
- virtueel pakket geboden door libc6-mipsr6el-cross
- libc6-pic (2.28-10+deb10u3) [security]
- GNU C Library: PIC archive library
- libc6-powerpc-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-powerpc-dcv1
- virtueel pakket geboden door libc6-powerpc-cross
- libc6-powerpc-ppc64-cross (2.28-7cross1)
- GNU C Library: 32bit powerpc shared libraries for ppc64 (for cross-compiling)
- libc6-powerpc-ppc64-dcv1
- virtueel pakket geboden door libc6-powerpc-ppc64-cross
- libc6-powerpcspe-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-powerpcspe-dcv1
- virtueel pakket geboden door libc6-powerpcspe-cross
- libc6-ppc64-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-ppc64-dcv1
- virtueel pakket geboden door libc6-ppc64-cross
- libc6-ppc64-powerpc-cross (2.28-7cross1)
- GNU C Library: 64bit Shared libraries for PowerPC64 (for cross-compiling)
- libc6-ppc64-powerpc-dcv1
- virtueel pakket geboden door libc6-ppc64-powerpc-cross
- libc6-ppc64el-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-ppc64el-dcv1
- virtueel pakket geboden door libc6-ppc64el-cross
- libc6-riscv64-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-riscv64-dcv1
- virtueel pakket geboden door libc6-riscv64-cross
- libc6-s390-s390x-cross (2.28-7cross1)
- GNU C Library: 32bit Shared libraries for IBM zSeries (for cross-compiling)
- libc6-s390-s390x-dcv1
- virtueel pakket geboden door libc6-s390-s390x-cross
- libc6-s390x-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-s390x-dcv1
- virtueel pakket geboden door libc6-s390x-cross
- libc6-sh4-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-sh4-dcv1
- virtueel pakket geboden door libc6-sh4-cross
- libc6-sparc-sparc64-cross (2.28-7cross1)
- GNU C Library: 32bit Shared libraries for SPARC (for cross-compiling)
- libc6-sparc-sparc64-dcv1
- virtueel pakket geboden door libc6-sparc-sparc64-cross
- libc6-sparc64-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-sparc64-dcv1
- virtueel pakket geboden door libc6-sparc64-cross
- libc6-sparcv9b-sparc64-cross
- virtueel pakket geboden door libc6-sparc64-cross
- libc6-udeb (2.28-10+deb10u1)
- GNU C Library: Shared libraries - udeb
- libc6-x32 (2.28-10+deb10u3) [security]
- GNU C Library: X32 ABI Shared libraries for AMD64
- libc6-x32-amd64-cross (2.28-7cross1)
- GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
- libc6-x32-amd64-dcv1
- virtueel pakket geboden door libc6-x32-amd64-cross
- libc6-x32-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6-x32-dcv1
- virtueel pakket geboden door libc6-x32-cross
- libc6-x32-i386-cross (2.28-7cross1)
- GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
- libc6-x32-i386-dcv1
- virtueel pakket geboden door libc6-x32-i386-cross
- libc6-xen (2.28-10+deb10u3) [security]
- GNU C Library: Shared libraries [Xen version]
- libc6.1-alpha-cross (2.28-7cross1)
- GNU C Library: Shared libraries (for cross-compiling)
- libc6.1-alpha-dcv1
- virtueel pakket geboden door libc6.1-alpha-cross
- libc6.1-dev-alpha-cross (2.28-7cross1)
- GNU C Library: Development Libraries and Header Files (for cross-compiling)
- libc6.1-dev-alpha-dcv1
- virtueel pakket geboden door libc6.1-dev-alpha-cross
- libcaca-dev (0.99.beta19-2.1+deb10u1) [security]
- development files for libcaca
- libcaca0 (0.99.beta19-2.1+deb10u1) [security]
- colour ASCII art library
- libcacard-dev (1:2.6.1-1)
- Virtual Common Access Card (CAC) Emulator (development files)
- libcacard0 (1:2.6.1-1)
- Virtual Common Access Card (CAC) Emulator (runtime library)
- libcache-bdb-perl (0.04-2)
- object caching wrapper around BerkeleyDB
- libcache-cache-perl (1.08-2)
- Managed caches of persistent information
- libcache-fastmmap-perl (1.47-1+b1)
- Perl module providing a mmap'ed cache
- libcache-historical-perl (0.05-2)
- simple data caching service with time history
- libcache-lru-perl (0.04-1)
- simple, fast implementation of LRU cache
- libcache-memcached-fast-perl (0.25-1+b1)
- Perl client for memcached, in C language
- libcache-memcached-getparserxs-perl (0.01-2+b1)
- C/XS implementation of Cache::Memcached::GetParser
- libcache-memcached-libmemcached-perl (0.04001-2)
- cache interface to Memcached::libmemcached
- libcache-memcached-managed-perl (0.24-1)
- API for managing cached information
- libcache-memcached-perl (1.30-1)
- Perl module for using memcached servers
- libcache-mmap-perl (0.11-3+b6)
- module to provide a shared data cache using memory mapped files
- libcache-perl (2.11-1)
- Cache interface
- libcache-ref-perl (0.04-1)
- Perl module for caching references in memory
- libcache-simple-timedexpiry-perl (0.27-3)
- Perl module to cache and expire key/value pairs
- libcaf-core0.13.2 (0.13.2-3)
- Implementation of the Actor Model in C++, core library
- libcaf-dev (0.13.2-3)
- Implementation of the Actor Model in C++, development files
- libcaf-io0.13.2 (0.13.2-3)
- Implementation of the Actor Model in C++, I/O library
- libcaf-mpich-3 (2.4.0-2)
- Co-Array Fortran libraries for gfortran (MPICH)
- libcaf-openmpi-3 (2.4.0-2)
- Co-Array Fortran libraries for gfortran (OpenMPI)
- libcaffe-cpu-dev (1.0.0+git20180821.99bd997-2+b1)
- development files for Caffe (CPU_ONLY)
- libcaffe-cpu1 (1.0.0+git20180821.99bd997-2+b1)
- library of Caffe, deep learning framework (CPU_ONLY)
- libcaffe-cuda-dev (1.0.0+git20180821.99bd997-2+b1) [contrib]
- development files for Caffe (CUDA)
- libcaffe-cuda1 (1.0.0+git20180821.99bd997-2+b1) [contrib]
- library of Caffe, deep leanring framework (CUDA)
- libcaffeine-java (2.6.2-1)
- High performance caching library
- libcairo-5c0 (1.17)
- library for cairo nickle bindings
- libcairo-dev
- virtueel pakket geboden door libcairo2-dev
- libcairo-gobject-perl (1.004-3+b1)
- integrate Cairo into the Glib type system in Perl
- libcairo-gobject2 (1.16.0-4+deb10u1)
- Cairo 2D vector graphics library (GObject library)
- libcairo-ocaml (1:1.2.0-6+b3 [armhf], 1:1.2.0-6+b2 [amd64, arm64, i386])
- OCaml bindings for Cairo (runtime)
- libcairo-ocaml-dev (1:1.2.0-6+b3 [armhf], 1:1.2.0-6+b2 [amd64, arm64, i386])
- OCaml bindings for Cairo
- libcairo-ocaml-dev-o0q64
- virtueel pakket geboden door libcairo-ocaml-dev
- libcairo-ocaml-dev-vqe33
- virtueel pakket geboden door libcairo-ocaml-dev
- libcairo-ocaml-o0q64
- virtueel pakket geboden door libcairo-ocaml
- libcairo-ocaml-vqe33
- virtueel pakket geboden door libcairo-ocaml
- libcairo-perl (1.106-3+b1)
- Perl interface to the Cairo graphics library
- libcairo-script-interpreter2 (1.16.0-4+deb10u1)
- Cairo 2D vector graphics library (script interpreter)
- libcairo1.10-cil (2.99.3-3)
- CLI binding for Cairo
- libcairo2 (1.16.0-4+deb10u1)
- Cairo 2D vector graphics library
- libcairo2-dev (1.16.0-4+deb10u1)
- Development files for the Cairo 2D graphics library
- libcairo2-doc (1.16.0-4+deb10u1)
- Documentation for the Cairo Multi-platform 2D graphics library
- libcairo2-udeb (1.16.0-4+deb10u1)
- Cairo 2D vector graphics library
- libcairomm-1.0-1v5 (1.12.2-4)
- C++ wrappers for Cairo (shared libraries)
- libcairomm-1.0-dev (1.12.2-4)
- C++ wrappers for Cairo (development files)
- libcairomm-1.0-doc (1.12.2-4)
- C++ wrappers for Cairo (documentation)
- libcaja-extension
- virtueel pakket geboden door libcaja-extension1
- libcaja-extension-dev (1.20.3-1+b1)
- libraries for Caja components (development files)
- libcaja-extension-doc (1.20.3-1)
- libraries for Caja components (API documentation files)
- libcaja-extension1 (1.20.3-1+b1)
- libraries for Caja components
- libcajun-dev (2.0.3-2)
- C++ headers for JSON generation and parsing
- libcal-dav-perl (0.6-3)
- CalDAV client library
- libcal3d-dev
- virtueel pakket geboden door libcal3d12-dev
- libcal3d-doc (0.11.0-7)
- Skeletal based 3d character animation library - API documentation
- libcal3d12-dev (0.11.0-7+b1)
- Skeletal based 3d character animation library - development files
- libcal3d12v5 (0.11.0-7+b1)
- Skeletal based 3d character animation library
- libcalendar-ocaml (2.04-1+b3 [armhf], 2.04-1+b2 [amd64, arm64, i386])
- OCaml library providing operations over dates and times (runtime)
- libcalendar-ocaml-9kn31
- virtueel pakket geboden door libcalendar-ocaml
- libcalendar-ocaml-dev (2.04-1+b3 [armhf], 2.04-1+b2 [amd64, arm64, i386])
- OCaml library providing operations over dates and times (dev files)
- libcalendar-ocaml-dev-9kn31
- virtueel pakket geboden door libcalendar-ocaml-dev
- libcalendar-ocaml-dev-luvd3
- virtueel pakket geboden door libcalendar-ocaml-dev
- libcalendar-ocaml-dev-oxa78
- virtueel pakket geboden door libcalendar-ocaml-dev
- libcalendar-ocaml-dev-qvjh0
- virtueel pakket geboden door libcalendar-ocaml-dev
- libcalendar-ocaml-doc (2.04-1)
- OCaml library providing operations over dates and times (doc)
- libcalendar-ocaml-luvd3
- virtueel pakket geboden door libcalendar-ocaml
- libcalendar-ocaml-oxa78
- virtueel pakket geboden door libcalendar-ocaml
- libcalendar-ocaml-qvjh0
- virtueel pakket geboden door libcalendar-ocaml
- libcalendar-simple-perl (1.23-1)
- module for producing simple calendars
- libcallback1 (2.1-2)
- foreign function call libraries - closures with variable arguments in C
- libcam-pdf-perl (1.60-3)
- PDF manipulation library
- libcamd2 (1:5.4.0+dfsg-1)
- symmetric approximate minimum degree library for sparse matrices
- libcamel-1.2-62 (3.30.5-1+deb10u2)
- Evolution MIME message handling library
- libcamel1.2-dev (3.30.5-1+deb10u2)
- Development files for libcamel
- libcamera-calibration-parsers-dev (1.11.13-3+b1)
- Robot OS camera_calibration_parsers package - development
- libcamera-calibration-parsers0d (1.11.13-3+b1)
- Robot OS camera_calibration_parsers package
- libcamera-info-manager-dev (1.11.13-3+b1)
- Robot OS camera_info_manager package - development
- libcamera-info-manager0d (1.11.13-3+b1)
- Robot OS camera_info_manager package
- libcamitk-dev (4.1.2-3)
- Computer Assisted Medical Intervention Tool Kit - development
- libcamitk4 (4.1.2-3)
- Computer Assisted Medical Intervention Tool Kit - runtime
- libcamitk4-data (4.1.2-3)
- Computer Assisted Medical Intervention Tool Kit - data
- libcamitk4-doc (4.1.2-3)
- Computer Assisted Medical Intervention Tool Kit - documentation
- libcaml2html-ocaml (1.4.3-2+b2)
- HTML and LaTeX colored syntax from OCaml source files - plugins
- libcaml2html-ocaml-1r6c5
- virtueel pakket geboden door libcaml2html-ocaml
- libcaml2html-ocaml-dev (1.4.3-2+b2)
- HTML and LaTeX colored syntax from OCaml source files - dev files
- libcaml2html-ocaml-dev-1r6c5
- virtueel pakket geboden door libcaml2html-ocaml-dev
- libcaml2html-ocaml-dev-sa4i7
- virtueel pakket geboden door libcaml2html-ocaml-dev
- libcaml2html-ocaml-sa4i7
- virtueel pakket geboden door libcaml2html-ocaml
- libcamlimages-ocaml (1:4.2.6-4)
- OCaml image processing library (Runtime library)
- libcamlimages-ocaml-dev (1:4.2.6-4)
- OCaml image processing library (Development package)
- libcamlimages-ocaml-dev-rctq2
- virtueel pakket geboden door libcamlimages-ocaml-dev
- libcamlimages-ocaml-dev-rz4q9
- virtueel pakket geboden door libcamlimages-ocaml-dev
- libcamlimages-ocaml-doc (1:4.2.6-4)
- OCaml CamlImages library documentation
- libcamlimages-ocaml-rctq2
- virtueel pakket geboden door libcamlimages-ocaml
- libcamlimages-ocaml-rz4q9
- virtueel pakket geboden door libcamlimages-ocaml
- libcamljava-ocaml-dev (0.3-2+b2 [armhf], 0.3-2+b1 [amd64, arm64, i386])
- interface between OCaml and Java via Caml/C interface and JNI
- libcamljava-ocaml-dev-o0rt3
- virtueel pakket geboden door libcamljava-ocaml-dev
- libcamljava-ocaml-dev-yv801
- virtueel pakket geboden door libcamljava-ocaml-dev
- libcamlp4-ocaml-dev (4.05+1-2+b1 [armhf], 4.05+1-2 [amd64, arm64, i386])
- Pre Processor Pretty Printer for OCaml (libraries)
- libcamlp4-ocaml-dev-io8z5
- virtueel pakket geboden door libcamlp4-ocaml-dev
- libcamlp4-ocaml-dev-w0ou6
- virtueel pakket geboden door libcamlp4-ocaml-dev
- libcamlpdf-ocaml (2.2.1-1+b2 [armhf], 2.2.1-1+b1 [amd64, arm64, i386])
- library for reading, writing and manipulating PDF files and data (runtime)
- libcamlpdf-ocaml-6vh07
- virtueel pakket geboden door libcamlpdf-ocaml
- libcamlpdf-ocaml-dev (2.2.1-1+b2 [armhf], 2.2.1-1+b1 [amd64, arm64, i386])
- library for reading, writing and manipulating PDF files and data
- libcamlpdf-ocaml-dev-6vh07
- virtueel pakket geboden door libcamlpdf-ocaml-dev
- libcamlpdf-ocaml-dev-j6745
- virtueel pakket geboden door libcamlpdf-ocaml-dev
- libcamlpdf-ocaml-j6745
- virtueel pakket geboden door libcamlpdf-ocaml
- libcamltemplate-ocaml (1.0.2-2+b2 [armhf], 1.0.2-2+b1 [amd64, arm64, i386])
- configurable library for generating text from templates in OCaml
- libcamltemplate-ocaml-cx726
- virtueel pakket geboden door libcamltemplate-ocaml
- libcamltemplate-ocaml-dev (1.0.2-2+b2 [armhf], 1.0.2-2+b1 [amd64, arm64, i386])
- configurable library for generating text from templates in OCaml
- libcamltemplate-ocaml-dev-cx726
- virtueel pakket geboden door libcamltemplate-ocaml-dev
- libcamltemplate-ocaml-dev-t3hg2
- virtueel pakket geboden door libcamltemplate-ocaml-dev
- libcamltemplate-ocaml-t3hg2
- virtueel pakket geboden door libcamltemplate-ocaml
- libcamomile-ocaml-data (0.8.5-1)
- Unicode data for OCaml
- libcamomile-ocaml-dev (0.8.5-1+b2 [armhf], 0.8.5-1+b1 [amd64, arm64, i386])
- Unicode library for OCaml
- libcamomile-ocaml-dev-60q46
- virtueel pakket geboden door libcamomile-ocaml-dev
- libcamomile-ocaml-dev-no175
- virtueel pakket geboden door libcamomile-ocaml-dev
- libcamp-dev (0.8.2-1)
- C++ multi-purpose reflection library (development files)
- libcamp0.7
- virtueel pakket geboden door libcamp0.8
- libcamp0.7-dev
- virtueel pakket geboden door libcamp-dev
- libcamp0.8 (0.8.2-1)
- C++ multi-purpose reflection library
- libcanary-stability-perl (2006-2)
- canary to check perl compatibility for schmorp's modules
- libcanberra-dev (0.30-7)
- simple interface for playing event sounds (development files)
- libcanberra-doc (0.30-7)
- simple abstract interface for playing event sounds - doc
- libcanberra-gstreamer (0.30-7)
- GStreamer backend for libcanberra
- libcanberra-gtk-common-dev (0.30-7)
- simple interface for playing event sounds (GTK+ shared development files)
- libcanberra-gtk-dev (0.30-7)
- simple interface for playing event sounds (GTK+ 2 development files)
- libcanberra-gtk-module (0.30-7)
- translates GTK+ widgets signals to event sounds
- libcanberra-gtk0 (0.30-7)
- GTK+ helper for playing widget event sounds with libcanberra
- libcanberra-gtk3-0 (0.30-7)
- GTK+ 3.0 helper for playing widget event sounds with libcanberra
- libcanberra-gtk3-dev (0.30-7)
- simple interface for playing event sounds (GTK+ 3 development files)
- libcanberra-gtk3-module (0.30-7)
- translates GTK3 widgets signals to event sounds
- libcanberra-pulse (0.30-7)
- PulseAudio backend for libcanberra
- libcanberra0 (0.30-7)
- simple abstract interface for playing event sounds
- libcangjie2 (1.3-3)
- Cangjie input method library
- libcangjie2-data (1.3-3)
- Cangjie input method library (common database)
- libcangjie2-dev (1.3-3)
- Cangjie input method library (development)
- libcangjie2-dev-tools (1.3-3)
- Cangjie input method library development tools
- libcanl-c-dev (3.0.0-3)
- Development files for EMI caNl
- libcanl-c-doc (3.0.0-3)
- Documentation files for EMI caNl
- libcanl-c-examples (3.0.0-3)
- Example programs of EMI caNl
- libcanl-c4 (3.0.0-3)
- EMI Common Authentication library - bindings for C
- libcanl-java (2.6.0-1)
- EMI Common Authentication library - bindings for Java
- libcanl-java-doc (2.6.0-1)
- Javadoc documentation for canl-java
- libcanlock-dev (3.1.0-1)
- development files for Usenet cancel lock library
- libcanlock3 (3.1.0-1)
- library for creating and verifying Usenet cancel locks
- libcanna1g (3.7p3-14)
- input system for Japanese - runtime library
- libcanna1g-dev (3.7p3-14)
- input system for Japanese - development files
- libcantor-pythonbackend (4:18.12.0-2)
- Base Python backend for Cantor
- libcap-dev (1:2.25-2)
- POSIX 1003.1e capabilities (development)
- libcap-ng-dev (0.7.9-2)
- Development and header files for libcap-ng
- libcap-ng-utils (0.7.9-2)
- Utilities for analysing and setting file capabilities
- libcap-ng0 (0.7.9-2)
- An alternate POSIX capabilities library
- libcap2 (1:2.25-2)
- POSIX 1003.1e capabilities (library)
- libcap2-bin (1:2.25-2)
- POSIX 1003.1e capabilities (utilities)
- libcap2-dev
- virtueel pakket geboden door libcap-dev
- libcap2-udeb (1:2.25-2)
- POSIX 1003.1e capabilities (library)
- libcapi20-3 (1:3.27-3)
- ISDN utilities - CAPI support libraries
- libcapi20-dev (1:3.27-3)
- ISDN utilities - CAPI development libraries
- libcapnp-0.7.0 (0.7.0-3)
- Cap'n Proto C++ library
- libcapnp-dev (0.7.0-3)
- Cap'n Proto C++ library (development files)
- libcapstone-dev (4.0.1+really+3.0.5-1)
- lightweight multi-architecture disassembly framework - devel files
- libcapstone3 (4.0.1+really+3.0.5-1)
- lightweight multi-architecture disassembly framework - library
- libcaptcha-recaptcha-perl (0.98+ds-1)
- perl implementation of the reCAPTCHA API
- libcapture-tiny-perl (0.48-1)
- module to capture STDOUT and STDERR
- libcaribou-common (0.4.21-7)
- Configurable on screen keyboard with scanning mode - common files
- libcaribou-dev (0.4.21-7)
- Configurable on screen keyboard - library (development)
- libcaribou-gtk-module (0.4.21-7)
- Configurable on screen keyboard with scanning mode (GTK+ 2.0 module)
- libcaribou-gtk3-module (0.4.21-7)
- Configurable on screen keyboard with scanning mode (GTK+ 3.0 module)
- libcaribou0 (0.4.21-7)
- Configurable on screen keyboard with scanning mode - library
- libcarp-always-perl (0.16-1)
- Perl module to make warn and die noisy with stack backtraces
- libcarp-assert-more-perl (1.16-1)
- set of convenience wrappers for libcarp-assert-perl
- libcarp-assert-perl (0.21-1)
- Carp::Assert - executable comments for perl
- libcarp-clan-perl (6.07-1)
- Perl enhancement to Carp error logging facilities
- libcarp-clan-share-perl (0.013-2)
- Perl module enabling sharing of Carp::Clan settings
- libcarp-datum-perl (1:0.1.3-8)
- Debugging And Tracing Ultimate Module (for perl)
- libcarp-fix-1-25-perl (1.000001-2)
- Perl module to smooth over incompatible changes in Carp 1.25
- libcarrotsearch-hppc-java (0.7.2-2)
- High Performance Primitive Collections for Java
- libcarrotsearch-randomizedtesting-java (2.1.17-2)
- Randomized testing infrastructure for JUnit, Ant and Maven
- libcasa-casa3 (3.0.0-4)
- CASA core modules
- libcasa-coordinates3 (3.0.0-4)
- CASA coordinates library
- libcasa-derivedmscal3 (3.0.0-4)
- CASA derived mscal library
- libcasa-fits3 (3.0.0-4)
- CASA FITS library
- libcasa-images3 (3.0.0-4)
- CASA image processing library
- libcasa-lattices3 (3.0.0-4)
- CASA lattice library
- libcasa-meas3 (3.0.0-4)
- CASA functions handling measures
- libcasa-measures3 (3.0.0-4)
- CASA measures library
- libcasa-mirlib3 (3.0.0-4)
- CASA mirlib library
- libcasa-ms3 (3.0.0-4)
- CASA MeasurementSet library
- libcasa-msfits3 (3.0.0-4)
- CASA msfits library
- libcasa-python3 (3.0.0-4)
- CASA legacy Python 2 bindings
- libcasa-python3-3 (3.0.0-4)
- CASA Python bindings
- libcasa-scimath-f3 (3.0.0-4)
- CASA scimath F library
- libcasa-scimath3 (3.0.0-4)
- CASA basic mathematical modules
- libcasa-tables3 (3.0.0-4)
- CASA table system
- libcassie-dev (1.0.9-2)
- library and headers for development
- libcassie-doc (1.0.9-2)
- documentation for cassiopee library
- libcassie1v5 (1.0.9-2)
- library implementating search algorithms
- libcastor-anttasks-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - Ant Tasks
- libcastor-codegen-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - Codegen
- libcastor-core-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - Core
- libcastor-ddlgen-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - DDL generator
- libcastor-java-doc (1.3.2-7)
- Documentation for Castor Java databinding framework
- libcastor-jdo-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - JDO
- libcastor-xml-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - XML module
- libcastor-xml-schema-java (1.3.2-7)
- Data binding framework for Java (XML, Objects, DB) - XML Schema
- libcatalyst-action-renderview-perl (0.16-2)
- Sensible default end action for Catalyst
- libcatalyst-action-rest-perl (1.21-1)
- module for automatic REST method dispatching
- libcatalyst-action-serialize-data-serializer-perl (1.08-2)
- serializing module for Catalyst::Action::REST using Data::Serializer
- libcatalyst-actionrole-acl-perl (0.07-1)
- Catalyst ActionRole for user role-based authorization
- libcatalyst-actionrole-checktrailingslash-perl (0.01-1)
- Test URI path for trailing slash and redirect if needed
- libcatalyst-actionrole-requiressl-perl (1.00-1)
- Force an action to be (in)secure only
- libcatalyst-authentication-credential-authen-simple-perl (0.09-3)
- Catalyst plugin for verifying credentials with the Authen::Simple framework
- libcatalyst-authentication-credential-http-perl (1.018-1)
- HTTP Basic and Digest authentication for Catalyst
- libcatalyst-authentication-store-dbix-class-perl (0.1506-4)
- Catalyst authentication storage via DBIx::Class
- libcatalyst-authentication-store-htpasswd-perl (1.006-2)
- Authen::Htpasswd based user storage/authentication
- libcatalyst-component-instancepercontext-perl (0.001001-2)
- Single per-request instances of Catalyst components
- libcatalyst-controller-actionrole-perl (0.17-1)
- module for applying roles to action instances
- libcatalyst-controller-formbuilder-perl (0.06-2)
- Catalyst FormBuilder base controller
- libcatalyst-controller-html-formfu-perl (2.04-1)
- Catalyst integration for HTML::FormFu
- libcatalyst-devel-perl (1.39-2)
- collection of development tools for Catalyst
- libcatalyst-dispatchtype-regex-perl (5.90035-1)
- Regex DispatchType for Catalyst
- libcatalyst-engine-apache-perl (1.16-2)
- Catalyst engine for Apache 1.x and 2.x
- libcatalyst-log-log4perl-perl (1.06-3)
- logging module for Catalyst (deprecated)
- libcatalyst-manual-perl (5.9009-2)
- developer's manual for Catalyst
- libcatalyst-model-adaptor-perl (0.10-2)
- glue for Catalyst model modules
- libcatalyst-model-cdbi-crud-perl (0.04-3)
- deprecated Catalyst module
- libcatalyst-model-cdbi-perl (0.12-2)
- CDBI Model Class for Catalyst
- libcatalyst-model-dbi-perl (0.32-2)
- Database model class for Catalyst
- libcatalyst-model-dbic-schema-perl (0.65-1)
- DBIx::Class::Schema-based database model for Catalyst
- (9)
- extra modules for Catalyst (metapackage)
- libcatalyst-modules-perl (48)
- modules for Catalyst (metapackage)
- libcatalyst-perl (5.90124-1)
- elegant Model-View-Controller Web Application Framework
- libcatalyst-plugin-authentication-credential-openid-perl (0.03+rp-3)
- OpenID credential for Catalyst::Auth framework
- libcatalyst-plugin-authentication-perl (0.10023-3)
- Infrastructure plugin for the Catalyst authentication framework
- libcatalyst-plugin-authorization-acl-perl (0.16-1)
- ACL support for Catalyst applications
- libcatalyst-plugin-authorization-roles-perl (0.09-3)
- Role based authorization plugin for Catalyst
- libcatalyst-plugin-cache-perl (0.12-2)
- flexible caching support for Catalyst
- libcatalyst-plugin-cache-store-fastmmap-perl (0.02-4)
- (deprecated) FastMmap cache store plugin for Catalyst::Plugin::Cache
- libcatalyst-plugin-captcha-perl (0.04-3)
- create and validate Captcha for Catalyst
- libcatalyst-plugin-compress-perl (0.5-1)
- Catalyst plugin to compress the response
- libcatalyst-plugin-configloader-perl (0.34-3)
- Catalyst plugin for loading configuration files
- libcatalyst-plugin-customerrormessage-perl (0.6-2.1)
- Catalyst plugin to have more "cute" error message.
- libcatalyst-plugin-fillinform-perl (0.12-1)
- module providing Fill-In-Form for Catalyst
- libcatalyst-plugin-i18n-perl (0.10-3)
- I18N for Catalyst web application framework
- libcatalyst-plugin-log-dispatch-perl (0.121-2)
- Catalyst log module that uses Log::Dispatch
- libcatalyst-plugin-redirect-perl (0.2-1)
- Catalyst plugin for easy redirects
- libcatalyst-plugin-scheduler-perl (0.10-2)
- Catalyst plugin for scheduling events to run in a cron-like fashion
- libcatalyst-plugin-session-perl (0.41-1)
- generic Session plugin for Catalyst
- libcatalyst-plugin-session-state-cookie-perl (0.17-3)
- Catalyst plugin for maintaining session IDs using cookies
- libcatalyst-plugin-session-store-cache-perl (0.01-2)
- Catalyst plugin for storing session data in the cache
- libcatalyst-plugin-session-store-dbi-perl (0.16-3)
- DBI session storage plugin for Catalyst
- libcatalyst-plugin-session-store-dbic-perl (0.14-3)
- DBIx::Class-based session storage plugin for Catalyst
- libcatalyst-plugin-session-store-delegate-perl (0.06-2)
- delegated Catalyst session storage helper
- libcatalyst-plugin-session-store-fastmmap-perl (0.16-2)
- Catalyst session storage plugin backed by Cache::FastMMap
- libcatalyst-plugin-session-store-file-perl (0.18-2)
- File storage backend for Catalyst session data
- libcatalyst-plugin-setenv-perl (0.03-3)
- set up the environment from Catalyst's config file
- libcatalyst-plugin-smarturi-perl (0.041-1)
- module providing configurable URIs for Catalyst
- libcatalyst-plugin-stacktrace-perl (0.12-2)
- Catalyst plugin for displaying a stack trace on the debug screen
- libcatalyst-plugin-static-simple-perl (0.36-1)
- Catalyst plugin for easy serving of static pages
- libcatalyst-plugin-subrequest-perl (0.21-1)
- deprecated Catalist plugin for making subrequests to actions
- libcatalyst-plugin-unicode-encoding-perl
- virtueel pakket geboden door libcatalyst-perl
- libcatalyst-plugin-unicode-perl (0.93-3)
- Unicode support for Catalyst (old style)
- libcatalyst-view-component-subinclude-perl (0.10-3)
- Use subincludes in your Catalyst views
- libcatalyst-view-csv-perl (1.7-2)
- CSV view class for the Catalyst web framework
- libcatalyst-view-email-perl (0.36-1)
- Catalyst module for sending email
- libcatalyst-view-excel-template-plus-perl (0.3-2.1)
- Catalyst View for Excel::Template::Plus
- libcatalyst-view-gd-perl (0.1-1.1)
- Catalyst View for GD images
- libcatalyst-view-json-perl (0.36-1)
- JSON view plugin for Catalyst
- libcatalyst-view-mason-perl (0.19-1)
- Mason view component for Catalyst
- libcatalyst-view-pdf-reuse-perl (0.4-2)
- Create PDF files from Catalyst using Template Toolkit templates
- libcatalyst-view-petal-perl (0.03-1)
- Petal View Class for Catalyst
- libcatalyst-view-tt-perl (0.44-1)
- Template View Class for Catalyst
- libcatalystx-component-traits-perl (0.19-2)
- Automatic Trait Loading and Resolution for Catalyst Components
- libcatalystx-injectcomponent-perl (0.025-1)
- module for injecting components into Catalyst applications
- libcatalystx-leakchecker-perl (0.06-2)
- module for detecting memory leaks in Catalyst applications
- libcatalystx-simplelogin-perl (0.20-1)
- simple and reusable login controller
- libcatmandu-atom-perl (0.04-2)
- modules for handling Atom feeds within the Catmandu framework
- libcatmandu-filestore-perl (1.13-2)
- modules to make files persistent within the Catmandu framework
- libcatmandu-importer-getjson-perl (0.51-1)
- load JSON-encoded data from a server using a GET HTTP request
- libcatmandu-mab2-perl (0.21-1)
- modules for working with MAB2 data within the Catmandu framework
- libcatmandu-marc-perl (1.241-1)
- modules for working with MARC data within the Catmandu framework
- libcatmandu-mods-perl (0.31-1)
- modules for working with MODS data within the Catmandu framework
- libcatmandu-perl (1.1000-2)
- metadata toolkit
- libcatmandu-rdf-perl (0.32-2)
- modules for handling RDF data within the Catmandu framework
- libcatmandu-sru-perl (0.42.1-2)
- modules for working with SRU data within the Catmandu framework
- libcatmandu-store-elasticsearch-perl (0.0511-1)
- searchable store backed by Elasticsearch
- libcatmandu-store-mongodb-perl (0.0802-1)
- searchable store backed by MongoDB
- libcatmandu-template-perl (0.12-1)
- modules for working with templates within the Catmandu framework
- libcatmandu-wikidata-perl (0.06-1)
- import from Wikidata for processing with Catmandu
- libcatmandu-xls-perl (0.08-1)
- modules for working with Excel files within the Catmandu framework
- libcatmandu-xml-perl (0.16-1)
- modules for handling XML data within the Catmandu framework
- libcatmandu-xsd-perl (0.04-1)
- modules for handling XML data with XSD compilation
- libcattle-1.0-0 (1.2.2-3)
- Brainfuck language toolkit
- libcattle-1.0-dev (1.2.2-3)
- Brainfuck language toolkit (development files)
- libcattle-1.0-doc (1.2.2-3)
- Brainfuck language toolkit (API reference)
- libcava-java (0.6.0-1)
- Libraries and tools for blockchain and decentralized software
- libcbf-dev (0.9.5.18+dfsg1-1+b1)
- development files for CBFlib
- libcbf1 (0.9.5.18+dfsg1-1+b1)
- shared library supporting CBFlib
- libcbor-dev (0.5.0+dfsg-2)
- library for parsing and generating CBOR (RFC 7049) (development headers)
- libcbor-doc (0.5.0+dfsg-2)
- library for parsing and generating CBOR (RFC 7049) (documentation)
- libcbor-xs-perl (1.71-1)
- module for manipulating CBOR-formatted data (C/XS-accelerated)
- libcbor0 (0.5.0+dfsg-2)
- library for parsing and generating CBOR (RFC 7049)
- libcc1-0 (8.3.0-6)
- GCC cc1 plugin for GDB
- libccd-dev (2.1-1)
- Library for collision detection between convex shapes - dev files
- libccd2 (2.1-1)
- Library for collision detection between convex shapes - shared library
- libccfits-dev (2.5+dfsg-1+b2)
- static library for I/O with FITS format data files
- libccfits-doc (2.5+dfsg-1)
- documentation for CCfits
- libccfits0v5 (2.5+dfsg-1+b2)
- shared library for I/O with FITS format data files
- libccgnu2-1.8-0v5 (1.8.1-7)
- GNU package for creating portable C++ programs
- libccid (1.4.30-1)
- PC/SC driver for USB CCID smart card readers
- libccolamd2 (1:5.4.0+dfsg-1)
- constrained column approximate library for sparse matrices
- libcconv-dev (0.6.2-1.1+b2)
- development library for CCONV
- libcconv0 (0.6.2-1.1+b2)
- library for CCONV
- libccp4-data (6.5.1-1)
- CCP4 core functionality - common files
- libccp4-dev (6.5.1-1+b1)
- CCP4 core functionality - development files
- libccp4c0 (6.5.1-1+b1)
- CCP4 core functionality - C runtime
- libccp4f0 (6.5.1-1+b1)
- CCP4 core functionality - fortran runtime
- libccrtp-dev (2.0.9-2.3)
- Common C++ class framework for RTP packets - development files
- libccrtp-doc (2.0.9-2.3)
- Documentation files for GNU ccRTPp library
- libccrtp2v5 (2.0.9-2.3)
- Common C++ class framework for RTP packets
- libccss-1-5 (0.5.0-4+b2)
- simple api for CSS stylesheets
- libccss-1-5-dbg (0.5.0-4+b2)
- simple api for CSS stylesheets (Debug files)
- libccss-dev (0.5.0-4+b2)
- simple api for CSS stylesheets (development headers)
- libccss-doc (0.5.0-4)
- simple api for CSS Stylesheets (documentation)
- libccss-tools (0.5.0-4+b2)
- simple api for CSS stylesheets (utilities)
- libcctz-dev (2.2+dfsg1-2)
- Library for computing dates, times and time zones, development files
- libcctz-doc (2.2+dfsg1-2)
- Library for computing dates, times and time zones, documentation
- libcctz2 (2.2+dfsg1-2)
- Library for computing dates, times and time zones, shared library
- libcdaudio-dbg (0.99.12p2-14+b11)
- library for controlling a CD-ROM when playing audio CDs (debug)
- libcdaudio-dev (0.99.12p2-14+b11)
- library for controlling a CD-ROM when playing audio CDs (development)
- libcdaudio1 (0.99.12p2-14+b11)
- library for controlling a CD-ROM when playing audio CDs
- libcdb-dev (0.78+b1)
- development files for constant databases (cdb)
- libcdb-file-perl (0.99-1+b4)
- module to access cdb databases from Perl
- libcdb1 (0.78+b1)
- shared library for constant databases (cdb)
- libcdd-dev (094j-2)
- Library for finding vertices of convex polytopes, development
- libcdd-doc (094j-2)
- documentation for libcdd
- libcdd-tools (094j-2)
- Programs using libcdd
- libcdd0d (094j-2)
- Library for calculations with convex polytopes, runtime
- libcddb-dev
- virtueel pakket geboden door libcddb2-dev
- libcddb-file-perl (1.05-2)
- Parse a CDDB/freedb data file
- libcddb-get-perl (2.28-2)
- Perl interface to read the CDDB entry for an audio CD in your drive
- libcddb-perl (1.222-1)
- module to query CDDB and freedb servers
- libcddb2 (1.3.2-6)
- library to access CDDB data - runtime files
- libcddb2-dev (1.3.2-6)
- library to access CDDB data - development files
- libcdi-api-java (1.2-2)
- Contexts and Dependency Injection for Java EE
- libcdi-api-java-doc (1.2-2)
- Contexts and Dependency Injection for Java EE - documentation
- libcdi-dev (1.9.6-1)
- Development files for the climate data interface library
- libcdi0 (1.9.6-1)
- Climate Data Interface (cdi) library
- libcdio-cdda-dev (10.2+0.94+2-4)
- library to read and control digital audio CDs (development files)
- libcdio-cdda2 (10.2+0.94+2-4)
- library to read and control digital audio CDs
- libcdio-dev (2.0.0-2)
- library to read and control CD-ROM (development files)
- libcdio-paranoia-dev (10.2+0.94+2-4)
- library to read digital audio CDs with error correction (development files)
- libcdio-paranoia2 (10.2+0.94+2-4)
- library to read digital audio CDs with error correction
- libcdio-utils (2.0.0-2)
- sample applications based on the CDIO libraries
- libcdio18 (2.0.0-2)
- library to read and control CD-ROM
- libcdk-java (1:1.2.10-7)
- Chemistry Development Kit (CDK) Java libraries
- libcdk-perl (20150928-1+b6)
- Perl interface for a curses widget library
- libcdk5-dev (5.0.20180306-3)
- C-based curses widget library (development files)
- libcdk5-doc (5.0.20180306-3)
- C-based curses widget library (examples and demos)
- libcdk5nc6 (5.0.20180306-3)
- C-based curses widget library
- libcdparanoia-dev (3.10.2+debian-13)
- audio extraction tool for sampling CDs (development)
- libcdparanoia0 (3.10.2+debian-13)
- audio extraction tool for sampling CDs (library)
- libcdparanoia0-dev
- virtueel pakket geboden door libcdparanoia-dev
- libcdr-0.1-1 (0.1.5-1)
- library for reading and converting Corel DRAW files
- libcdr-dev (0.1.5-1)
- library for reading and converting Corel DRAW files -- development
- libcdr-doc (0.1.5-1)
- library for reading and converting Corel DRAW files -- documentation
- libcdr-tools (0.1.5-1)
- library for reading and converting Corel DRAW files -- tools
- libcds-moc-java (5.0-2)
- Multi-Order Coverage maps Virtual Observatory library
- libcds-moc-java-doc (5.0-2)
- Multi-Order Coverage maps Virtual Observatory library documentation
- libcds-savot-java (4.0.0-2)
- Simple Access to VOTable (SAVOT) library for Virtual Observatory
- libcds-savot-java-doc (4.0.0-2)
- Simple Access to VOTable (SAVOT) library for Virtual Observatory documentation
- libcdt5 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - cdt library
- libcec-dev (4.0.4+dfsg1-2)
- USB CEC Adaptor communication Library (development files)
- libcec4 (4.0.4+dfsg1-2)
- USB CEC Adaptor communication Library (shared library)
- libcentreon-clib (18.10.0-2)
- Network, system, applicative supervision and monitoring - core libraries
- libcephfs-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- Ceph distributed file system client library (development files)
- libcephfs-java (12.2.11+dfsg1-2.1+deb10u1) [security]
- Java library for the Ceph File System
- libcephfs-jni (12.2.11+dfsg1-2.1+deb10u1) [security]
- Java Native Interface library for CephFS Java bindings
- libcephfs2 (12.2.11+dfsg1-2.1+deb10u1) [security]
- Ceph distributed file system client library
- libcereal-dev (1.2.2-3)
- C++11 library for serialization
- libcereal-doc (1.2.2-3)
- C++11 library for serialization HTML documentation
- libceres-dev (1.14.0-4)
- nonlinear least squares minimizer (development files)
- libceres1 (1.14.0-4)
- nonlinear least squares minimizer (shared library)
- libcerf-dev (1.3-2+b1)
- Complex error function library - development files
- libcerf-doc (1.3-2)
- Complex error function library - development files
- libcerf1 (1.3-2+b1)
- Complex error function library - binary files
- libcerf1-dbg (1.3-2+b1)
- Complex error function library - debugging symbols
- libcexceptions-dev (2.3+dfsg-3)
- C exception handling library (development files)
- libcexceptions0 (2.3+dfsg-3)
- C exception handling library
- libcext-dev (7.1-3+b2)
- Commonly used utility functions for C programs (development files)
- libcext-doc (7.1-3)
- API documentation for ESO's C utility library libcext
- libcext0 (7.1-3+b2)
- Commonly used utility functions for C programs
- libcf-ocaml (0.10-4+b2 [armhf], 0.10-4+b1 [amd64, arm64, i386])
- OCaml library with miscellaneous utility functions
- libcf-ocaml-8ubq6
- virtueel pakket geboden door libcf-ocaml
- libcf-ocaml-dev (0.10-4+b2 [armhf], 0.10-4+b1 [amd64, arm64, i386])
- OCaml library with miscellaneous utility functions
- libcf-ocaml-dev-8ubq6
- virtueel pakket geboden door libcf-ocaml-dev
- libcf-ocaml-dev-ejam2
- virtueel pakket geboden door libcf-ocaml-dev
- libcf-ocaml-ejam2
- virtueel pakket geboden door libcf-ocaml
- libcfg-dev (3.0.1-2+deb10u1)
- cluster engine CFG library development
- libcfg7 (3.0.1-2+deb10u1)
- cluster engine CFG library
- libcfitsio-bin (3.450-3)
- CFITSIO based utilities
- libcfitsio-dev (3.450-3)
- library for I/O with FITS format data files (development files)
- libcfitsio-doc (3.450-3)
- documentation for CFITSIO
- libcfitsio7 (3.450-3)
- shared library for I/O with FITS format data files
- libcflow-perl (1:0.68-12.5+b3)
- perl module for analyzing raw IP flow files written by cflowd
- libcg (3.1.0013-5) [non-free]
- Nvidia Cg core runtime library
- libcg3
- virtueel pakket geboden door libcg3-1
- libcg3-1 (1.1.7-1+b1)
- Runtime for CG-3
- libcg3-dev (1.1.7-1+b1)
- Headers and shared files to develop using the CG-3 library
- libcgal-demo (4.13-1+deb10u1) [security]
- C++ library for computational geometry (demos)
- libcgal-dev (4.13-1+deb10u1) [security]
- C++ library for computational geometry (development files)
- libcgal-ipelets (4.13-1+deb10u1) [security]
- C++ library for computational geometry (ipelets)
- libcgal-qt5-13 (4.13-1+deb10u1) [security]
- C++ library for computational geometry (support for Qt5)
- libcgal-qt5-dev (4.13-1+deb10u1) [security]
- C++ library for computational geometry (development files, support for Qt5)
- libcgal13 (4.13-1+deb10u1) [security]
- C++ library for computational geometry
- libcggl (3.1.0013-5) [non-free]
- Nvidia Cg Opengl runtime library
- libcgi-ajax-perl (0.707-2)
- Perl-specific system for writing Asynchronous web applications
- libcgi-application-basic-plugin-bundle-perl (0.8)
- bundle of basic plugins for CGI::Application
- libcgi-application-dispatch-perl (3.12-2)
- request dispatcher for CGI::Application
- (0.6)
- bundle of extra CGI::Application plugins
- libcgi-application-perl (4.61-1)
- framework for building reusable web-applications
- libcgi-application-plugin-actiondispatch-perl (0.99-2)
- attribute extension for CGI::Application
- libcgi-application-plugin-anytemplate-perl (0.18-2)
- module supporting any templating system in CGI::Application
- libcgi-application-plugin-authentication-driver-cdbi-perl
- virtueel pakket geboden door libcgi-application-plugin-authentication-perl
- libcgi-application-plugin-authentication-driver-dbic-perl
- virtueel pakket geboden door libcgi-application-plugin-authentication-perl
- libcgi-application-plugin-authentication-perl (0.23-1)
- authentication framework for CGI::Application
- libcgi-application-plugin-authorization-driver-activedirectory-perl
- virtueel pakket geboden door libcgi-application-plugin-authorization-perl
- libcgi-application-plugin-authorization-perl (0.07-5)
- authorization framework for CGI::Application
- libcgi-application-plugin-autorunmode-perl (0.18-2)
- CGI::App plugin to automatically register runmodes
- libcgi-application-plugin-captcha-perl (0.04-2)
- module providing CAPTCHA support in CGI::Application
- libcgi-application-plugin-config-simple-perl (1.01-2)
- module providing Config::Simple support to CGI::Application
- libcgi-application-plugin-configauto-perl (1.33-2)
- plugin that adds Config::Auto support to CGI::Application
- libcgi-application-plugin-dbh-perl (4.04-2)
- plugin adding database support to CGI::Application
- libcgi-application-plugin-dbiprofile-perl (0.07-2)
- plugin providing database profiling support
- libcgi-application-plugin-debugscreen-perl
- virtueel pakket geboden door libcgi-application-plugin-viewcode-perl
- virtueel pakket geboden door libcgi-application-plugin-devpopup-perl
- (1.08-1)
- debugging framework for CGI::Application
- virtueel pakket geboden door libcgi-application-plugin-devpopup-perl
- libcgi-application-plugin-errorpage-perl
- virtueel pakket geboden door libcgi-application-perl
- libcgi-application-plugin-fillinform-perl (1.15-2)
- plugin for CGI::Application to fill in forms
- libcgi-application-plugin-formstate-perl (0.12-2)
- plugin to store form state without hidden fields
- libcgi-application-plugin-forward-perl (1.06-2)
- plugin to allow one run mode pass control to another
- libcgi-application-plugin-json-perl (1.02-3)
- plugin to facilitate manipulation of JSON headers
- libcgi-application-plugin-linkintegrity-perl (0.06-3)
- plugin to make tamper-resisistent links in CGI::Application
- libcgi-application-plugin-logdispatch-perl (1.02-2)
- plugin that adds Log::Dispatch support to CGI::Application
- libcgi-application-plugin-messagestack-perl (0.34-4)
- message passing plugin for CGI::Application
- libcgi-application-plugin-protectcsrf-perl (1.01-2)
- plugin to generate and verify anti-CSRF challenges
- libcgi-application-plugin-ratelimit-perl (1.0-3)
- Perl module for limiting the runmode call rate per user
- libcgi-application-plugin-redirect-perl
- virtueel pakket geboden door libcgi-application-perl
- libcgi-application-plugin-requiressl-perl (0.04-2)
- plugin enforcing that certain run modes must be SSL secured
- libcgi-application-plugin-session-perl (1.05-2)
- plugin that adds session support to CGI::Application
- libcgi-application-plugin-stream-perl (2.12-1)
- plugin that adds file streaming support to CGI::Application
- libcgi-application-plugin-tt-lastmodified-perl
- virtueel pakket geboden door libcgi-application-plugin-tt-perl
- libcgi-application-plugin-tt-perl (1.05-4)
- plugin that adds Template Toolkit support to CGI::Application
- libcgi-application-plugin-validaterm-perl (2.5-3)
- plugin for validating form data using Data::FormValidator
- libcgi-application-plugin-viewcode-perl (1.02-4)
- set of debugging tools for CGI::Application
- libcgi-application-server-perl (0.063-2)
- simple HTTP server for developing with CGI::Application
- libcgi-application-standard-config-perl
- virtueel pakket geboden door libcgi-application-perl
- libcgi-compile-perl (0.22-2)
- module for compiling .cgi scripts to a code reference
- libcgi-compress-gzip-perl (1.03-2)
- module to allow automatically compressed CGI output
- libcgi-cookie-splitter-perl (0.05-2)
- module for splitting big cookies into smaller ones
- libcgi-emulate-psgi-perl (0.23-1)
- PSGI adapter for CGI
- libcgi-expand-perl (2.05-4)
- convert flat hash to nested data using TT2's dot convention
- libcgi-fast-perl (1:2.13-1)
- CGI subclass for work with FCGI
- libcgi-formalware-perl (1.16-2)
- Perl module for converting an XML file into a suite of CGI forms
- libcgi-formbuilder-perl (3.10-4)
- Easily generate and process stateful CGI forms
- libcgi-formbuilder-source-perl-perl (0.01-1)
- module to initialize FormBuilder applications from Perl files
- libcgi-formbuilder-source-yaml-perl (1.0.8-4)
- module to initialize FormBuilder applications from YAML files
- libcgi-github-webhook-perl (0.06-1)
- Easily write CGI-based GitHub webhooks in Perl
- libcgi-java (0.8.1-1)
- CGI library for Java
- libcgi-pm-perl (4.40-1)
- module for Common Gateway Interface applications
- libcgi-psgi-perl (0.15-2)
- Adapt CGI.pm to the PSGI protocol
- libcgi-session-driver-chi-perl (1.0.3-2)
- driver for CGI::Session to use CHI as a session store
- libcgi-session-driver-memcached-perl (0.04-2)
- Perl module to allow CGI sessions to be stored in memcache
- libcgi-session-expiresessions-perl (1.13-2)
- automatic deletion of expired CGI sessions
- libcgi-session-perl (4.48-3)
- persistent session data in CGI applications
- libcgi-session-serialize-yaml-perl (4.26-2)
- YAML and YAML::Syck support for CGI::Session's serializers
- libcgi-simple-perl (1.115-2)
- simple CGI.pm compatible OO CGI interface
- libcgi-ssi-parser-perl (0.01-1.2)
- used in CGI scripts for parsing SSI directives
- libcgi-ssi-perl (0.92-5)
- Perl module to use SSI from CGI scripts
- libcgi-struct-xs-perl (1.04-2+b4)
- Perl module to build structures from CGI data, XS version
- libcgi-test-perl (1.111-1)
- CGI regression test framework
- libcgi-untaint-date-perl (1.00-3)
- CGI::Untaint input handler for date validation
- libcgi-untaint-email-perl (0.03-3)
- input handler for CGI::Untaint to validate an email address
- libcgi-untaint-perl (1.26-7)
- module to process CGI input parameters
- libcgi-uploader-perl (2.18-2)
- module for managing CGI uploads using an SQL database
- libcgi-xml-perl (0.1-15)
- perl module for converting CGI variables from/to XML
- libcgi-xmlapplication-perl (1.1.5-1)
- perl module for creating XML-DOM and OO based CGI scripts
- libcgi-xmlform-perl (0.10-15)
- perl module for reading/generating formatted XML
- libcgicc-dev (3.2.19-0.2)
- C++ class library for writing CGI applications (development files)
- libcgicc-doc (3.2.19-0.2)
- C++ class library for writing CGI applications (documentation)
- libcgicc3 (3.2.19-0.2)
- C++ class library for writing CGI applications (library)
- libcglib-java (3.2.10-1)
- Code generation library for Java
- libcglib-java-doc (3.2.10-1)
- Code generation library for Java (documentation)
- libcglib-nodep-java (3.2.10-1)
- Code generation library for Java (without dependencies)
- libcgmanager-dev (0.41-2)
- Central cgroup manager daemon (dev)
- libcgmanager0 (0.41-2)
- Central cgroup manager daemon (client library)
- libcgns-dev (3.3.0-7~deb10u1)
- CFD General Notation System library
- libcgns3.3 (3.3.0-7~deb10u1)
- CFD General Notation System library
- libcgraph6 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - cgraph library
- libcgroup-dev (0.41-8.1)
- control and monitor control groups (development)
- libcgroup1 (0.41-8.1)
- control and monitor control groups (library)
- libcgsi-gsoap-dev (1.3.11-1+b1)
- GSI plugin for gSOAP - development files
- libcgsi-gsoap1 (1.3.11-1+b1)
- GSI plugin for gSOAP
- libchado-perl (1.31-5)
- database schema and tools for genomic data
- libchafa-dev (1.0.1-2+b1)
- development files for image-to-text converter chafa
- libchafa0 (1.0.1-2+b1)
- library for image-to-text converter chafa
- libchamplain-0.12-0 (0.12.16-3)
- C library providing ClutterActor to display maps
- libchamplain-0.12-dev (0.12.16-3)
- C library providing ClutterActor to display maps (development files)
- libchamplain-doc (0.12.16-3)
- C library providing ClutterActor to display maps (documentation)
- libchamplain-gtk-0.12-0 (0.12.16-3)
- Gtk+ widget to display maps
- libchamplain-gtk-0.12-dev (0.12.16-3)
- Gtk+ widget to display maps (development files)
- libchamplain-gtk-doc (0.12.16-3)
- Gtk+ widget to display maps (documentation)
- libchardet-dev (1.0.4-1+b11)
- universal charset detection library - development files
- libchardet1 (1.0.4-1+b11)
- universal charset detection library - shared library
- libcharls-dev (2.0.0+dfsg-1)
- Implementation of the JPEG-LS standard (development libraries)
- libcharls2 (2.0.0+dfsg-1)
- Implementation of the JPEG-LS standard
- (5.7.2-1+deb10u4) [security]
- strongSwan charon library (extra plugins)
- libchart-clicker-perl (2.90-1)
- module for creating attractive charts and graphs
- libchart-gnuplot-perl (0.23-1)
- module for generating two- and three-dimensional plots
- libchart-perl (2.4.10ds1-2)
- Chart library for Perl
- libchart-strip-perl (1.08-3)
- Draw strip chart type graphs
- libchasen-dev (2.4.5-43)
- Japanese Morphological Analysis System (libraries and headers)
- libchasen2 (2.4.5-43)
- Japanese Morphological Analysis System (shared libraries)
- libchatbot-eliza-perl (1.08-1)
- clone of the classic Eliza program
- libchealpix-dev (3.30.0-7)
- HEALPix representation of spherical data - C development library
- libchealpix0 (3.30.0-7)
- HEALPix representation of spherical data - C shared library
- libcheck-isa-perl (0.09-1)
- Perl module for correct checking of an object's class
- libcheese-dev (3.31.90-1)
- tool to take pictures and videos from your webcam - base dev
- libcheese-doc (3.31.90-1)
- tool to take pictures and videos from your webcam - documentation
- libcheese-gtk-dev (3.31.90-1)
- tool to take pictures and videos from your webcam - widgets dev
- libcheese-gtk25 (3.31.90-1)
- tool to take pictures and videos from your webcam - widgets
- libcheese8 (3.31.90-1)
- tool to take pictures and videos from your webcam - base library
- libchemistry-elements-perl (1.072-1)
- Perl extension for working with Chemical Elements
- libchemistry-formula-perl (3.0.1-1.2)
- enumerate elements in a chemical formula
- libchemistry-openbabel-perl (2.4.1+dfsg-3)
- Chemical toolbox library (perl bindings)
- libchemps2-3 (1.8.9-1+b2)
- Spin-adapted DMRG for ab initio quantum chemistry
- libchemps2-dev (1.8.9-1+b2)
- C++ headers, static library, and symlink for libchemps2-3
- libcheshire-clojure (5.7.1-1)
- fast JSON encoding for Clojure
- libchewing
- virtueel pakket geboden door libchewing3
- libchewing-data
- virtueel pakket geboden door libchewing3-data
- libchewing3 (0.5.1-4)
- intelligent phonetic input method library
- libchewing3-data (0.5.1-4)
- intelligent phonetic input method library - data files
- libchewing3-dev (0.5.1-4)
- intelligent phonetic input method library (developer version)
- libchi-driver-memcached-perl (0.15-1)
- Memcached driver for CHI, the unified cache handling interface
- libchi-driver-redis-perl (0.10-2)
- CHI driver that uses Redis to store the data
- libchi-memoize-perl (0.07-2)
- memoization implementation backed by CHI
- libchi-perl (0.60-4)
- Unified Cache Handling Interface
- libchicken-dev (4.13.0-1)
- Practical and portable Scheme system - development
- libchicken8 (4.13.0-1)
- Practical and portable Scheme system - runtime
- libchild-perl (0.013-1)
- Object Oriented simple interface to fork()
- libchipcard-data (5.1.0beta-3)
- configuration files for libchipcard
- libchipcard-dev (5.1.0beta-3)
- API for smartcard readers
- libchipcard-libgwenhywfar60-plugins (5.1.0beta-3)
- crypttoken plugin to libgwenhywfar
- libchipcard-pcsc-card-perl
- virtueel pakket geboden door libpcsc-perl
- libchipcard-tools (5.1.0beta-3)
- tools for accessing chipcards
- libchipcard6 (5.1.0beta-3)
- library for accessing smartcards
- libchipmunk0d3 (6.1.5-1+b1)
- fast and lightweight 2D rigid body physics library in C
- libchipmunk0d3-dbg (6.1.5-1+b1)
- Fast and lightweight 2D rigid body physics library - debug
- libchise-dev (0.3.0-2.1)
- C language binding of CHISE, the character information database
- libchise1 (0.3.0-2.1)
- C language binding of CHISE, the character information database
- libchm-bin (2:0.40a-5)
- library for dealing with Microsoft CHM files (test programs)
- libchm-dev (2:0.40a-5)
- library for dealing with Microsoft CHM files (development)
- libchm1 (2:0.40a-5)
- library for dealing with Microsoft CHM files
- libcholmod3 (1:5.4.0+dfsg-1)
- sparse Cholesky factorization library for sparse matrices
- libchromaprint-dev (1.4.3-3)
- audio fingerprinting library - development files
- libchromaprint-tools (1.4.3-3)
- audio fingerprinting library - tools
- libchromaprint1 (1.4.3-3)
- audio fingerprint library
- libcib-dev (2.0.1-5+deb10u2)
- transitional package
- libcib27 (2.0.1-5+deb10u2)
- cluster resource manager CIB library
- libcidr-dev (1.2.3-3)
- IP addresses and netblocks manipulation library
- libcidr0 (1.2.3-3)
- IP addresses and netblocks manipulation library
- libcifti-dev (1.5.1-3+b1)
- development files for CiftiLib
- libcifti-doc (1.5.1-3)
- documentation for CiftiLib
- libcifti0 (1.5.1-3+b1)
- library for manipulating CIFTI files
- libcilkrts5 (7.4.0-6)
- Intel Cilk Plus language extensions (runtime)
- libcilkrts5-armhf
- virtueel pakket geboden door libcilkrts5
- libcilkrts5-dbg (7.4.0-6)
- Intel Cilk Plus language extensions (debug symbols)
- libcilkrts5-dbg-armhf
- virtueel pakket geboden door libcilkrts5-dbg
- libcinnamon-control-center-dev (3.8.1-1)
- configuration applets for Cinnamon - development files
- libcinnamon-control-center1 (3.8.1-1)
- library used by configuration applets for Cinnamon
- libcinnamon-desktop-dev (3.8.1-2)
- Cinnamon library for loading .desktop files - development files
- libcinnamon-desktop4 (3.8.1-2)
- Cinnamon library for loading .desktop files
- (3.8.2-1)
- Cinnamon implementation of the freedesktop menu specification
- (3.8.2-1)
- Cinnamon implementation of the freedesktop menu specification
- libcircle-be-perl
- virtueel pakket geboden door circle-backend
- libcitadel-dev (917-2)
- Development files for libcitadel4
- libcitadel4 (917-2)
- Citadel toolbox
- libcitygml-bin (2.0.9-2+b1)
- Utils of libcitygml - citygmltest
- libcitygml-dev (2.0.9-2+b1)
- Static and header files of libcitygml
- libcitygml2 (2.0.9-2+b1)
- Open source C++ library for parsing CityGML files
- libcjose-dev (0.6.1+dfsg1-1+deb10u1) [security]
- Development files for libcjose
- libcjose0 (0.6.1+dfsg1-1+deb10u1) [security]
- C library implementing the Javascript Object Signing and Encryption (JOSE)
- libcjs-dev (3.8.0-5)
- Mozilla-based javascript bindings for the Cinnamon platform
- libcjs0 (3.8.0-5)
- Mozilla-based javascript bindings for the GNOME platform
- libcjson-dev (1.7.10-1.1+deb10u2) [security]
- Ultralightweight JSON parser in ANSI C (development files)
- libcjson1 (1.7.10-1.1+deb10u2) [security]
- Ultralightweight JSON parser in ANSI C
- libckit-smlnj (110.79-4)
- SML library for parsing and type-checking C programs
- libckyapplet1 (1.1.0-13+b1)
- Smart Card Coolkey applet
- libckyapplet1-dev (1.1.0-13+b1)
- Smart Card Coolkey applet development files
- libclalsadrv-dev (2.0.0-3)
- ALSA driver C++ access library (development files)
- libclalsadrv2 (2.0.0-3+b1)
- ALSA driver C++ access library
- libclamav-client-perl (0.11-2)
- Perl client for the ClamAV virus scanner daemon
- libclamav-dev (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - development files
- libclamav9 (0.103.9+dfsg-0+deb10u1) [security]
- anti-virus utility for Unix - library
- libclamunrar (0.103.10-0+deb10u1) [non-free] [security]
- anti-virus utility for Unix - unrar support
- libclamunrar9 (0.103.10-0+deb10u1) [non-free] [security]
- anti-virus utility for Unix - unrar support
- libclanapp-1.0v5 (1.0~svn3827-7)
- ClanLib game SDK runtime
- libclang-11-dev (1:11.0.1-2~deb10u1)
- Clang library - Development package
- libclang-13-dev (1:13.0.1-6~deb10u4)
- Clang library - Development package
- libclang-6.0-dev (1:6.0.1-10)
- clang library - Development package
- libclang-7-dev (1:7.0.1-8+deb10u2)
- Clang library - Development package
- libclang-common-11-dev (1:11.0.1-2~deb10u1)
- Clang library - Common development package
- libclang-common-13-dev (1:13.0.1-6~deb10u4)
- Clang library - Common development package
- libclang-common-6.0-dev (1:6.0.1-10)
- clang library - Common development package
- libclang-common-7-dev (1:7.0.1-8+deb10u2)
- Clang library - Common development package
- libclang-cpp11 (1:11.0.1-2~deb10u1)
- C++ interface to the Clang library
- libclang-cpp11-dev (1:11.0.1-2~deb10u1)
- C++ interface to the Clang library
- libclang-cpp13 (1:13.0.1-6~deb10u4)
- C++ interface to the Clang library
- libclang-cpp13-dev (1:13.0.1-6~deb10u4)
- C++ interface to the Clang library
- libclang-dev (1:7.0-47)
- clang library - Development package
- libclang-perl (0.09-4+b9)
- Perl bindings to the Clang compiler's indexing interface
- libclang1 (1:7.0-47)
- C, C++ and Objective-C compiler (LLVM based)
- libclang1-11 (1:11.0.1-2~deb10u1)
- C interface to the Clang library
- libclang1-13 (1:13.0.1-6~deb10u4)
- C interface to the Clang library
- libclang1-6.0 (1:6.0.1-10)
- C interface to the clang library
- libclang1-7 (1:7.0.1-8+deb10u2)
- C interface to the Clang library
- libclanlib-dev (1.0~svn3827-7)
- ClanLib game SDK development files
- libclansdl-1.0v5 (1.0~svn3827-7)
- SDL module for ClanLib game SDK
- libclass-accessor-chained-perl (0.01.1~debian-4)
- Perl module providing chained accessors
- libclass-accessor-children-perl (0.02-2)
- perl module for automated child-class/accessor generation
- libclass-accessor-class-perl (0.503-2)
- simple class variable accessors
- libclass-accessor-classy-perl (0.9.1-2)
- Perl module providing minimalist, fast accessors
- libclass-accessor-grouped-perl (0.10014-1)
- Perl module to build groups of accessors
- libclass-accessor-lite-perl (0.08-1)
- minimalistic variant of Class::Accessor
- libclass-accessor-lvalue-perl (0.11-4)
- module to create Lvalue accessors
- libclass-accessor-named-perl (0.009-1)
- module to better profiling output for Class::Accessor
- libclass-accessor-perl (0.51-1)
- Perl module that automatically generates accessors
- libclass-adapter-perl (1.09-1)
- Perl implementation of the "Adapter" Design Pattern
- libclass-autoloadcan-perl (0.03-2)
- module managing conflict between AUTOLOAD, can and inheritance
- libclass-autouse-perl (2.01-1)
- module for deferring loading ('use'ing) of a class until run time
- libclass-base-perl (0.09-1)
- useful base class for deriving other modules
- libclass-c3-adopt-next-perl (0.14-1)
- drop-in replacement for NEXT, using Class::C3 to do the hard work
- libclass-c3-componentised-perl (1.001002-1)
- module to load mix-ins or components to C3-based classes
- libclass-c3-perl (0.34-1)
- pragma for using the C3 method resolution order
- libclass-c3-xs-perl (0.14-1+b3)
- Perl module to accelerate Class::C3
- libclass-container-perl (0.13-1)
- Perl module to glue object frameworks together transparently
- libclass-contract-perl (1.14-8)
- Perl Design-by-Contract OO module
- libclass-csv-perl (1.03-2.1)
- Class based CSV parser/writer
- libclass-data-accessor-perl (0.04004-2)
- Inheritable, overridable class and instance data accessor creation
- libclass-data-inheritable-perl (0.08-3)
- Perl module to create accessors to class data
- libclass-date-perl (1.1.17-1)
- Perl module for easy date and time manipulation
- libclass-dbi-abstractsearch-perl (0.07-4)
- Abstract Class::DBI's SQL with SQL::Abstract
- libclass-dbi-asform-perl (2.42-7)
- module to produce HTML form elements for database columns using Class::DBI
- libclass-dbi-fromcgi-perl (1.00-5)
- Perl module to update Class::DBI data using CGI::Untaint
- libclass-dbi-fromform-perl (0.04-3)
- Perl module to update Class::DBI data using Data::FormValidator
- libclass-dbi-loader-perl (0.34-3)
- Perl module for dynamic definition of Class::DBI sub classes
- libclass-dbi-loader-relationship-perl (1:1.2-5)
- Easier relationship specification in Class::DBI::Loader
- libclass-dbi-mysql-perl (1.00-4)
- extensions to Class::DBI for MySQL
- (0.08-5)
- pager utility for Class::DBI
- libclass-dbi-perl (3.0.17-4)
- convenient abstraction layer to a database
- libclass-dbi-pg-perl (0.09-5)
- Class::DBI extension for Postgres
- libclass-dbi-plugin-abstractcount-perl (0.08-2)
- Class::DBI plugin to get COUNT(*) results with abstract SQL
- (0.566-2)
- Perl extension for paging SQL results
- libclass-dbi-plugin-perl (0.03-6)
- abstract base class for Class::DBI plugins
- libclass-dbi-plugin-retrieveall-perl (1.04-4)
- Class::DBI plugin providing a more complex retrieve_all() method
- libclass-dbi-plugin-type-perl (0.02-9)
- Class::DBI plugin to determine type information for table columns
- libclass-dbi-sqlite-perl (0.11-5)
- extension to Class::DBI for sqlite
- libclass-dbi-sweet-perl (0.11-1)
- Perl module providing a sweeter Class::DBI
- libclass-default-perl (1.51-3)
- Perl module to make static calls apply to a default instantiation
- libclass-delegator-perl (0.09-4)
- Perl module for a simple and fast object-oriented delegation
- libclass-ehierarchy-perl (2.00-1)
- module that provides a base class for hierarchally ordered objects
- libclass-errorhandler-perl (0.04-2)
- Base class for error handling
- libclass-factory-perl (1.06-3)
- Base class for dynamic factory classes
- libclass-factory-util-perl (1.7-3)
- utility method for factory classes
- libclass-field-perl (0.24-1)
- accessor cenerator for class fields and consts
- libclass-forward-perl (0.100006-2)
- class dispatcher that handles namespaces like paths
- libclass-gomor-perl (1.03-1)
- class and object builder
- libclass-handle-perl (1.07-3)
- module to create objects which are handles to classes
- libclass-inner-perl (0.200001-2)
- module providing Java-like inner classes
- libclass-insideout-perl (1.14-2)
- safe, simple inside-out object construction kit
- libclass-inspector-perl (1.32-1)
- Perl module that provides information about classes
- libclass-isa-perl (0.36-6)
- report the search path for a class's ISA tree
- libclass-load-perl (0.25-1)
- module for loading modules by name
- libclass-load-xs-perl (0.10-1+b3)
- XS implementation of parts of Class::Load
- libclass-loader-dev (0.4.1-2+b1)
- development files for Robot OS class_loader library
- libclass-loader-perl (2.03-2)
- module to load modules and create objects on command
- libclass-loader1d (0.4.1-2+b1)
- ROS class_loader library
- libclass-makemethods-perl (1.01-5)
- Perl module for generating common types of methods
- libclass-meta-perl (0.66-2)
- class automation, introspection, and data validation module
- libclass-method-modifiers-perl (2.12-1)
- Perl module providing method modifiers
- libclass-methodmaker-perl (2.24-1+b5)
- Perl module for creating generic methods
- libclass-mix-perl (0.006-1)
- Perl module for dynamic class mixing
- libclass-mixinfactory-perl (0.92-3)
- Class Factory with Selection of Mixins
- libclass-multimethods-perl (1.701-1)
- Support multimethods and subroutine overloading in Perl
- libclass-objecttemplate-perl (0.7-8)
- Perl extension for an optimized template builder base class
- libclass-ooorno-perl (0.011-2)
- Give your module classic AND OO interfaces
- libclass-perl (1.00-3)
- module providing aliases for __PACKAGE__
- libclass-pluggable-perl (0.022-2)
- Simple pluggable class.
- libclass-prototyped-perl (1.13-2)
- module for fast prototype-based OO programming in Perl
- libclass-refresh-perl (0.07-2)
- module for refreshing classes during runtime
- libclass-returnvalue-perl (0.55-2)
- return-value object that can be treated as boolean, array or object
- libclass-singleton-perl (1.5-1)
- implementation of a "Singleton" class
- libclass-spiffy-perl (0.15-3)
- Spiffy Perl interface framework
- libclass-std-fast-perl (0.0.8-2)
- faster but less secure replacement for Class::Std
- libclass-std-perl (0.013-1)
- module for creating standard "inside-out" classes
- libclass-std-storable-perl (0.0.1-2)
- Support for creating serializable "inside-out" classes
- libclass-std-utils-perl (0.0.3-2)
- utility subroutines for building "inside-out" objects
- libclass-throwable-perl (0.13-1)
- minimal lightweight exception class
- libclass-tiny-chained-perl (0.004-1)
- minimalist class construction module with chained attributes
- libclass-tiny-perl (1.006-1)
- minimalist class construction module for Perl
- libclass-trait-perl (0.31-4)
- Implementation of Traits in Perl
- libclass-trigger-perl (0.14-2)
- Mix-in to add/call inheritable triggers
- libclass-unload-perl (0.11-1)
- Perl module to unload a class at runtime
- libclass-virtual-perl (0.08-1)
- Base class for virtual base classes
- libclass-whitehole-perl (0.04-7)
- base class to treat unhandled method calls as errors
- libclass-xsaccessor-array-perl
- virtueel pakket geboden door libclass-xsaccessor-perl
- libclass-xsaccessor-perl (1.19-3+b2)
- Perl module providing fast XS accessors
- libclassad-dev (8.6.8~dfsg.1-2+deb10u1)
- HTCondor classads expression language - development library
- libclassad8 (8.6.8~dfsg.1-2+deb10u1)
- HTCondor classads expression language - runtime library
- libclasslojure-clojure (0.7.1-4)
- Advanced classloading for Clojure
- libclassycle-java (1.4.2-1)
- Analysing tool for Java dependencies
- libclassycle-java-doc (1.4.2-1)
- Analysing tool for Java dependencies - documentation
- libclaw-application-dev (1.7.4-2)
- Set of classes to ease the initialisation of the program (development files)
- libclaw-application1v5 (1.7.4-2)
- Set of classes to ease the initialisation of the program
- libclaw-configuration-file-dev (1.7.4-2)
- Class to process configuration files (development files)
- libclaw-configuration-file1v5 (1.7.4-2)
- Class to process configuration files
- libclaw-dev (1.7.4-2)
- Claw is a generalist C++ library (development files)
- libclaw-doc (1.7.4-2)
- Claw is a generalist C++ library (documentation files)
- libclaw-dynamic-library-dev (1.7.4-2)
- Class to ease the manipulation of dynamic libraries (development files)
- libclaw-dynamic-library1v5 (1.7.4-2)
- Class to ease the manipulation of dynamic libraries
- libclaw-graphic-dev (1.7.4-2)
- Set of classes for image manipulation (development files)
- libclaw-graphic1v5 (1.7.4-2)
- Set of classes for image manipulation
- libclaw-i18n (1.7.4-2)
- Internationalisation files for libclaw
- libclaw-logger-dev (1.7.4-2)
- Flexible log system (development files)
- libclaw-logger1v5 (1.7.4-2)
- Flexible log system
- libclaw-net-dev (1.7.4-2)
- C++ standard stream interface for Unix sockets (development files)
- libclaw-net1v5 (1.7.4-2)
- C++ standard stream interface for Unix sockets
- libclaw-tween-dev (1.7.4-2)
- C++ tweeners (development files)
- libclaw-tween1v5 (1.7.4-2)
- C++ tweeners
- libclaws-mail-dev (3.17.3-2)
- Development files for Claws Mail plugins
- libclblas-bin
- virtueel pakket geboden door clblas-client
- libclblas-dev (2.12-1+b1)
- development files for clBLAS
- libclblas-doc (2.12-1)
- documentation for clBLAS
- libclblas2 (2.12-1+b1)
- OpenCL BLAS library
- libclc-13 (1:13.0.1-6~deb10u4)
- OpenCL C language implementation - platform support
- libclc-13-dev (1:13.0.1-6~deb10u4)
- OpenCL C language implementation - development files
- libclc-amdgcn (0.2.0+git20180917-3)
- OpenCL C language implementation - amdgcn support
- libclc-dev (0.2.0+git20180917-3)
- OpenCL C language implementation - development files
- libclc-ptx (0.2.0+git20180917-3)
- OpenCL C language implementation - ptx support
- libclc-r600 (0.2.0+git20180917-3)
- OpenCL C language implementation - r600 support
- libclc-x.y
- virtueel pakket geboden door libclc-13
- libclc-x.y-dev
- virtueel pakket geboden door libclc-13-dev
- libcld2-0 (0.0.0-git20150806-6)
- Compact Language Detector 2, library package
- libcld2-dev (0.0.0-git20150806-6)
- Compact Language Detector 2, development package
- libclearsilver-perl (0.10.5-3+b1)
- Perl bindings for clearsilver
- libcleri-dev (0.10.0-1)
- language parser library - development files
- libcleri0 (0.10.0-1)
- language parser library
- libclfft-bin
- virtueel pakket geboden door clfft-client
- libclfft-dev (2.12.2-1+b2)
- development files for clFFT
- libclfft-doc (2.12.2-1)
- documentation for clFFT
- libclfft2 (2.12.2-1+b2)
- OpenCL FFT library
- libclhep-dev (2.1.4.1+dfsg-1)
- CLHEP: A Class Library for High Energy Physics - development
- libclhep2.1v5 (2.1.4.1+dfsg-1)
- CLHEP: A Class Library for High Energy Physics
- libcli-dev (1.9.7-2+b11)
- emulates a cisco style telnet command-line interface (dev files)
- libcli-framework-perl (0.05-1)
- standardized, flexible, testable CLI applications framework for Perl
- libcli1
- virtueel pakket geboden door libcli1.9
- libcli1.9 (1.9.7-2+b11)
- emulates a cisco style telnet command-line interface
- libclipboard-perl (0.19-1)
- module to use X selections (clipboard) from Perl
- libclipper-dev (2.1.20160809-2)
- object oriented development kit for crystallographic computing
- libclipper-doc (2.1.20160809-2)
- doxygen generated documentation for libclipper
- libclipper2 (2.1.20160809-2)
- object oriented library for crystallographic computing
- libclippoly-dev (0.11-8)
- polygon clipping routines, development library
- libclippoly0 (0.11-8)
- polygon clipping routines, runtime library
- libclips (6.24-3.2)
- CLIPS shared libraries
- libclips-dev (6.24-3.2)
- CLIPS shared libraries
- libcliquer-dev (1.21-2)
- clique searching library (development headers)
- libcliquer1 (1.21-2)
- clique searching library
- libclj-digest-clojure (1.4.5-2)
- message digest library for Clojure
- libclj-http-clojure (2.3.0-1)
- Clojure HTTP client library
- libclj-stacktrace-clojure (0.2.6-3)
- more readable stacktraces in Clojure programs
- libclj-time-clojure (0.14.0-2)
- date and time library for Clojure
- libclj-tuple-clojure (0.2.2-2)
- efficient implementations for small maps and vectors in Clojure
- libclj-yaml-clojure (0.4.0-1)
- YAML encoding and decoding for Clojure
- libcln-dev (1.3.4-4)
- Development library for Class Library for Numbers (c++)
- libcln6 (1.3.4-4)
- Class Library for Numbers (C++)
- libclojure-java (1.10.0-1)
- Lisp dialect for the JVM (library)
- libclojure-maven-plugin-java (1.7.1-2)
- Clojure plugin for Maven
- libclone-choose-perl (0.010-1)
- Choose appropriate clone utility (Perl library)
- libclone-perl (0.41-1+b1)
- module for recursively copying Perl datatypes
- libclone-pp-perl (1.07-1)
- module to recursively copy Perl datatypes
- libclosure-compiler-java (20130227+dfsg1-10)
- JavaScript optimizing compiler - library package
- libclosure-compiler-java-doc (20130227+dfsg1-10)
- JavaScript optimizing compiler - Javadoc
- libcloudflare-client-perl (0.55.4-1)
- object-orientated interface to the CloudFlare client API
- libcloudproviders-dev (0.3.0-1)
- cloud provider library - development files
- libcloudproviders-doc (0.3.0-1)
- cloud provider library - documentation
- libcloudproviders0 (0.3.0-1)
- cloud provider library
- libclout-clojure (2.1.2-1)
- library for matching Ring HTTP requests
- libclthreads-dev (2.4.0-7)
- POSIX threads C++ access library (development files)
- libclthreads2 (2.4.0-7)
- POSIX threads C++ access library (shared library)
- libclucene-contribs1v5 (2.3.3.4+dfsg-1)
- language specific text analyzers (runtime)
- libclucene-core1v5 (2.3.3.4+dfsg-1)
- core library for full-featured text search engine (runtime)
- libclucene-dev (2.3.3.4+dfsg-1)
- library for full-featured text search engine (development)
- libclustalo-dev (1.2.4-2)
- library to embed Clustal Omega
- libclustalo-doc (1.2.4-2)
- API documentation for library to embed Clustal Omega
- libclutter-1.0-0 (1.26.2+dfsg-10)
- Open GL based interactive canvas library
- libclutter-1.0-common (1.26.2+dfsg-10)
- Open GL based interactive canvas library (common files)
- libclutter-1.0-dev (1.26.2+dfsg-10)
- Open GL based interactive canvas library (development files)
- libclutter-1.0-doc (1.26.2+dfsg-10)
- Open GL based interactive canvas library (documentation)
- libclutter-gst-3.0-0 (3.0.26-2)
- Open GL based interactive canvas library GStreamer elements
- libclutter-gst-3.0-dev (3.0.26-2)
- Open GL based interactive canvas library GStreamer elements (development files)
- libclutter-gst-3.0-doc (3.0.26-2)
- Open GL based interactive canvas library GStreamer elements (documentation)
- libclutter-gtk-1.0-0 (1.8.4-4)
- Open GL based interactive canvas library GTK+ widget
- libclutter-gtk-1.0-dev (1.8.4-4)
- Open GL based interactive canvas library GTK+ widget (development files)
- libclutter-gtk-1.0-doc (1.8.4-4)
- Open GL based interactive canvas library GTK+ widget (documentation)
- libclutter-imcontext-0.1-0 (0.1.4-3+b3)
- Open GL based interactive canvas library IMContext framework
- libclutter-imcontext-0.1-0-dbg (0.1.4-3+b3)
- Open GL based interactive canvas library IMContext framework (debug files)
- libclutter-imcontext-0.1-bin (0.1.4-3+b3)
- Open GL based interactive canvas library IMContext framework
- libclutter-imcontext-0.1-dev (0.1.4-3+b3)
- Open GL based interactive canvas library IMContext framework (dev. files)
- libclutter-imcontext-0.1-doc (0.1.4-3)
- Open GL based interactive canvas library IMContext framework (document)
- libclutter-perl (1.110-6)
- perl bindings for an Open GL based interactive canvas library
- libclxclient-dev (3.9.0-5)
- X Window System C++ access library (development files)
- libclxclient3 (3.9.0-5)
- X Window System C++ access library (shared library)
- libcmap-dev (3.0.1-2+deb10u1)
- cluster engine CMAP library development
- libcmap4 (3.0.1-2+deb10u1)
- cluster engine CMAP library
- libcmark-dev (0.28.3-1)
- development files for CommonMark parsing and rendering library
- libcmark-gfm-dev (0.28.3.gfm.19-3)
- CommonMark GitHub flavor gfm library dev files
- libcmark-gfm-extensions-dev (0.28.3.gfm.19-3)
- CommonMark GitHub flavor gfm extensions library dev files
- libcmark-gfm-extensions0 (0.28.3.gfm.19-3)
- CommonMark GitHub flavor gfm extension library
- libcmark-gfm0 (0.28.3.gfm.19-3)
- CommonMark GitHub flavor gfm library
- libcmark0 (0.28.3-1)
- CommonMark parsing and rendering library
- libcmdliner-ocaml-dev (1.0.2-1)
- declarative definition of command line interfaces
- libcmdliner-ocaml-dev-feb50
- virtueel pakket geboden door libcmdliner-ocaml-dev
- libcmdliner-ocaml-dev-ryhb6
- virtueel pakket geboden door libcmdliner-ocaml-dev
- libcminpack-dev (1.3.6-4)
- Nonlinear equations and nonlinear least squares problems - development
- libcminpack1 (1.3.6-4)
- Nonlinear equations and nonlinear least squares problems - runtime
- libcmis-0.5-5v5 (0.5.2-1)
- CMIS protocol client library
- libcmis-dev (0.5.2-1)
- CMIS protocol client library -- development
- libcml-smlnj (110.79-4)
- Concurrent ML extension of SML
- libcmlutil-smlnj (110.79-4)
- Concurrent ML utility library
- libcmocka-dev (1.1.3-1)
- development files for the CMocka unit testing framework
- libcmocka0 (1.1.3-1)
- library for the CMocka unit testing framework
- libcmor-dev (3.4.0-2)
- Development files for Climate Model Output Rewriter
- libcmor2 (3.4.0-2)
- Climate Model Output Rewriter library
- libcmph-dev (2.0.2-1)
- C Minimal Perfect Hashing Library development files
- libcmph-tools (2.0.2-1)
- C Minimal Perfect Hashing Library command line utilities
- libcmph0 (2.0.2-1)
- C Minimal Perfect Hashing Library
- libcmrt-dev (1.0.6+dfsg1-1+b1)
- C bindings for media runtime -- development headers
- libcmrt1 (1.0.6+dfsg1-1+b1)
- C bindings for Intel Media Runtime
- libcmtspeechdata-dev (2.1.1+git20160721~8efc468-2)
- modem speech data handling library (devel files)
- libcmtspeechdata-doc (2.1.1+git20160721~8efc468-2)
- modem speech data handling library (documentation)
- libcmtspeechdata-utils (2.1.1+git20160721~8efc468-2)
- modem speech data handling library (utils)
- libcmtspeechdata0 (2.1.1+git20160721~8efc468-2)
- modem speech data handling library
- libcneartree-dev (5.1.1+dfsg1-1)
- Library and headers for solving the Nearest Neighbor Problem
- libcneartree7 (5.1.1+dfsg1-1)
- Library for solving the Nearest Neighbor Problem
- libcoap-1-0 (4.1.2-1)
- C-Implementation of CoAP
- libcoap-1-0-bin (4.1.2-1)
- C-Implementation of CoAP - example binaries
- libcoap-1-0-dev (4.1.2-1)
- C-Implementation of CoAP - development files
- libcoap-1-0-doc (4.1.2-1)
- C-Implementation of CoAP - HTML based documentation files
- libcoap2 (4.2.0-2)
- C-Implementation of CoAP - libraries API version 2
- libcoap2-bin (4.2.0-2)
- C-Implementation of CoAP - example binaries API version 2
- libcoap2-dev (4.2.0-2)
- C-Implementation of CoAP - development files API version 2
- libcoap2-doc (4.2.0-2)
- C-Implementation of CoAP - HTML based documentation files for API v2
- libcoarrays-dev (2.4.0-2)
- Co-Array Fortran libraries for gfortran
- libcoarrays-mpich-dev (2.4.0-2)
- Co-Array Fortran libraries for gfortran - development files (MPICH)
- libcoarrays-openmpi-dev (2.4.0-2)
- Co-Array Fortran libraries for gfortran - development files (OpenMPI)
- libcob4 (2.2-5)
- COBOL compiler - runtime library
- libcob4-dev (2.2-5)
- COBOL compiler - development files
- libcobertura-java (2.1.1-2)
- java library that calculates the percentage of code accessed by tests
- libcobra-java (0.98.4-5)
- Java HTML Renderer & Parser
- libcod-cif-parser-bison-perl (2.3+dfsg-3)
- error-correcting CIF parser - Perl bindings
- libcod-cif-parser-yapp-perl (2.3+dfsg-3)
- error-correcting CIF parser - pure Perl implementation
- libcod-precision-perl (2.3+dfsg-3)
- COD precision handling module for Perl language
- libcod-usermessage-perl (2.3+dfsg-3)
- COD message formatting module for Perl language
- libcoda-dev (2.20-3)
- Development files for the CODA framework for Earth sciences
- libcoda-java (2.20-3)
- Java interface for Common Data Access Framework
- libcoda-jni (2.20-3)
- Java Native interface for Common Data Access Framework
- libcoda15 (2.20-3)
- Library for the Common Data Access framework for Earth science
- libcodcif-dev (2.3+dfsg-3)
- error-correcting CIF parser - headers and static libraries
- libcodcif2 (2.3+dfsg-3)
- error-correcting CIF parser - shared library
- libcode-tidyall-perl (0.67-3)
- your all-in-one code tidier and validator
- libcode-tidyall-plugin-sortlines-naturally-perl (0.000003-1)
- sort lines of a file using Unicode::Collate::Locale
- libcode-tidyall-plugin-uniquelines-perl (0.000003-1)
- module to remove duplicate lines from files
- libcode-tidyall-plugin-yaml-perl (0.000001-1)
- module to tidy YAML documents
- libcode-tidyall-plugin-yamlfrontmatter-perl (1.000001-1)
- module to validate YAML front matter
- libcodeblocks0 (16.01+dfsg-2.1)
- Code::Blocks shared library
- libcodec2-0.8.1 (0.8.1-2)
- Codec2 runtime library
- libcodec2-dev (0.8.1-2)
- Codec2 library development files
- libcodemodel-java (2.6+jaxb2.3.0.1-8)
- Java library for code generators
- libcodenarc-groovy-java (0.23-5)
- Groovy library that provides static analysis features for Groovy code
- libcodenarc-groovy-java-doc (0.23-5)
- Documentation for libcodenarc-groovy-java
- libcodesize-java (1.2-1)
- calculates the size of code for Robocode
- libcofoja-java (1.3-4)
- Java API for annotating code with contracts
- libcogl-common (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (common files)
- libcogl-dev (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (development files)
- libcogl-doc (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (documentation)
- libcogl-gles2-20 (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer
- libcogl-gles2-dev (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (development files)
- libcogl-gst-dev (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (development file
- libcogl-gst20 (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer
- libcogl-pango-dev (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (development files)
- libcogl-pango20 (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer
- libcogl-path-dev (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer (development files)
- libcogl-path20 (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer
- libcogl20 (1.22.2-6)
- Object oriented GL/GLES Abstraction/Utility Layer
- libcoin-dev (4.0.0~CMake~6f54f1602475+ds1-2)
- high-level 3D graphics devkit with Open Inventor and VRML97 support
- libcoin-doc (4.0.0~CMake~6f54f1602475+ds1-2)
- high-level 3D graphics kit with Open Inventor and VRML97 support
- libcoin-runtime (4.0.0~CMake~6f54f1602475+ds1-2)
- high-level 3D graphics kit - external data files
- libcoin80c (4.0.0~CMake~6f54f1602475+ds1-2)
- high-level 3D graphics kit implementing the Open Inventor API
- libcoinutils-dev
- virtueel pakket geboden door coinor-libcoinutils-dev
- libcoinutils-doc
- virtueel pakket geboden door coinor-libcoinutils-doc
- libcoinutils3
- virtueel pakket geboden door coinor-libcoinutils3v5
- libcojets2-dev (20061220+dfsg3-3.1+b4)
- [Physics] COJETS p-p and pbar-p interaction Monte Carlo
- libcojets2-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] COJETS p-p and pbar-p interaction Monte Carlo library
- libcolamd2 (1:5.4.0+dfsg-1)
- column approximate minimum degree ordering library for sparse matrices
- libcollada-dom-dev
- virtueel pakket geboden door libcollada-dom2.4-dp-dev
- libcollada-dom2.4-dp-dev (2.4.4+ds1-2+b3)
- collada-dom 2.4.0 C++ headers and build tools
- libcollada-dom2.4-dp0 (2.4.4+ds1-2+b3)
- collada-dom 2.4.0 - COLLADA using double precision
- libcollada-parser-dev (1.12.6-5)
- Development files for ROS collada_parser library
- libcollada-parser0d (1.12.6-5)
- ROS collada_parser library
- libcollada-urdf-dev (1.12.6-5)
- Development files for ROS collada_urdf library
- libcollada-urdf0d (1.12.6-5)
- ROS collada_urdf library
- libcollectdclient-dev (5.8.1-1.3)
- client library for collectd's control interface (development files)
- libcollectdclient1 (5.8.1-1.3)
- client library for collectd's control interface
- libcollection-dev (0.6.1-2)
- Development files for libcollection
- libcollection4 (0.6.1-2)
- Collection data-type for C
- libcolmap-dev (3.5-1+b1)
- Structure-from-Motion and Multi-View Stereo - development files
- libcolor-calc-perl (1.074-2)
- module for simple calculations with RGB colors
- libcolor-library-perl (0.021-3)
- comprehensive named-colour library
- libcolor-palette-perl (0.100003-1)
- module to manipulate sets of named colours
- libcolor-scheme-perl (1.07-1)
- Perl module to generate pleasant color schemes
- libcolorcorrect5 (4:5.14.5.1-1)
- Plasma Workspace for KF5 library
- libcolord-dev (1.4.3-4)
- system service to manage device colour profiles -- development files
- libcolord-gtk-dev (0.1.26-2)
- GTK+ convenience library for interacting with colord - dev files
- libcolord-gtk1 (0.1.26-2)
- GTK+ convenience library for interacting with colord
- libcolord2 (1.4.3-4)
- system service to manage device colour profiles -- runtime
- libcolorhug-dev (1.4.3-4)
- library to access the ColorHug colourimeter -- development files
- libcolorhug2 (1.4.3-4)
- library to access the ColorHug colourimeter -- runtime
- libcolorpicker-java (1.0.0-3)
- Java control to allow color selection
- libcolorpicker-java-doc (1.0.0-3)
- Java control to allow color selection (documentation)
- libcolpack-dev (1.0.10-4)
- Specialized graph vertex coloring library, development files
- libcolpack0v5 (1.0.10-4)
- Specialized graph vertex coloring library, shared library
- libcolt-free-java (1.2.0+dfsg-7)
- scalable scientific and technical computing in Java
- libcolt-free-java-doc (1.2.0+dfsg-7)
- scalable scientific and technical computing in Java (doc)
- libcolt-java
- virtueel pakket geboden door libcolt-free-java
- libcom-err2 (1.44.5-1+deb10u3)
- algemene foutbeschrijving bibliotheek
- libcom-hypirion-io-clojure (0.3.1-3)
- I/O redirection, signal handling, and console utilities
- libcombblas-dev (1.6.2-3)
- an extensible parallel graph library for graph analytics
- libcombblas-docs (1.6.2-3)
- an extensible parallel graph library for graph analytics (docs)
- libcombblas1.16.0 (1.6.2-3)
- an extensible parallel graph library for graph analytics
- libcomedi-dev (0.11.0-1)
- Development library for Comedi
- libcomedi0 (0.11.0-1)
- Library for Comedi
- libcomerr2 (1.44.5-1+deb10u3)
- transitional package
- libcomerr2 (= 1.44.5-1+deb10u2)
- virtueel pakket geboden door libcom-err2
- libcomerr2 (= 1.44.5-1+deb10u3)
- virtueel pakket geboden door libcom-err2
- libcomidi-clojure (0.3.1-2)
- HTTP route definitions for Clojure
- (6.0.1-1)
- Java Comment Preprocessor
- libcommon-sense-perl (3.74-2+b7)
- module that implements some sane defaults for Perl programs
- libcommoncpp2-dev (1.8.1-7)
- Header files and static libraries for Common C++ "2"
- libcommoncpp2-doc (1.8.1-7)
- Documentation files for Common C++ "2"
- libcommons-beanutils-java (1.9.3-1)
- Apache Commons BeanUtils - Utility for manipulating Java beans
- libcommons-beanutils-java-doc (1.9.3-1)
- Apache Commons BeanUtils - Documentation
- libcommons-cli-java (1.4-1)
- Command line arguments and options parsing library
- libcommons-codec-java (1.11-1)
- encoder and decoders such as Base64 and hexadecimal codec
- libcommons-codec-java-doc (1.11-1)
- encoder and decoders such as Base64 and hexadecimal codec - documentation
- libcommons-collections3-java (3.2.2-2)
- Apache Commons Collections - Extended Collections API for Java
- libcommons-collections3-java-doc (3.2.2-2)
- Documentation for libcommons-collections3-java
- libcommons-collections4-java (4.2-1)
- Apache Commons Collections - Extended Collections API for Java
- libcommons-collections4-java-doc (4.2-1)
- Documentation for Commons Collections 4
- libcommons-compress-java (1.18-2+deb10u1)
- Java API for working with compression and archive formats
- libcommons-configuration-java (1.10-5)
- Java based library providing a generic configuration interface
- libcommons-configuration-java-doc (1.10-5)
- API Documentation for commons-configuration
- libcommons-configuration2-java (2.2-1+deb10u1)
- Java based library providing a generic configuration interface
- libcommons-configuration2-java-doc (2.2-1+deb10u1)
- API Documentation for commons-configuration2
- libcommons-csv-java (1.5-1)
- Library for reading and writing CSV files
- libcommons-csv-java-doc (1.5-1)
- Library for reading and writing CSV files (documentation)
- libcommons-daemon-java (1.0.15-8+deb10u1)
- Library to launch Java applications as daemons
- libcommons-dbcp-java (1.4-6)
- Database Connection Pooling Services
- libcommons-dbcp-java-doc (1.4-6)
- Database Connection Pooling Services - documentation
- libcommons-dbcp2-java (2.5.0-1)
- Database Connection Pooling Services 2
- libcommons-digester-java (1.8.1-5)
- Rule based XML Java object mapping tool
- libcommons-digester-java-doc (1.8.1-5)
- Rule based XML Java object mapping tool (documentation)
- libcommons-discovery-java (0.5-3)
- locates classes that implement a given Java interface
- libcommons-discovery-java-doc (0.5-3)
- locates classes that implement a given Java interface (documentation)
- libcommons-el-java (1.0-9)
- Implementation of the JSP 2.0 Expression Language interpreter
- libcommons-email-java (1.5-3)
- Apache Commons Java API for sending email
- libcommons-exec-java (1.3-1)
- Java library to reliably execute external processes from within the JVM
- libcommons-fileupload-java (1.3.3-1)
- File upload capability to your servlets and web applications
- libcommons-fileupload-java-doc (1.3.3-1)
- Javadoc API documentation for Commons FileUploads
- libcommons-httpclient-java (3.1-15)
- Commons HTTPClient - Java library for creating HTTP clients
- libcommons-httpclient-java-doc (3.1-15)
- Documentation for libcommons-httpclient-java
- libcommons-io-java (2.6-2+deb10u1)
- Common useful IO related classes
- libcommons-io-java-doc (2.6-2+deb10u1)
- Common useful IO related classes - documentation
- libcommons-jci-eclipse-java (1.1-5)
- common Java interface for various compilers - Eclipse JDT
- libcommons-jci-groovy-java (1.1-5)
- common Java interface for various compilers - Groovy
- libcommons-jci-janino-java (1.1-5)
- common Java interface for various compilers - Janino
- libcommons-jci-java (1.1-5)
- common Java interface for various compilers - Core and FAM
- libcommons-jci-java-doc (1.1-5)
- common Java interface for various compilers - documentation
- libcommons-jci-rhino-java (1.1-5)
- common Java interface for various compilers - Javascript
- libcommons-jexl-java (1.1-3)
- expression language engine
- libcommons-jexl2-java (2.1.1-4)
- Apache Commons JEXL - Java Expression Language
- libcommons-jexl2-java-doc (2.1.1-4)
- Documentation for Apache Commons JEXL
- libcommons-jexl3-java (3.1-1)
- Apache Commons JEXL - Java Expression Language
- libcommons-jxpath-java (1.3-9)
- Apache Commons JXPath - XPath expressions applied to Java objects
- libcommons-jxpath-java-doc (1.3-9)
- Javadoc API for libcommons-jxpath-java
- libcommons-lang-java (2.6-8)
- Commons Lang - an extension of the java.lang package
- libcommons-lang-java-doc (2.6-8)
- Documentation for Commons Lang - an extension of the java.lang package
- libcommons-lang3-java (3.8-2)
- Apache Commons Lang utility classes
- libcommons-lang3-java-doc (3.8-2)
- Apache Commons Lang utility classes (documentation)
- libcommons-launcher-java (1.1-8)
- Apache Commons Launcher - Cross platform Java application launcher
- libcommons-logging-java (1.2-2)
- common wrapper interface for several logging APIs
- libcommons-logging-java-doc (1.2-2)
- common wrapper interface for several logging APIs (documentation)
- libcommons-math-java (2.2-7)
- Java lightweight mathematics and statistics components
- libcommons-math-java-doc (2.2-7)
- Java lightweight mathematics and statistics components - documentation
- libcommons-math3-java (3.6.1-3)
- Java lightweight mathematics and statistics components
- libcommons-math3-java-doc (3.6.1-3)
- Java lightweight mathematics and statistics components - documentation
- libcommons-modeler-java (2.0.1-8)
- convenience library to use Java Management Extensions (JMX)
- libcommons-modeler-java-doc (2.0.1-8)
- documentation and examples for Commons Modeler
- libcommons-net-java (3.6-1+deb10u1) [security]
- Apache Commons Net - Java client API for basic Internet protocols
- libcommons-net-java-doc (3.6-1+deb10u1) [security]
- Apache Commons Net (API documentation)
- libcommons-parent-java (43-1)
- Maven metadata for Apache Commons project
- libcommons-pool-java (1.6-3)
- pooling implementation for Java objects
- libcommons-pool-java-doc (1.6-3)
- pooling implementation for Java objects - documentation
- libcommons-pool2-java (2.6.0-1)
- Apache Commons Pool 2 - Pooling implementation for Java objects
- libcommons-validator-java (1:1.6-2)
- ease and speed development and maintenance of validation rules
- libcommons-validator-java-doc (1:1.6-2)
- API documentation for Commons Validator
- libcommons-vfs-java (2.1-2)
- Java API for accessing various filesystems
- libcommons-vfs-java-doc (2.1-2)
- Java API for accessing various filesystems - documentation
- libcompare-camlp4-dev (113.00.00-2+b2 [armhf], 113.00.00-2+b1 [amd64, arm64, i386])
- OCaml syntax extension for deriving "compare" functions automatically
- libcompare-camlp4-dev-2m2a7
- virtueel pakket geboden door libcompare-camlp4-dev
- libcompare-camlp4-dev-m1td1
- virtueel pakket geboden door libcompare-camlp4-dev
- libcompare-ocaml-dev
- virtueel pakket geboden door libcompare-camlp4-dev
- libcompfaceg1 (1:1.5.2-5+b2)
- Compress/decompress images for mailheaders, libc6 runtime
- libcompfaceg1-dev (1:1.5.2-5+b2)
- Compress/decompress images for mailheaders, libc6 devel
- libcompizconfig0 (2:0.8.16-2+b1)
- Configuration settings library for compiz
- libcompizconfig0-dev (2:0.8.16-2+b1)
- Configuration settings library for compiz - development files
- libcomplete-clojure (0.2.5-1)
- completion of Clojure symbols
- libcompojure-clojure (1.6.0-1)
- consice routing library for Ring
- libcompress-bzip2-perl (2.26-1+b3)
- Perl interface to Bzip2 compression library
- libcompress-lz4-perl (0.25+ds-1+b1)
- Perl interface to the LZ4 (de)compressor
- libcompress-lzf-java (1.0.4-1)
- Java library for encoding and decoding data in LZF format
- libcompress-raw-bzip2-perl (2.084-1)
- low-level interface to bzip2 compression library
- libcompress-raw-bzip2-perl (= 2.074)
- virtueel pakket geboden door perl
- libcompress-raw-lzma-perl (2.085-1)
- low-level interface to lzma compression library
- libcompress-raw-zlib-perl (2.084-1)
- low-level interface to zlib compression library
- libcompress-raw-zlib-perl (= 2.076)
- virtueel pakket geboden door perl
- libcompress-zlib-perl (= 2.074)
- virtueel pakket geboden door perl
- libconcord-dev (1.3-1)
- configuration tool for Harmony remotes - development files
- libconcord4 (1.3-1)
- configuration tool for Harmony remotes - runtime libraries
- libconcurrent-java (1.3.4-4)
- utility classes for concurrent java programming
- libconcurrent-java-doc (1.3.4-4)
- documentation and javadoc API for libconcurrent-java
- libconfig++-dev (1.5-0.4)
- parsing/manipulation of structured config files (C++ development)
- libconfig++9v5 (1.5-0.4)
- parsing/manipulation of structured configuration files (C++ binding)
- libconfig-any-perl (0.32-1)
- module to load configuration from different file formats
- libconfig-apacheformat-perl (1.2-5)
- Perl module to parse Apache format config files
- libconfig-augeas-perl (1.000-2+b5)
- module for manipulating configuration files through Augeas
- libconfig-auto-perl (0.44-1)
- magical config file parser
- libconfig-autoconf-perl (0.317-1)
- Perl module to implement some of AutoConf's macros in pure Perl
- libconfig-crontab-perl (1.45-1)
- module to read/write Vixie-compatible crontab(5) files
- libconfig-dev (1.5-0.4)
- parsing/manipulation of structured config files (development)
- libconfig-doc (1.5-0.4)
- parsing/manipulation of structured config files (Documentation)
- libconfig-file-ocaml-dev (1.2-1+b3 [armhf], 1.2-1+b2 [amd64, arm64, i386])
- OCaml library for managing configuration files (dev files)
- libconfig-file-ocaml-dev-4l9v8
- virtueel pakket geboden door libconfig-file-ocaml-dev
- libconfig-file-ocaml-dev-d2e01
- virtueel pakket geboden door libconfig-file-ocaml-dev
- libconfig-file-perl (1.51-1)
- Parses simple configuration files
- libconfig-find-perl (0.31-1)
- module to search configuration files using OS dependent heuristics
- libconfig-general-perl (2.63-1)
- generic configuration module
- libconfig-gitlike-perl (1.17-1)
- Perl module for Git-compatible config file parsing
- libconfig-grammar-perl (1.12-2)
- grammar-based user-friendly config parser
- libconfig-identity-perl (0.0019-1)
- module to load (and optionally decrypt) user/pass identity information
- libconfig-ini-perl (1:0.025-1)
- Perl module for reading and writing .ini files
- libconfig-ini-reader-ordered-perl (0.020-1)
- .ini-file parser that returns sections in order
- libconfig-inifiles-perl (3.000001-1)
- read .ini-style configuration files
- libconfig-inihash-perl (3.01.01-4)
- Perl extension for reading and writing INI files
- libconfig-jfdi-perl (0.065-2)
- Perl module to load any configuration files
- libconfig-json-perl (1.5202-1)
- parser for JSON-based configuration files
- libconfig-merge-perl (1.04-1)
- umbrella interface to configuration modules
- libconfig-methodproxy-perl (0.02-3)
- Perl module for specifying method calls in a static configuration
- libconfig-model-approx-perl (1.011-1)
- Approx proxy server configuration editor
- libconfig-model-backend-augeas-perl (0.124-1)
- config-model reader and writer powered by Augeas
- libconfig-model-backend-yaml-perl (2.133-2)
- Read and write config as a YAML data structure
- libconfig-model-cursesui-perl (1.106-1)
- curses interface to edit config data through Config::Model
- libconfig-model-dpkg-perl (2.122)
- editor for Dpkg source files with validation
- libconfig-model-itself-perl (2.016-1)
- graphical model editor for Config::Model
- libconfig-model-lcdproc-perl (2.052-2)
- module to edit and validate LcdProc configuration file
- libconfig-model-openssh-perl (1.241-1)
- configuration editor for OpenSsh
- libconfig-model-perl (2.133-1)
- module for describing and editing configuration data
- libconfig-model-systemd-perl (0.240.1-1)
- editor and validator for systemd configuration files
- libconfig-model-tester-perl (3.007-1)
- Test framework for Config::Model
- libconfig-model-tkui-perl (1.369-2)
- Tk GUI to edit config data through Config::Model
- libconfig-mvp-perl (2.200011-1)
- multivalue-property package-oriented configuration module
- libconfig-mvp-reader-ini-perl (2.101463-1)
- Perl module providing a MVP config reader for .ini files
- libconfig-mvp-slicer-perl (0.302-1)
- module to extract embedded plugin config from parent config
- libconfig-onion-perl (1.007-1)
- layered configuration
- libconfig-pit-perl (0.04-2)
- Perl module for Manage settings
- libconfig-properties-perl (1.80-1)
- Perl module to read and write Java-style property files
- libconfig-record-perl (1.1.2-2)
- Perl module for configuration file access
- libconfig-scoped-perl (0.22-2)
- Feature rich configuration file parser
- libconfig-simple-perl (4.59-6)
- simple configuration file class
- libconfig-std-perl (0.903-1)
- Load and save configuration files in a standard format
- libconfig-tiny-perl (2.23-1)
- Read/Write .ini style files with as little code as possible
- libconfig-yaml-perl (1.42-3)
- module for manipulating YAML-based configuration files
- libconfig-zomg-perl (1.000000-1)
- yet another Catalyst::Plugin::ConfigLoader-style layer over Config::Any
- libconfig9 (1.5-0.4)
- parsing/manipulation of structured configuration files
- libconfigreader-perl (0.5-5)
- Perl module for reading configuration files
- libconfigreader-simple-perl (1.293-1)
- simple configuration file parser
- libconfuse-common (3.2.2+dfsg-1)
- Algemene bestanden libConfuse
- libconfuse-dev (3.2.2+dfsg-1)
- Development files for libConfuse
- libconfuse-doc (3.2.2+dfsg-1)
- Documentation for libConfuse
- libconfuse2 (3.2.2+dfsg-1)
- Library for parsing configuration files
- libconsensuscore-dev (1.1.1+dfsg-1)
- algorithms for PacBio multiple sequence consensus -- development files
- libconsole-bridge-dev (0.4.3+dfsg-1)
- console bridge - development files
- libconsole-bridge0.4 (0.4.3+dfsg-1)
- console bridge - library
- libconst-fast-perl (0.014-1)
- facility for creating read-only scalars, arrays, and hashes
- libconstant-defer-perl (6-1)
- Perl module providing constant subs with deferred value calculation
- libconstant-generate-perl (0.17-1)
- Perl module providing useful utilities for handling symbolic constants
- libcontext-preserve-perl (0.03-1)
- Perl module to preserve calling context
- libcontextual-return-perl (0.004014-2)
- module for creating context-sensitive return values
- libcontrolsfx-java (9.0.0+hg20181001-1)
- high quality UI controls and other tools to complement JavaFX
- libconversant-disruptor-java (1.2.11-1)
- Very low latency Java BlockingQueue
- libconvert-ascii-armour-perl (1.4-2)
- module to convert binary into ASCII-armoured messages
- libconvert-ascii85-perl (0.01-1)
- module for encoding and decoding of ascii85/base85 strings
- libconvert-asn1-perl (0.27-2)
- Perl module for encoding and decoding ASN.1 data structures
- libconvert-base32-perl (0.06-1)
- module for encoding and decoding of base32 strings
- libconvert-basen-perl (0.01-2)
- perl module for encoding and decoding of base{2,4,8,16,32,64} strings
- libconvert-ber-perl (1.3200-3)
- Perl implementation of Basic Encoding Rules (BER)
- libconvert-binary-c-perl (0.78-1+b4)
- Binary Data Conversion using C Types
- libconvert-binhex-perl (1.125-1)
- Perl5 module for extracting data from macintosh BinHex files
- libconvert-color-perl (0.11-2)
- Perl module for color space conversions and named lookups
- libconvert-color-xterm-perl (0.05-1)
- indexed colors used by XTerm
- libconvert-nls-date-format-perl (0.06-1)
- module for converting Oracle NLS_DATE_FORMAT <-> strftime Format Strings
- libconvert-pem-perl (0.08-2)
- Perl module for reading/writing encrypted ASN.1 PEM files
- libconvert-scalar-perl (1.12-1+b1)
- module to convert between different representations of perl scalars
- libconvert-tnef-perl (0.18-1)
- Perl module to read TNEF files
- libconvert-units-perl (1:0.43-11)
- Perl module for performing unit conversions
- libconvert-uulib-perl (1:1.5~dfsg-1+b1)
- Perl interface to the uulib library (a.k.a. uudeview/uuenview)
- libconvert-ytext-perl (0.2-2)
- Perl module to quote strings suitably for RFC2822 local parts
- libcookie-baker-perl (0.10-4)
- simple cookie string generator and parser
- libcookie-baker-xs-perl (0.10-1+b1)
- module to boost Cookie::Baker's crush_cookie
- libcoq-ocaml (8.9.0-1)
- runtime libraries for Coq
- libcoq-ocaml-35sv0
- virtueel pakket geboden door libcoq-ocaml
- libcoq-ocaml-dev (8.9.0-1)
- development libraries and tools for Coq
- libcoq-ocaml-dev-35sv0
- virtueel pakket geboden door libcoq-ocaml-dev
- libcoq-ocaml-dev-fl0a8
- virtueel pakket geboden door libcoq-ocaml-dev
- libcoq-ocaml-dev-m8xw4
- virtueel pakket geboden door libcoq-ocaml-dev
- libcoq-ocaml-dev-yvk13
- virtueel pakket geboden door libcoq-ocaml-dev
- libcoq-ocaml-fl0a8
- virtueel pakket geboden door libcoq-ocaml
- libcoq-ocaml-m8xw4
- virtueel pakket geboden door libcoq-ocaml
- libcoq-ocaml-yvk13
- virtueel pakket geboden door libcoq-ocaml
- libcore-async-clojure (0.3.443-1)
- asynchronous programming using channels for Clojure
- libcore-cache-clojure (0.6.5-2)
- cache abstraction library for Clojure
- libcore-match-clojure (0.2.2-1)
- optimized pattern match and predicate dispatch library for Clojure
- libcore-memoize-clojure (0.5.9-1)
- Clojure memoization library
- libcore-renderer-java (0.0~R8+dfsg2-1)
- Java library that provides an XML/XHTML/CSS 2.1 Renderer
- libcore-renderer-java-doc (0.0~R8+dfsg2-1)
- Documentation for libcore-renderer-java
- libcore-specs-alpha-clojure (0.2.44-3)
- specs to describe Clojure core macros and functions
- libcork-dev (0.15.0+ds-12)
- simple, easily embeddable, cross-platform C library (development files)
- libcork-doc (0.15.0+ds-12)
- simple, easily embeddable, cross-platform C library (documentation files)
- libcork16 (0.15.0+ds-12)
- simple, easily embeddable, cross-platform C library
- libcorkipset-dev (1.1.1+20150311-8)
- C library to store sets/maps of IP address (development files)
- libcorkipset-doc (1.1.1+20150311-8)
- C library to store sets/maps of IP address (documentation files)
- libcorkipset-utils (1.1.1+20150311-8)
- C library to store sets/maps of IP address (utility files)
- libcorkipset1 (1.1.1+20150311-8)
- C library to store sets/maps of IP address
- libcoro-perl (6.540-1)
- Perl framework implementing coroutines
- libcoro-twiggy-perl (0.03-1)
- Coro interface for Twiggy
- libcorona-perl (0.1004-4)
- Coro based PSGI web server
- libcorosync-common-dev (3.0.1-2+deb10u1)
- cluster engine common development
- libcorosync-common4 (3.0.1-2+deb10u1)
- cluster engine common library
- libcortado-java (0.6.0-4)
- streaming applet for Ogg formats -- shared components
- libcos4-2 (4.2.2-0.9+b1)
- omniORB CORBA services stubs
- libcos4-2-dbg (4.2.2-0.9+b1)
- omniORB CORBA services stubs debugging symbols
- libcos4-dev (4.2.2-0.9+b1)
- omniORB CORBA services stubs development files
- libcothreads-ocaml-dev (0.10-4+b2 [armhf], 0.10-4+b1 [amd64, arm64, i386])
- concurrent programming library for OCaml
- libcothreads-ocaml-dev-5jfx1
- virtueel pakket geboden door libcothreads-ocaml-dev
- libcothreads-ocaml-dev-k02d2
- virtueel pakket geboden door libcothreads-ocaml-dev
- libcourier-unicode-dev (2.1-3)
- Courier Unicode library (development files and headers)
- libcourier-unicode4 (2.1-3)
- Courier Unicode library (shared runtime library)
- libcourriel-perl (0.47-1)
- high level email parsing and manipulation module
- libcoverart-dev (1.0.0+git20150706-8)
- library to access the Cover Art Archive (development files)
- libcoverart-doc (1.0.0+git20150706-8)
- library to access the Cover Art Archive (developer documentation)
- libcoverart1 (1.0.0+git20150706-8)
- library to access the Cover Art Archive (C wrapper functions)
- libcoverartcc1v5 (1.0.0+git20150706-8)
- library to access the Cover Art Archive
- libcoy-perl (0.06-8)
- vervang perl-foutmeldingen met haiku's
- libcpan-changes-perl (0.400002-1)
- module for reading and writing CPAN Changes files
- libcpan-checksums-perl (2.12-1)
- Perl module to write a CHECKSUMS file for a directory as on CPAN
- libcpan-common-index-perl (0.010-1)
- common library for searching CPAN modules, authors, and distributions
- libcpan-distnameinfo-perl (0.12-2)
- module to extract distribution name and version from a filename
- libcpan-inject-perl (1.14-1)
- module for injecting distributions into CPAN sources
- libcpan-meta-check-perl (0.014-1)
- verify requirements in a CPAN::Meta object
- libcpan-meta-perl (= 2.150010)
- virtueel pakket geboden door perl
- libcpan-meta-requirements-perl (2.140-1)
- set of version requirements for a CPAN dist
- libcpan-meta-requirements-perl (= 2.140)
- virtueel pakket geboden door perl
- libcpan-meta-yaml-perl (0.018-1)
- reimplementation of a subset of YAML for CPAN Meta files
- libcpan-meta-yaml-perl (= 0.018)
- virtueel pakket geboden door perl
- libcpan-mini-inject-perl (0.35-1)
- module to inject modules into a CPAN::Mini mirror
- libcpan-mini-perl (1.111016-1)
- module for creating a minimal mirror of CPAN
- libcpan-perl-releases-perl (3.90-1)
- module for mapping Perl releases on CPAN to the location of the tarballs
- libcpan-reporter-perl (1.2018-1)
- module which adds CPAN Testers reporting to CPAN.pm
- libcpan-reporter-smoker-perl (0.29-1)
- turnkey CPAN Testers smoking module
- libcpan-sqlite-perl (0.217-1)
- module to maintain a minimal CPAN database with SQLite
- libcpan-uploader-perl (0.103013-1)
- module to upload packages to the CPAN
- libcpandb-perl (0.18-2)
- Perl module for working with the unified CPAN database
- libcpanel-json-xs-perl (4.09-1)
- module for fast and correct serialising to JSON
- libcpanplus-dist-build-perl (0.90-1)
- CPANPLUS plugin to install packages that use Build.PL
- libcpanplus-perl (0.9176-1)
- API & CLI access to the CPAN mirrors
- libcpath-clojure (0.1.2-1)
- transparently collect files on the classpath
- libcpg-dev (3.0.1-2+deb10u1)
- cluster engine CPG library development
- libcpg4 (3.0.1-2+deb10u1)
- cluster engine CPG library
- libcpgplot0 (1.1.0-1)
- CPGPLOT replacement shared lib based on giza
- libcpl-dev (7.1-3+b2)
- ESO library for automated astronomical data-reduction
- libcpl-doc (7.1-3)
- API documentation for the Common Pipeline Library
- libcplcore26 (7.1-3+b2)
- Fundamental CPL data types and functions
- libcpldfs26 (7.1-3+b2)
- CPL functions for Data Flow System compatibility
- libcpldrs26 (7.1-3+b2)
- CPL higher level data processing algorithms
- libcpluff-dev
- virtueel pakket geboden door libcpluff0-dev
- libcpluff0 (0.1.4+dfsg1-1+b2)
- C-Pluff, a plug-in framework for C - runtime library
- libcpluff0-dev (0.1.4+dfsg1-1+b2)
- C-Pluff, a plug-in framework for C - development kit
- libcplui26 (7.1-3+b2)
- CPL framework interface library
- libcpp-common0d (0.6.11-2+b1)
- Robot OS utility library
- libcpp-hocon-dev (0.1.7-1+b1)
- C++ port of the TypesafeConfig library -- development files
- libcpp-hocon0.1.7 (0.1.7-1+b1)
- C++ port of the TypesafeConfig library -- shared libraries
- libcppdb-dev (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (development files)
- libcppdb-mysql0 (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (MySQL backend)
- libcppdb-odbc0 (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (odbc backend)
- libcppdb-postgresql0 (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (PostgreSQL backend)
- libcppdb-sqlite3-0 (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (sqlite3 backend)
- libcppdb0 (0.3.1+dfsg-8+b1)
- SQL Connectivity Library (core library)
- libcpprest
- virtueel pakket geboden door libcpprest2.10
- libcpprest-dev (2.10.10-1)
- Development files for C++ REST SDK / Casablanca
- libcpprest-doc (2.10.10-1)
- Reference manual for C++ REST SDK / Casablanca
- libcpprest2.10 (2.10.10-1)
- Shared library for C++ REST SDK / Casablanca
- libcppunit-1.14-0 (1.14.0-3)
- Unit Testing Library for C++
- libcppunit-dev (1.14.0-3)
- Unit Testing Library for C++
- libcppunit-doc (1.14.0-3)
- Unit Testing Library for C++
- libcppunit-subunit-dev (1.3.0-1+deb10u1) [security]
- SubunitTestProgressListener for CPPUnit - Development headers
- libcppunit-subunit0 (1.3.0-1+deb10u1) [security]
- SubunitTestProgressListener for CPPUnit - C++ shared library
- libcpputest-dev (3.8-7)
- C/C++ based unit test framework — headers and static libraries
- libcps-perl (0.18-1)
- module to manage flow of control in Continuation Passing Style
- libcpufreq-dev (008-1.1)
- development files to deal with the cpufreq Linux kernel feature
- libcpufreq-dev
- virtueel pakket geboden door libcpupower-dev
- libcpufreq0 (008-1.1)
- shared library to deal with the cpufreq Linux kernel feature
- libcpuinfo-dev (0.0~git20190201.d5e37ad-1)
- CPU INFOrmation library (header file)
- libcpuinfo0 (0.0~git20190201.d5e37ad-1)
- CPU INFOrmation library (shared object)
- libcpupower-dev (4.19.304-1) [security]
- CPU frequency and voltage scaling tools for Linux (development files)
- libcpupower1 (4.19.304-1) [security]
- CPU frequency and voltage scaling tools for Linux (libraries)
- libcpuset-dev (1.0-5)
- header files and docs for libcpuset
- libcpuset1 (1.0-5)
- assigns a set of CPUs and Memory Nodes to a set of tasks
- libcql-parser-perl (1.13-1)
- Common Query Language parser
- libcqrlib-dev (1.1.4-1)
- Library and headers for quaternion arithmetic and rotation math
- libcqrlib2 (1.1.4-1)
- Library for quaternion arithmetic and rotation math
- libcrack2 (2.9.6-2)
- pro-active password checker library
- libcrack2-dev (2.9.6-2)
- pro-active password checker library - development files
- libcrack2-udeb (2.9.6-2)
- pro-active password checker library
- libcrcutil-dev (1.0-5)
- library for cyclic redundancy check (CRC) computation - development files
- libcrcutil-doc (1.0-5)
- library for cyclic redundancy check (CRC) computation - documentation
- libcrcutil0 (1.0-5)
- library for cyclic redundancy check (CRC) computation
- libcreal-ocaml-dev (0.7-6+b6 [amd64, i386], 0.7-6+b4 [armhf], 0.7-6+b2 [arm64])
- O'Caml library that implements exact real arithmetic
- libcreal-ocaml-dev-ob3u3
- virtueel pakket geboden door libcreal-ocaml-dev
- libcreal-ocaml-dev-ormx7
- virtueel pakket geboden door libcreal-ocaml-dev
- libcriticism-perl (1.02-2)
- Perl pragma to enforce coding standards and best-practices
- libcrmcluster-dev (2.0.1-5+deb10u2)
- transitional package
- libcrmcluster29 (2.0.1-5+deb10u2)
- cluster resource manager cluster library
- libcrmcommon-dev (2.0.1-5+deb10u2)
- transitional package
- libcrmcommon34 (2.0.1-5+deb10u2)
- cluster resource manager common library
- libcrmservice-dev (2.0.1-5+deb10u2)
- transitional package
- libcrmservice28 (2.0.1-5+deb10u2)
- cluster resource manager service library
- libcroco-tools (0.6.12-3)
- Cascading Style Sheet (CSS) parsing and manipulation toolkit - utils
- libcroco3 (0.6.12-3)
- Cascading Style Sheet (CSS) parsing and manipulation toolkit
- libcroco3-dev (0.6.12-3)
- Cascading Style Sheet (CSS) parsing and manipulation toolkit
- libcrossguid-dev (0.0+git200150803-4)
- C++ UUID library headers
- libcrossguid0 (0.0+git200150803-4)
- C++ UUID library
- libcry-ocaml-dev (0.6.2-1)
- MP3/Ogg Vorbis broadcast OCaml module
- libcry-ocaml-dev-841z7
- virtueel pakket geboden door libcry-ocaml-dev
- libcry-ocaml-dev-nn623
- virtueel pakket geboden door libcry-ocaml-dev
- libcrypt-blowfish-perl (2.14-1+b7 [amd64, armhf, i386], 2.14-1+b5 [arm64])
- Blowfish cryptography for Perl
- libcrypt-cast5-perl (0.05-2+b1)
- CAST5 block cipher
- libcrypt-cbc-perl (2.33-2)
- implementation of cipher block chaining (CBC) mode
- libcrypt-ciphersaber-perl (1.01-2.1)
- Perl module implementing CipherSaber encryption
- libcrypt-cracklib-perl (1.7-2+b6 [amd64, armhf, i386], 1.7-2+b5 [arm64])
- Perl interface to Alec Muffett's Cracklib
- libcrypt-des-ede3-perl (0.01-1.1)
- Triple-DES EDE encryption/decryption for perl
- libcrypt-des-perl (2.07-1+b7 [amd64, armhf, i386], 2.07-1+b5 [arm64])
- Perl DES encryption module
- libcrypt-dh-gmp-perl (0.00012-1+b6)
- Crypt::DH replacement that uses GMP directly
- libcrypt-dh-perl (0.07-2)
- Diffie-Hellman key exchange system implemented in Perl
- libcrypt-dsa-perl (1.17-4)
- Perl module for DSA Signatures and Key Generation
- libcrypt-ecb-perl (2.21-1)
- Perl library to encrypt data using ECB mode
- libcrypt-eksblowfish-perl (0.009-2+b5)
- Perl module implementing the Eksblowfish block cipher
- libcrypt-format-perl (0.09-1)
- Perl interface to conversion utilities for encryption applications
- libcrypt-gcrypt-perl (1.26-5+b3)
- Perl interface to the GNU Cryptographic library
- libcrypt-generatepassword-perl (0.05-1)
- perl module to generate secure passwords
- libcrypt-hcesha-perl (0.75-1)
- Perl extension for one way hash chaining encryption using SHA
- libcrypt-jwt-perl (0.023-1)
- JSON Web Token support for Perl
- libcrypt-mysql-perl (0.04-6+b4)
- Perl module to emulate the MySQL PASSWORD() function
- libcrypt-openssl-bignum-perl (0.09-1+b1)
- Perl module to access OpenSSL multiprecision integer arithmetic libraries
- libcrypt-openssl-dsa-perl (0.19-1+b3)
- module which implements the DSA signature verification system
- libcrypt-openssl-ec-perl (1.31-1+b1)
- Perl extension for OpenSSL EC (Elliptic Curves) library
- libcrypt-openssl-pkcs10-perl (0.16-3+b1)
- Perl extension to OpenSSL's PKCS10 API
- libcrypt-openssl-pkcs12-perl (1.2-1)
- Perl extension to OpenSSL's PKCS12 API
- libcrypt-openssl-random-perl (0.15-1+b1)
- module to access the OpenSSL pseudo-random number generator
- libcrypt-openssl-rsa-perl (0.31-1+b1)
- module for RSA encryption using OpenSSL
- libcrypt-openssl-x509-perl (1.8.12-1)
- Perl extension to OpenSSL's X509 API
- libcrypt-passwdmd5-perl (1.40-1)
- interoperable MD5-based crypt() for Perl
- libcrypt-pbkdf2-perl (0.161520-1)
- Perl implementation of PBKDF2 password hash
- libcrypt-random-seed-perl (0.03-1)
- Perl module providing strong randomness for seeding
- libcrypt-random-source-perl (0.14-1)
- get weak or strong random data from pluggable sources
- libcrypt-rc4-perl (2.02-3)
- Perl implementation of the RC4 encryption algorithm
- libcrypt-rijndael-perl (1.13-1+b5)
- Perl module implementing the Rijndael algorithm
- libcrypt-rsa-parse-perl (0.044-1)
- Perl module to parse RSA keys
- libcrypt-saltedhash-perl (0.09-1)
- module for handling salted hashes
- libcrypt-simple-perl (0.06-7)
- Perl library to encrypt stuff simply
- libcrypt-smbhash-perl (0.12-4)
- generate LM/NT hash of a password for samba
- libcrypt-smime-perl (0.25-1+b1)
- S/MIME message signing, verification, encryption and decryption module
- libcrypt-ssleay-perl (0.73.06-1+b1)
- OpenSSL support for LWP
- libcrypt-twofish-perl (2.17-2+b1)
- Perl module for Twofish Encryption Algorithm
- libcrypt-u2f-server-perl (0.43-1+b1)
- Perl module to register and authenticate U2F compatible devices
- libcrypt-unixcrypt-perl (1.0-7)
- Perl-only implementation of the crypt(3) function
- libcrypt-unixcrypt-xs-perl (0.11-1+b3)
- Perl XS interface that implements crypt() function
- libcrypt-urandom-perl (0.36-1)
- module that provides non blocking randomness
- libcrypt-util-perl (0.11-3)
- lightweight Crypt/Digest convenience API
- libcrypt-x509-perl (0.51-1)
- Parse X.509 certificates with Perl
- libcryptgps-ocaml-dev (0.2.1-9+b3 [armhf], 0.2.1-9+b2 [amd64, arm64, i386])
- OCaml implementation of symmetric cryptographic algorithms: Blowfish, DES, 3DES
- libcryptgps-ocaml-dev-02uw8
- virtueel pakket geboden door libcryptgps-ocaml-dev
- libcryptgps-ocaml-dev-g3959
- virtueel pakket geboden door libcryptgps-ocaml-dev
- libcrypto++-dev (5.6.4-8)
- General purpose cryptographic library - C++ development
- libcrypto++-doc (5.6.4-8)
- General purpose cryptographic library - documentation
- libcrypto++-utils (5.6.4-8)
- General purpose cryptographic library - utilities and data files
- libcrypto++6 (5.6.4-8)
- General purpose cryptographic library - shared library
- libcrypto++6-dbg (5.6.4-8)
- General purpose cryptographic library - debug symbols
- libcrypto-equality-clojure (1.0.0-1)
- Clojure library protecting against timing attacks
- libcrypto-random-clojure (1.2.0-1)
- secure random generator for Clojure
- libcrypto1.1-udeb (1.1.1n-0+deb10u3)
- Secure Sockets Layer toolkit - libcrypto udeb
- libcryptokit-ocaml (1.11-1+b3 [armhf], 1.11-1+b2 [amd64, arm64, i386])
- cryptographic algorithm library for OCaml - runtime
- libcryptokit-ocaml-2gru1
- virtueel pakket geboden door libcryptokit-ocaml
- libcryptokit-ocaml-75nf8
- virtueel pakket geboden door libcryptokit-ocaml
- libcryptokit-ocaml-d2tr2
- virtueel pakket geboden door libcryptokit-ocaml
- libcryptokit-ocaml-dev (1.11-1+b3 [armhf], 1.11-1+b2 [amd64, arm64, i386])
- cryptographic algorithm library for OCaml - development
- libcryptokit-ocaml-dev-2gru1
- virtueel pakket geboden door libcryptokit-ocaml-dev
- libcryptokit-ocaml-dev-75nf8
- virtueel pakket geboden door libcryptokit-ocaml-dev
- libcryptokit-ocaml-dev-d2tr2
- virtueel pakket geboden door libcryptokit-ocaml-dev
- libcryptokit-ocaml-dev-w6y61
- virtueel pakket geboden door libcryptokit-ocaml-dev
- libcryptokit-ocaml-w6y61
- virtueel pakket geboden door libcryptokit-ocaml
- libcryptsetup-dev (2:2.1.0-5+deb10u2)
- disk encryption support - development files
- libcryptsetup12 (2:2.1.0-5+deb10u2)
- disk encryption support - shared library
- libcryptsetup12-udeb (2:2.1.0-5+deb10u2)
- disk encryption support - shared library (udeb)
- libcryptui-dev (3.12.2-6)
- UI library for OpenPGP prompts (development)
- libcryptui-doc (3.12.2-6)
- UI library for OpenPGP prompts (documentation)
- libcryptui0a (3.12.2-6)
- UI library for OpenPGP prompts
- libcryptx-perl (0.063-1)
- Perl module that provides a self-contained crypto toolkit
- libcrystalhd-dev (1:0.0~git20110715.fdd2f19-13)
- Crystal HD Video Decoder (development files)
- libcrystalhd3 (1:0.0~git20110715.fdd2f19-13)
- Crystal HD Video Decoder (shared library)
- libcscreensaver0 (3.8.2-1)
- library and introspection data used by cinnamon-screensaver
- libcsfml-audio2.5 (2.5-1)
- Libraries for the C Binding of SFML - Audio Part
- libcsfml-dev (2.5-1)
- Libraries for the C Binding of SFML - Development Files
- libcsfml-doc (2.5-1)
- Libraries for the C Binding of SFML - Documentation
- libcsfml-graphics2.5 (2.5-1)
- Libraries for the C Binding of SFML - Graphics Part
- libcsfml-network2.5 (2.5-1)
- Libraries for the C Binding of SFML - Network Part
- libcsfml-system2.5 (2.5-1)
- Libraries for the C Binding of SFML - System Part
- libcsfml-window2.5 (2.5-1)
- Libraries for the C Binding of SFML - Window Part
- libcsirocsa0 (5.14.0+dfsg-3)
- Scientific plotting library (CSIRO csa library)
- libcsironn0 (5.14.0+dfsg-3)
- Scientific plotting library (CSIRO nn library)
- libcsmith-dev (2.3.0-5)
- generator of random C programs (development files)
- libcsmith0 (2.3.0-5)
- generator of random C programs (runtime library)
- libcsnd-dev (1:6.12.2~dfsg-3.1)
- development files for Csound -- C++ API
- libcsnd6-6.0v5 (1:6.12.2~dfsg-3.1)
- C++ bindings for the Csound API
- libcsnd6-java (1:6.12.2~dfsg-3.1)
- Java bindings for the Csound API
- libcsound64-6.0 (1:6.12.2~dfsg-3.1)
- main library for Csound
- libcsound64-dev (1:6.12.2~dfsg-3.1)
- development files for Csound
- libcsound64-doc (1:6.12.2~dfsg-3.1)
- Csound API documentation
- libcss-compressor-perl (0.05-1)
- Perl extension for CSS minification
- libcss-dom-perl (0.17-1)
- interface to the Document Object Model for Cascading Style Sheets
- libcss-lessp-perl (0.86-1)
- LESS for Perl
- libcss-minifier-perl (0.01-2)
- Perl extension for minifying CSS
- libcss-minifier-xs-perl (0.09-2+b5)
- XS based CSS minifier
- libcss-packer-perl (2.07-1)
- fast pure perl CSS cleaner
- libcss-parser-pp0v5 (0.86-1.3)
- simple CSS1 parser library for C++
- libcss-parser0 (0.86-1.3)
- simple CSS1 parser library for C
- libcss-perl (1.09-1)
- object oriented access to Cascading Style Sheets (CSS)
- libcss-squish-perl (0.10-1)
- module to compact many CSS files into one big file
- libcss-tiny-perl (1.20-1)
- Perl module to read/write .css files with as little code as possible
- libcssparser-java (0.9.5-2)
- Java CSS2 Parser
- libcssparser-java-doc (0.9.5-2)
- Java CSS2 Parser (documentation)
- libcsv-dev (3.0.3+dfsg-4)
- CSV parser and writer library - development files
- libcsv-java (2.1-1)
- CSV IO library for Java
- libcsv-java-doc (2.1-1)
- CSV IO library for Java (documentation)
- libcsv-ocaml-dev (1.5-1+b3 [armhf], 1.5-1+b2 [amd64, arm64, i386])
- library to read and write CSV files in OCaml
- libcsv-ocaml-dev-3h5d1
- virtueel pakket geboden door libcsv-ocaml-dev
- libcsv-ocaml-dev-dkq57
- virtueel pakket geboden door libcsv-ocaml-dev
- libcsv3 (3.0.3+dfsg-4)
- CSV parser and writer library
- libcsvimp-dev (0.6.2-1)
- CSV data import tool for xTuple applications (development files)
- libcsvjdbc-java (1.0.34-2)
- read-only JDBC driver that uses CSV or DBF files as database tables
- libcsvjdbc-java-doc (1.0.34-2)
- Documentation for csvjdbc
- libcsxcad0 (0.0.35+dfsg.1-3)
- Continuous Structure XML library for openEMS
- libct4 (1.00.104-1+deb10u1)
- libraries for connecting to MS SQL and Sybase SQL servers
- libctapimkt-dev
- virtueel pakket geboden door libctapimkt1-dev
- libctapimkt1 (1.0.5~rc1-7)
- Read German Krankenversichertenkarte and eGK
- libctapimkt1-dev (1.0.5~rc1-7)
- Read German Krankenversichertenkarte and eGK (development)
- libctemplate-dev (2.3-3+b1)
- Simple but powerful template language for C++ - development files
- libctemplate3 (2.3-3+b1)
- Simple but powerful template language for C++
- libctl-dev (4.1.4-3)
- library for flexible control files, development version
- libctl-doc (4.1.4-3)
- library for flexible control files, documentation
- libctl7 (4.1.4-3)
- library for flexible control files
- libctpl-dev (0.3.4+dfsg-1)
- template engine written in C, development files
- libctpl-doc (0.3.4+dfsg-1)
- template engine written in C, documentation files
- libctpl2 (0.3.4+dfsg-1)
- template engine written in C
- libctpp2-2v5 (2.8.3-26)
- HTML template engine for C++
- libctpp2-dev (2.8.3-26)
- HTML template engine for C++ (development)
- libctypes-ocaml (0.7.0-1+b1)
- library for binding to C libraries using pure OCaml (runtime)
- libctypes-ocaml-2mo38
- virtueel pakket geboden door libctypes-ocaml
- libctypes-ocaml-8pab9
- virtueel pakket geboden door libctypes-ocaml
- libctypes-ocaml-dev (0.7.0-1+b1)
- library for binding to C libraries using pure OCaml (dev)
- libctypes-ocaml-dev-2mo38
- virtueel pakket geboden door libctypes-ocaml-dev
- libctypes-ocaml-dev-8pab9
- virtueel pakket geboden door libctypes-ocaml-dev
- libctypes-ocaml-dev-ozzm1
- virtueel pakket geboden door libctypes-ocaml-dev
- libctypes-ocaml-dev-sxlm5
- virtueel pakket geboden door libctypes-ocaml-dev
- libctypes-ocaml-ozzm1
- virtueel pakket geboden door libctypes-ocaml
- libctypes-ocaml-sxlm5
- virtueel pakket geboden door libctypes-ocaml
- libcublas9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuBLAS Library
- libcuda-10.0-1
- virtueel pakket geboden door libcuda1
- libcuda-10.0-1-i386
- virtueel pakket geboden door libcuda1
- libcuda-10.1-1
- virtueel pakket geboden door libcuda1
- libcuda-10.1-1-i386
- virtueel pakket geboden door libcuda1
- libcuda-5.0-1
- virtueel pakket geboden door libnvidia-legacy-340xx-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-5.0-1-i386
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-legacy-340xx-cuda1
- libcuda-5.5-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-legacy-340xx-cuda1
- libcuda-5.5-1-i386
- virtueel pakket geboden door libnvidia-legacy-340xx-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-6.0-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-legacy-340xx-cuda1
- libcuda-6.0-1-i386
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-legacy-340xx-cuda1
- libcuda-6.5-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-legacy-340xx-cuda1
- libcuda-6.5-1-i386
- virtueel pakket geboden door libnvidia-legacy-340xx-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-7.0-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1
- libcuda-7.0-1-i386
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-7.5-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1
- libcuda-7.5-1-i386
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-8.0-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1
- libcuda-8.0-1-i386
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-9.0-1
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-9.0-1-i386
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1
- libcuda-9.1-1
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1
- libcuda-9.1-1-i386
- virtueel pakket geboden door libcuda1, libnvidia-legacy-390xx-cuda1
- libcuda-9.2-1
- virtueel pakket geboden door libcuda1
- libcuda-9.2-1-i386
- virtueel pakket geboden door libcuda1
- libcuda.so.1 (= 340.108)
- virtueel pakket geboden door libnvidia-legacy-340xx-cuda1
- libcuda.so.1 (= 390.154)
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1
- libcuda.so.1 (= 390.157)
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1
- libcuda.so.1 (= 418.226.00)
- virtueel pakket geboden door libcuda1
- libcuda1 (418.226.00-3) [non-free]
- NVIDIA CUDA Driver Library
- libcuda1-any
- virtueel pakket geboden door libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-legacy-340xx-cuda1
- libcuda1-i386 (418.226.00-3) [non-free]
- NVIDIA CUDA 32-bit runtime library
- libcudart9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA Runtime Library
- libcudf-dev (0.7-3+b2)
- C library to access descriptions of package upgrade problems
- libcudf-ocaml-dev (0.7-3+b2)
- OCaml library to access descriptions of package upgrade problems
- libcudf-ocaml-dev-imxp4
- virtueel pakket geboden door libcudf-ocaml-dev
- libcudf-ocaml-dev-j7ny6
- virtueel pakket geboden door libcudf-ocaml-dev
- libcue-dev (2.2.1-2+deb10u1) [security]
- CUE Sheet Parser Library - development files
- libcue2 (2.2.1-2+deb10u1) [security]
- CUE Sheet Parser Library
- libcufft9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuFFT Library
- libcufftw9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuFFTW Library
- libcuinj64-9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUINJ Library (64-bit)
- libcuneiform-dev (1.1.0+dfsg-7) [non-free]
- multi-language OCR system (development)
- libcuneiform0 (1.1.0+dfsg-7) [non-free]
- multi-language OCR system (shared library)
- libcunit1 (2.1-3-dfsg-2+b12)
- Unit Testing Library for C
- libcunit1-dev (2.1-3-dfsg-2+b12)
- Unit Testing Library for C -- development files
- libcunit1-doc (2.1-3-dfsg-2)
- Unit Testing Library for C -- documentation
- libcunit1-ncurses (2.1-3-dfsg-2+b12)
- Unit Testing Library for C (ncurses)
- libcunit1-ncurses-dev (2.1-3-dfsg-2+b12)
- Unit Testing Library for C (ncurses) -- development files
- libcups2 (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - Core library
- libcups2-dev (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - Development files CUPS library
- libcupsfilters-dev (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Development files for the library
- libcupsfilters1 (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Shared library
- libcupsimage2 (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - Raster image library
- libcupsimage2-dev (2.2.10-6+deb10u9) [security]
- Common UNIX Printing System(tm) - Development files CUPS image library
- libcupt-common (2.10.3)
- flexible package manager -- runtime library (support files)
- libcupt4-2 (2.10.3)
- flexible package manager -- runtime library
- libcupt4-2-downloadmethod-curl (2.10.3)
- flexible package manager -- libcurl download method
- libcupt4-2-downloadmethod-wget (2.10.3)
- flexible package manager -- wget download method
- libcupt4-dev (2.10.3)
- flexible package manager -- development files
- libcupt4-doc (2.10.3)
- flexible package manager -- library documentation
- libcupti-dev (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA Profiler Tools Interface development files
- libcupti-doc (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA Profiler Tools Interface documentation
- libcupti9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA Profiler Tools Interface runtime library
- libcurand9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuRAND Library
- libcurator-client-java (2.7.1-2)
- Apache Curator Client
- libcurator-discovery-java (2.7.1-2)
- Apache Curator Service Discovery
- libcurator-framework-java (2.7.1-2)
- Apache Curator Framework
- libcurator-parent-java (2.7.1-2)
- Apache Curator Parent
- libcurator-recipes-java (2.7.1-2)
- Apache Curator Recipes
- libcurator-test-java (2.7.1-2)
- Apache Curator Testing Utilities
- libcurl-dev
- virtueel pakket geboden door libcurl4-openssl-dev, libcurl4-nss-dev, libcurl4-gnutls-dev
- libcurl-ocaml (0.8.1-1)
- OCaml curl bindings (Runtime Library)
- libcurl-ocaml-7u9w8
- virtueel pakket geboden door libcurl-ocaml
- libcurl-ocaml-dev (0.8.1-1)
- OCaml libcurl bindings (Development package)
- libcurl-ocaml-dev-7u9w8
- virtueel pakket geboden door libcurl-ocaml-dev
- libcurl-ocaml-dev-jy498
- virtueel pakket geboden door libcurl-ocaml-dev
- libcurl-ocaml-jy498
- virtueel pakket geboden door libcurl-ocaml
- libcurl-ssl-dev
- virtueel pakket geboden door libcurl4-openssl-dev, libcurl4-gnutls-dev, libcurl4-nss-dev
- libcurl3-dev
- virtueel pakket geboden door libcurl4-openssl-dev
- libcurl3-gnutls (7.64.0-4+deb10u9) [security]
- easy-to-use client-side URL transfer library (GnuTLS flavour)
- libcurl3-gnutls-dev
- virtueel pakket geboden door libcurl4-gnutls-dev
- libcurl3-nss (7.64.0-4+deb10u9) [security]
- easy-to-use client-side URL transfer library (NSS flavour)
- libcurl3-nss-dev
- virtueel pakket geboden door libcurl4-nss-dev
- libcurl3-openssl-dev
- virtueel pakket geboden door libcurl4-openssl-dev
- libcurl4 (7.64.0-4+deb10u9) [security]
- easy-to-use client-side URL transfer library (OpenSSL flavour)
- libcurl4-dev
- virtueel pakket geboden door libcurl4-openssl-dev, libcurl4-gnutls-dev, libcurl4-nss-dev
- libcurl4-doc (7.64.0-4+deb10u9) [security]
- documentation for libcurl
- libcurl4-gnutls-dev (7.64.0-4+deb10u9) [security]
- development files and documentation for libcurl (GnuTLS flavour)
- libcurl4-nss-dev (7.64.0-4+deb10u9) [security]
- development files and documentation for libcurl (NSS flavour)
- libcurl4-openssl-dev (7.64.0-4+deb10u9) [security]
- development files and documentation for libcurl (OpenSSL flavour)
- libcurry-perl (1.001000-1)
- module to create automatic curried method call closures for any class or object
- libcurses-ocaml (1.0.3-3+b3 [armhf], 1.0.3-3+b2 [amd64, arm64, i386])
- OCaml bindings for the ncurses library (runtime)
- libcurses-ocaml-5a955
- virtueel pakket geboden door libcurses-ocaml
- libcurses-ocaml-dev (1.0.3-3+b3 [armhf], 1.0.3-3+b2 [amd64, arm64, i386])
- OCaml bindings for the ncurses library
- libcurses-ocaml-dev-5a955
- virtueel pakket geboden door libcurses-ocaml-dev
- libcurses-ocaml-dev-w0b57
- virtueel pakket geboden door libcurses-ocaml-dev
- libcurses-ocaml-w0b57
- virtueel pakket geboden door libcurses-ocaml
- libcurses-perl (1.36-1+b5)
- Curses interface for Perl
- libcurses-ui-perl (0.9609-1)
- curses-based OO user interface framework for Perl
- libcurses-widgets-perl (1.997-7)
- Curses widget interface for Perl
- libcurvesapi-java (1.05-1)
- Java implementation of mathematical curves defined over a set of control points
- libcusolver9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuSOLVER Library
- libcusparse9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA cuSPARSE Library
- libcutl-1.10 (1.10.0+ds1-3+b1)
- C++ utility library
- libcutl-dev (1.10.0+ds1-3+b1)
- C++ utility library, development files
- libcv-bridge-dev (1.13.0+ds-2+b12)
- cv_bridge Robot OS package - development
- libcv-bridge1d (1.13.0+ds-2+b12)
- cv_bridge Robot OS package
- libcvc0 (3.8.1-2)
- Cinnamon pulseaudio abstraction library
- libcvc4-5 (1.6-2+b1)
- automated theorem prover for SMT problems (runtime)
- libcvc4-dev (1.6-2+b1)
- automated theorem prover for SMT problems (development files)
- libcvc4parser5 (1.6-2+b1)
- automated theorem prover for SMT problems (parser runtime)
- libcvector-dev (1.0.4.1-1)
- ANSI C implementation of dynamic arrays (development files)
- libcvector2 (1.0.4.1-1)
- ANSI C implementation of dynamic arrays
- libcvm-dev
- virtueel pakket geboden door libcvm1-dev
- libcvm1 (0.97-0.1+b1)
- Credential Validation Modules (shared libraries)
- libcvm1-dev (0.97-0.1+b1)
- Credential Validation Modules (development files, documentation)
- libcvs-perl (0.07-4)
- object oriented Perl interface to the CVS command
- libcw6 (3.5.1-3)
- Morse code tutor - shared library
- libcw6-dev (3.5.1-3)
- Morse code tutor - development files
- libcwd-guard-perl (0.05-1)
- module for temporarily changing working directory (chdir)
- libcwidget-dev (0.5.17-11)
- high-level terminal interface library for C++ (development files)
- libcwidget-doc (0.5.17-11)
- high-level terminal interface library for C++ (documentation)
- libcwidget3v5 (0.5.17-11)
- high-level terminal interface library for C++ (runtime files)
- libcwiid-dev (0.6.00+svn201-4)
- library to interface with the wiimote -- development files
- libcwiid1 (0.6.00+svn201-4)
- library to interface with the wiimote -- runtime files
- libcwnn-dev (1.1.1~a021+cvs20130302-7+b1)
- Header files and static library for cWnn (FreeWnn cserver)
- libcwnn0 (1.1.1~a021+cvs20130302-7+b1)
- FreeWnn library for cWnn (FreeWnn cserver)
- libcxgb3-1
- virtueel pakket geboden door ibverbs-providers
- libcxsparse3 (1:5.4.0+dfsg-1)
- concise sparse matrix library
- libcxxtools-dev (2.2.1-2)
- library of unrelated but useful C++ classes
- libcxxtools9v5 (2.2.1-2)
- library of unrelated but useful C++ classes
- libcypher-parser
- virtueel pakket geboden door libcypher-parser8
- libcypher-parser-dev (0.6.0-1)
- Development files for libcypher-parser
- libcypher-parser-doc (0.6.0-1)
- Documentation for libcypher-parser
- libcypher-parser8 (0.6.0-1)
- Parsing library for the Cypher query language
- libcyrus-imap-perl (3.0.8-6+deb10u6)
- Interface to Cyrus imap client imclient library
- libczmq-dev (4.2.0-2)
- High-level C binding for ZeroMQ (development files)
- libczmq4 (4.2.0-2)
- High-level C binding for ZeroMQ
- libd3dadapter9-mesa (18.3.6-2+deb10u1)
- state-tracker for Direct3D9
- libd3dadapter9-mesa-dev (18.3.6-2+deb10u1)
- state-tracker for Direct3D9 -- development files
- libdacs-dev (1.4.40-2)
- Distributed Access Control System (DACS) - development files
- libdacs1 (1.4.40-2)
- Distributed Access Control System (DACS) - shared library
- libdaemon-control-perl (0.001010-1)
- module for creating init scripts in Perl
- libdaemon-dev (0.14-7)
- lightweight C library for daemons - development files
- libdaemon-doc (0.14-7)
- lightweight C library for daemons - documentation
- libdaemon-generic-perl (0.85-1)
- framework to provide start/stop/reload for a daemon
- libdaemon0 (0.14-7)
- lightweight C library for daemons - runtime library
- libdancer-logger-psgi-perl (1.0.1-2)
- PSGI Log handler for Dancer
- libdancer-logger-syslog-perl (0.6-1)
- Dancer logger engine for Sys::Syslog
- libdancer-perl (1.3500+dfsg-1)
- effortless web application framework
- libdancer-plugin-auth-extensible-perl (1.00-1)
- module providing extensible authentication framework for Dancer apps
- libdancer-plugin-database-core-perl (0.20-1)
- shared core library for Dancer and Dancer2 database plugins
- libdancer-plugin-database-perl (2.13-1)
- Dancer plugin providing easy database connections
- libdancer-plugin-dbic-perl (0.2104-1)
- DBIx::Class interface for Dancer applications
- libdancer-plugin-email-perl (1.0400-1)
- Simple email sending plugin for Dancer applications
- libdancer-plugin-flashmessage-perl (0.314-2)
- Dancer plugin to display temporary, so called "flash messages"
- libdancer-plugin-rest-perl (0.11-3)
- REST plugin for Dancer
- libdancer-session-cookie-perl (0.30-2)
- encrypted cookie-based session backend for Dancer
- libdancer-session-memcached-perl (0.2020-2)
- Memcached-based session backend for Dancer
- libdancer2-perl (0.207000+dfsg-1)
- lightweight yet powerful web application framework
- libdancer2-plugin-ajax-perl (0.400000-1)
- Dancer2 plugin for adding Ajax route handlers
- libdancer2-plugin-database-perl (2.17-1)
- module for easy database connections for Dancer2 applications
- libdancer2-plugin-passphrase-perl (3.3.3-1)
- passphrases and passwords as objects for Dancer2
- libdanga-socket-perl (1.61-2)
- event loop and event-driven async socket base class
- libdansguardian-perl (0.6-2)
- Simple module for administer dansguardian's control files
- libdap-bin (3.20.3-1)
- Binaries for the libdap Data Access Protocol library
- libdap-dev (3.20.3-1)
- Development files (headers and static libraries) for libdap
- libdap-doc (3.20.3-1)
- Documentation for the libdap Data Access Protocol library
- libdap25 (3.20.3-1)
- Open-source Project for a Network Data Access Protocol library
- libdapclient6v5 (3.20.3-1)
- Client library for the Network Data Access Protocol
- libdapl-dev (2.1.10.1.f1e05b7a-3)
- development files for the DAPL libraries
- libdapl2 (2.1.10.1.f1e05b7a-3)
- Direct Access Programming Library (DAPL)
- libdapserver7v5 (3.20.3-1)
- Server library for the Network Data Access Protocol
- libdaq-dev (2.0.4-3+b1)
- Data Acquisition library for packet I/O - development files
- libdaq2 (2.0.4-3+b1)
- Data Acquisition library for packet I/O - shared library
- libdar-dev (2.6.2-1+b10)
- Disk ARchive: Development files for shared library
- libdar64-6000 (2.6.2-1+b10)
- Disk ARchive: Shared library
- libdashel
- virtueel pakket geboden door libdashel1
- libdashel-dev (1.3.3-5)
- Dashel Library development files
- libdashel1 (1.3.3-5)
- Cross-platform DAta Stream Helper Encapsulation Library
- libdata-alias-perl (1.21-1+b1)
- module to create aliases instead of copies
- libdata-amf-perl (0.09+dfsg-2)
- Perl module for serialize / deserialize AMF data
- libdata-binary-perl (0.01-1)
- module for detection of binary versus text in strings
- libdata-bitmask-perl (0.91-2)
- bitmask manipulation class
- libdata-buffer-perl (0.04-1.1)
- Read/write buffer class for perl
- libdata-clone-perl (0.004-2+b1)
- Perl module for polymorphic data cloning
- libdata-compare-perl (1.25-1)
- perl module to compare perl data structures recursively
- libdata-dmp-perl (0.23-1)
- Perl module that dumps Perl data structures as Perl code
- libdata-dpath-perl (0.57-2)
- DPath is like XPath but for Perl data structures
- libdata-dump-oneline-perl (0.07-1)
- Perl module that dumps data structures as single-line strings
- libdata-dump-perl (1.23-1)
- Perl module to help dump data structures
- libdata-dump-streamer-perl (2.40-1+b3)
- module for serializing a data structure as Perl code
- libdata-dumper-concise-perl (2.023-1)
- module for more shorter Data::Dumper-like output
- libdata-dumper-simple-perl (0.11-5)
- Easily dump variables together with their names (Data::Dumper-like)
- libdata-dumpxml-perl (1.06-2)
- module to dump arbitrary Perl data structures as XML
- libdata-entropy-perl (0.007-3)
- Perl module for entropy (randomness) management
- libdata-faker-perl (0.10-2)
- Perl extension for generating fake data
- libdata-float-perl (0.013-1)
- Perl module encapsulating the floating point data type
- libdata-flow-perl (1.02-2)
- Perl extension for simple-minded recipe-controlled build of data
- libdata-format-html-perl (0.5.1-2)
- Perl module for dumping Perl objects to HTML
- libdata-formvalidator-constraints-datetime-perl (1.11-3)
- date and time constraint plugin for Data::FormValidator
- libdata-formvalidator-perl (4.88-1)
- module to validate user input, mainly for HTML forms
- libdata-guid-perl (0.049-1)
- globally unique identifiers
- libdata-hal-perl (1.000-2)
- module implementing the HAL data format
- libdata-hexdump-perl (0.02-1)
- hexadecimal dumper
- libdata-hexdumper-perl (3.0001-1)
- module for formatting binary data in a human-readable way
- libdata-ical-perl (0.22+dfsg-1)
- Perl module for manipulating iCalendar (RFC2445) files
- libdata-ieee754-perl (0.02-1)
- Perl module to pack and unpack big-endian IEEE754 floats and doubles
- libdata-integer-perl (0.006-1)
- Perl modules handling details of the native integer data type
- libdata-javascript-anon-perl (1.03-2)
- Dump big dumb Perl structs to anonymous JavaScript structs
- libdata-javascript-perl (1.13-2)
- dump perl data structures into JavaScript code
- libdata-messagepack-perl (1.00-2+b1)
- MessagePack serializing/deserializing
- libdata-messagepack-stream-perl (1.04+ds-1+b1)
- streaming deserializer for MessagePack
- libdata-miscellany-perl (1.100850-2)
- collection of miscellaneous subroutines
- libdata-munge-perl (0.097-1)
- collection of various utility functions
- libdata-objectdriver-perl (0.15-1)
- simple, transparent data interface, with caching
- libdata-optlist-perl (0.110-1)
- module to parse and validate simple name/value option pairs
- libdata-page-pageset-perl (1.02-1)
- condense long Data::Page lists into groups
- libdata-page-perl (2.02-2)
- Perl module providing support for paging through result sets
- libdata-pageset-perl (1.06-1)
- Perl module for page numbering and page sets
- libdata-paginator-perl (0.08-1)
- pagination module for Moose
- libdata-parsebinary-perl (0.31~dfsg-1)
- yet another parser for binary structures
- libdata-password-perl (1.12-1)
- Perl extension for assessing password quality
- libdata-peek-perl (0.48-1+b1)
- module providing low-level manipulation of Perl data
- libdata-perl-perl (0.002009-3)
- classes wrapping fundamental Perl data types
- libdata-phrasebook-loader-yaml-perl (0.13-2)
- loader class for Data::Phrasebook using YAML
- libdata-phrasebook-perl (0.35-1)
- perl implementation of the phrasebook paradigm
- libdata-pond-perl (0.005-1+b1)
- Perl-based open notation for data module
- libdata-printer-perl (0.40-1)
- colored pretty-printer of Perl data structures and objects
- libdata-priority-map-clojure (0.0.7-1)
- priority maps for Clojure
- libdata-random-perl (0.13-1)
- Perl module to generate random data
- libdata-record-perl (0.02-4)
- "split" on steroids
- libdata-report-perl (0.10-3)
- Framework for flexible reporting
- libdata-rmap-perl (0.65-1)
- Perl module implementing a recursive map, applying a block to a data structure
- libdata-sah-normalize-perl (0.050-1)
- Perl module to normalize Sah schema
- libdata-section-perl (0.200007-1)
- module to read chunks of data from a module's DATA section
- libdata-section-simple-perl (0.07-2)
- Perl module for reading data from __DATA__ section of the file
- libdata-serializer-perl (0.60-2)
- module that serializes data structures
- libdata-serializer-sereal-perl (1.05-2)
- bridge between Data::Serializer and Sereal
- libdata-show-perl (0.002004-1)
- Perl module to dump data structures with name and point-of-origin
- libdata-showtable-perl (4.6-1)
- Perl module to print arrays of data in a formatted listing
- libdata-sorting-perl (0.9-5)
- Perl module for multi-key sort using function results
- libdata-stag-perl (0.14-2)
- module to manipulate Structured Tags datastructures
- libdata-stream-bulk-perl (0.11-1)
- N at a time iteration API
- libdata-streamdeserializer-perl (0.06-1.1+b5)
- non-blocking deserializer
- libdata-streamserializer-perl (0.07-1+b9 [amd64, i386], 0.07-1+b7 [armhf], 0.07-1+b6 [arm64])
- non-blocking serializer
- libdata-structure-util-perl (0.16-1+b5)
- module to change nature of data within a data structure
- libdata-swap-perl (0.08-1+b3)
- Perl module to swap type and contents of variables
- libdata-table-perl (1.77-1)
- module to manipulate data tables in perl (as in R)
- libdata-tablereader-perl (0.010-1)
- locate and read records from human-edited data tables
- libdata-transformer-perl (0.04-2)
- Perl module to traverse data structures, altering them in place
- libdata-treedumper-oo-perl (0.09-1)
- Object-oriented interface to Data::TreeDumper
- libdata-treedumper-perl (0.40-3)
- module for dumping data structures in various formats
- libdata-treedumper-renderer-dhtml-perl (0.09-2)
- simple Perl DHTML renderer for Data::TreeDumper
- libdata-treedumper-renderer-gtk-perl (0.02-2)
- Gtk2::TreeView renderer for Data::TreeDumper
- libdata-types-perl (0.17-1)
- module for validating and converting data types
- libdata-uniqid-perl (0.12-1)
- Perl extension for simple genrating of unique id's
- libdata-util-perl (0.66-1+b1)
- selection of utilities for data and data types
- libdata-uuid-libuuid-perl (0.05-3+b3)
- uuid.h based UUID generation module
- libdata-uuid-perl (1.220-1+b5)
- globally/universally unique identifiers (GUIDs/UUIDs)
- libdata-uuid-perl
- virtueel pakket geboden door libossp-uuid-perl
- libdata-validate-domain-perl (0.10-1)
- perl domain name validation functions
- libdata-validate-email-perl (0.06-2)
- validator for email addresses written in Perl
- libdata-validate-ip-perl (0.27-1)
- Perl module for IP validation
- libdata-validate-perl (0.09-1)
- module providing common data validation routines for strings/numbers
- libdata-validate-struct-perl (0.1-1)
- module to validate recursive hash structures
- libdata-validate-uri-perl (0.07-1)
- common URI validation methods
- libdata-visitor-perl (0.30-2)
- Visitor implementation for Perl data structures
- libdata-walk-perl (2.01-1)
- module to traverse Perl data structures
- libdata-xml-clojure (0.0.8-4)
- library for reading and writing XML data
- libdata-yaml-perl (0.0.7-1)
- Easy YAML serialisation of Perl data structures
- libdatabase-dumptruck-perl (1.2-2)
- document-oriented interface to a SQLite database
- (0.01-2)
- Data::Pager - flexible data pager
- libdataquay-dev (0.9.1-1)
- Simple RDF for C++ and Qt applications (development files)
- libdataquay0 (0.9.1-1)
- Simple RDF for C++ and Qt applications
- libdate-calc-perl (6.4-1)
- Perl library for accessing dates
- libdate-calc-xs-perl (6.4-1+b4)
- Perl library for accessing dates
- libdate-convert-perl (0.16-4)
- Convert Between any two Calendrical Formats
- (0.06-1)
- module to extract probable dates from strings
- libdate-hijri-perl (0.02-2)
- Perl module to convert Gregorian dates to Hijri and vice versa
- libdate-holidays-de-perl (2.00-2+deb10u1)
- module to determine German holiday dates
- libdate-iso8601-perl (0.005-1)
- Perl handling of the three ISO 8601 numerical calendars
- libdate-jd-perl (0.006-1)
- conversion between flavours of Julian Date
- libdate-leapyear-perl (1.72-2)
- Perl module to determine whether or not a year is a leapyear
- libdate-manip-perl (6.76-1)
- module for manipulating dates
- libdate-pcalc-perl (6.1-6+b2)
- Perl module for Gregorian calendar date calculations
- libdate-pregnancy-perl (0.06-1)
- Perl module to calculate birthdate and week numbers for a pregnancy
- libdate-range-perl (1.41-2)
- work with a range of dates
- libdate-simple-perl (3.0300-2)
- simple date object for Perl
- libdate-tiny-perl (1.07-1)
- date object, with as little code as possible
- libdatetime-calendar-discordian-perl (1.0-2)
- Perl extension for the Discordian Calendar
- libdatetime-calendar-julian-perl (0.100-1)
- Julian calendar complement for DateTime
- libdatetime-event-cron-perl (0.09-1)
- DateTime extension for generating recurrence sets from crontab lines and files
- libdatetime-event-ical-perl (0.13-1)
- Perl DateTime extension for computing RFC2445 recurrences
- libdatetime-event-recurrence-perl (0.19-1)
- Perl module to create recurring DateTime instances
- libdatetime-event-sunrise-perl (0.0505-2)
- Perl module for calculating sunrise and sunset for a given time and place
- libdatetime-format-builder-perl (0.8100-2)
- module to create DateTime parsers
- libdatetime-format-dateparse-perl (0.05-2)
- Perl module parsing Date::Parse compatible formats
- libdatetime-format-db2-perl (0.05-3)
- Parse and format DB2 dates and times
- libdatetime-format-dbi-perl (0.041-2)
- abstraction layer for DateTime over some database vendors
- libdatetime-format-duration-perl (1.03a-1.2)
- Format and parse DateTime::Durations objects in perl
- libdatetime-format-epoch-perl (0.16-1)
- Perl module to convert DateTime objects to or from epoch seconds
- libdatetime-format-flexible-perl (0.31-1)
- Perl module to transform strings into DateTime objects
- libdatetime-format-http-perl (0.42-2)
- Perl module for date conversion with date formats used by the HTTP protocol
- libdatetime-format-human-duration-perl (0.64-1)
- Perl module to return a locale-specific string describing a DateTime::Duration
- libdatetime-format-ical-perl (0.09-2)
- Perl module to parse and format iCal datetime and duration strings
- libdatetime-format-iso8601-perl (0.08-2)
- module to parse ISO8601 date and time formats
- libdatetime-format-mail-perl (0.4030-1)
- module to convert between DateTime and RFC2822/822 formats
- libdatetime-format-mysql-perl (0.06-1)
- module to parse and format MySQL dates and times
- libdatetime-format-natural-perl (1.06-1)
- Perl module for parsing human-readable date/time strings
- libdatetime-format-oracle-perl (0.06-1)
- module for parsing and formatting Oracle dates and timestamps
- libdatetime-format-pg-perl (0.16013-1)
- module for parsing and formatting PostgreSQL dates and times
- libdatetime-format-rfc3339-perl (1.2.0-1)
- module to parse and format RFC3339 datetime strings
- libdatetime-format-sqlite-perl (0.11-2)
- module to parse and format SQLite dates and times
- libdatetime-format-strptime-perl (1.7600-1)
- Perl module to parse and format strp and strf time patterns
- libdatetime-format-w3cdtf-perl (0.07-1)
- module to parse and format W3CDTF datetime strings
- libdatetime-format-xsd-perl (0.2-1)
- format DateTime according to xsd:dateTime
- libdatetime-incomplete-perl (0.08-1)
- library to handle incomplete datetime like January 5
- libdatetime-locale-perl (1:1.23-1)
- Perl extension providing localization support for DateTime
- libdatetime-perl (2:1.50-1+b1)
- module for manipulating dates, times and timestamps
- libdatetime-set-perl (0.3900-1)
- Datetime sets and set math
- libdatetime-timezone-perl (1:2.23-1+2024a) [security]
- framework exposing the Olson time zone database to Perl
- libdatetime-timezone-systemv-perl (0.010-1)
- System V and POSIX timezone strings
- libdatetime-timezone-tzfile-perl (0.011-1)
- Perl handling of tzfile (zoneinfo) timezone files
- libdatetime-tiny-perl (1.07-1)
- lightweight datetime object module
- libdatetimex-auto-perl (0.009-1)
- use DateTime without needing to call constructors
- libdatetimex-easy-perl (0.089-2)
- module to parse arbitrary date/time strings
- libdatrie-dev (0.2.12-2)
- Development files for double-array trie library
- libdatrie-doc (0.2.12-2)
- Documentation files for double-array trie library
- libdatrie1 (0.2.12-2)
- Double-array trie library
- libdatrie1-bin (0.2.12-2)
- Programs for double-array trie library
- libdatrie1-udeb (0.2.12-2)
- Double-array trie library for D-I use
- libdavix0v5 (0.7.2-1)
- Runtime library for davix
- libdawgdic-dev (0.4.5-2)
- C++ library for DAWG dictionaries
- libdaxctl-dev (63-1.3)
- Development files for libdaxctl
- libdaxctl1 (63-1.3)
- Utility library for managing the device DAX subsystem
- libdazzle-1.0-0 (3.30.2-2)
- feature-filled library for GTK+ and GObject
- libdazzle-1.0-dev (3.30.2-2)
- feature-filled library for GTK+ and GObject - development files
- libdazzle-doc (3.30.2-2)
- feature-filled library for GTK+ and GObject - documentation
- libdazzle-tools (3.30.2-2)
- feature-filled library for GTK+ and GObject - tools
- libdb++-dev (5.3.1+nmu1)
- Berkeley Database Libraries for C++ [development]
- libdb-dev (5.3.1+nmu1)
- Berkeley Database Libraries [development]
- libdb-file-lock-perl (0.05-4)
- wrapper adding locking for the DB_File module
- libdb-java (5.3.1+nmu1)
- Berkeley Database Libraries for Java
- libdb-java-dev (5.3.1+nmu1)
- Berkeley Database Libraries for Java [development]
- libdb-je-java (3.3.98-2)
- Oracle Berkeley Database Java Edition
- libdb-sql-dev (5.3.1+nmu1)
- Berkeley Database Libraries [SQL development]
- libdb1-compat (2.1.3-20)
- Berkeley database routines [glibc 2.0/2.1 compatibility]
- libdb4o-cil-dev (8.0.184.15484+dfsg2-3)
- native OODBMS for CLI - development files
- libdb4o8.0-cil (8.0.184.15484+dfsg2-3)
- native OODBMS for CLI
- libdb5.3 (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [runtime]
- libdb5.3++ (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for C++ [runtime]
- libdb5.3++-dev (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for C++ [development]
- libdb5.3-dbg (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [debug]
- libdb5.3-dev (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [development]
- libdb5.3-java (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for Java
- libdb5.3-java-dev (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for Java [development]
- libdb5.3-java-jni (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for Java
- libdb5.3-sql (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [SQL runtime]
- libdb5.3-sql-dev (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [SQL development]
- libdb5.3-stl (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [STL runtime]
- libdb5.3-stl-dev (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries [STL development]
- libdb5.3-tcl (5.3.28+dfsg1-0.5)
- Berkeley v5.3 Database Libraries for Tcl [module]
- libdbaudiolib-dev
- virtueel pakket geboden door libdbaudiolib0-dev
- libdbaudiolib0 (0.9.8-6.3+b1)
- Communicate to the DBMix audio system (runtime library)
- libdbaudiolib0-dev (0.9.8-6.3+b1)
- Communicate to the DBMix audio system (development files)
- libdbd-csv-perl (0.5300-1+deb10u1)
- DBI driver for CSV files
- libdbd-excel-perl (0.06-7)
- provides an SQL interface (via DBI) for accessing Excel files
- libdbd-firebird-perl (1.31-1+b1)
- Perl DBI driver for Firebird RDBMS server
- libdbd-freetds (0.9.0-6+b1)
- Freetds database server driver for libdbi
- libdbd-ldap-perl (0.20-1)
- Perl extension for LDAP access via an SQL/Perl DBI interface
- libdbd-mariadb-perl (1.11-3)
- Perl5 database interface to the MariaDB/MySQL databases
- libdbd-mock-perl (1.45-2)
- Mock database driver for testing
- libdbd-mysql (0.9.0-6+b1)
- MySQL database server driver for libdbi
- libdbd-mysql-perl (4.050-2)
- Perl5 database interface to the MariaDB/MySQL database
- libdbd-odbc-perl (1.60-1)
- Perl Database Driver implementing ODBC for DBI
- libdbd-oracle-perl (1.76-1) [contrib]
- Perl DBI driver for Oracle
- libdbd-pg-perl (3.7.4-3)
- Perl DBI driver for the PostgreSQL database server
- libdbd-pgsql (0.9.0-6+b1)
- PostgreSQL database server driver for libdbi
- libdbd-sqlite (0.9.0-6+b1)
- SQLite database driver for libdbi
- libdbd-sqlite2-perl (2:0.38-1+b1)
- Perl DBI driver with a self-contained RDBMS (SQLite2 version)
- libdbd-sqlite3 (0.9.0-6+b1)
- SQLite3 database driver for libdbi
- libdbd-sqlite3-perl (1.62-3)
- Perl DBI driver with a self-contained RDBMS
- libdbd-sybase-perl (1.14-1+b6 [amd64, armhf, i386], 1.14-1+b4 [arm64])
- Sybase/MS SQL database driver for the DBI module
- libdbd-xbase-perl (1:1.08-1)
- Perl module to access xbase files (optionally through DBI)
- libdbi-dev (0.9.0-5)
- DB Independent Abstraction Layer for C -- development files
- libdbi-doc (0.9.0-5)
- DB Independent Abstraction Layer for C -- documentation
- libdbi-perl (1.642-1+deb10u2)
- Perl Database Interface (DBI)
- libdbi-test-perl (0.001-1)
- test suite for the DBI API
- libdbi0-dev
- virtueel pakket geboden door libdbi-dev
- libdbi1 (0.9.0-5)
- DB Independent Abstraction Layer for C -- shared library
- libdbi1-dbg (0.9.0-5)
- DB Independent Abstraction Layer for C -- debugging symbols
- libdbicx-sugar-perl (0.0200-1)
- syntax sugar for DBIx::Class
- libdbicx-testdatabase-perl (0.05-2)
- module for testing a DBIx::Class::Schema
- libdbix-abstract-perl (1.040-2)
- DBI SQL abstraction
- libdbix-class-candy-perl (0.005003-1)
- module providing syntax sugar for DBIx::Class
- libdbix-class-cursor-cached-perl (1.001004-1)
- cursor object with built-in caching support
- libdbix-class-datetime-epoch-perl (0.10-1)
- extension for creating DateTime objects from columns
- libdbix-class-deploymenthandler-perl (0.002222-1)
- extensible module for DBIx::Class deployment
- libdbix-class-dynamicdefault-perl (0.04-2)
- dbix-class extension to automatically set and update fields
- libdbix-class-encodedcolumn-perl (0.00015-1)
- extension to encode column values automatically
- libdbix-class-helpers-perl (2.033004-1)
- collection of helpers for DBIx::Class
- libdbix-class-htmlwidget-perl (0.16-5)
- DBIx::Class::HTMLWidget perl module
- libdbix-class-inflatecolumn-fs-perl (0.01007-1)
- Inflate/deflate columns to Path::Class::File objects
- libdbix-class-inflatecolumn-ip-perl (0.02003-1)
- extension for creating NetAddr::IP objects from columns
- libdbix-class-inflatecolumn-serializer-perl (0.09-1)
- DBIx::Class inflator to serialize Perl data structures
- libdbix-class-introspectablem2m-perl (0.001002-1)
- Introspection of many-to-many DBIx::Class relationships
- libdbix-class-optimisticlocking-perl (0.02-3)
- Optimistic locking support for DBIx::Class
- libdbix-class-perl (0.082841-1)
- extensible and flexible object <-> relational mapper
- libdbix-class-resultset-recursiveupdate-perl (0.34-2)
- module for recursive updates of DBIx::Class::ResultSets
- libdbix-class-schema-config-perl (0.001011-3)
- Credential Management for DBIx::Class
- libdbix-class-schema-loader-perl (0.07049-1)
- module to automate definition of a DBIx::Class::Schema
- libdbix-class-timestamp-perl (0.14-2)
- DBIx::Class extension to update and create date and time based fields
- libdbix-class-tree-nestedset-perl (0.10-1)
- module to manage trees of data using the nested set model
- libdbix-class-uuidcolumns-perl (0.02006-1)
- Implicit uuid columns
- libdbix-connector-perl (0.56-1)
- fast and safe DBI connection and transaction management
- libdbix-contextualfetch-perl (1.03-4)
- module to add context aware fetches to DBI
- libdbix-datasource-perl (0.02-5)
- database-independent module to create and drop functions
- libdbix-dbschema-perl (0.45-1)
- Perl module to manipulate schemas independently of databases
- libdbix-dbstag-perl (0.12-2)
- module providing relational database to hierarchical mapping
- libdbix-dr-perl (0.32-1)
- easy DBI helper
- libdbix-easy-perl (0.21-1)
- Easy to Use DBI Interface
- libdbix-fulltextsearch-perl (0.73-12)
- Indexing documents with MySQL as storage
- libdbix-introspector-perl (0.001005-1)
- module to detect what database code is connected to
- libdbix-oo-perl (0.0.9-5)
- database to Perl objects abstraction layer
- libdbix-password-perl (1.9-2)
- Perl module for creating a global password file for DB passwords
- libdbix-profile-perl (1.0-5)
- DBI query profiler
- libdbix-recordset-perl (0.26-3)
- Perl extension for DBI recordsets
- libdbix-runsql-perl (0.20-1)
- module to run an SQL file via a DBI handle
- libdbix-safe-perl (1.2.5-2)
- safe wrapper to DBI interface
- libdbix-searchbuilder-perl (1.67-1)
- Perl implementation of a simple ORM
- libdbix-sequence-perl (1.5-3)
- simple SQL92 ID generator
- libdbix-simple-perl (1.37-1)
- Perl module implementing an simpler interface to DBI
- libdbix-xml-rdb-perl (0.05-12)
- perl module for creating XML from a DBI datasource
- libdbix-xmlmessage-perl (0.05-10)
- perl module for exchanging XML messages between DBI data sources
- libdbm-deep-perl (2.0016-1)
- pure perl multi-level hash/array DBM that supports transactions
- libdbus-1-3 (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (library)
- libdbus-1-3-udeb (1.12.20-0+deb10u1)
- simple interprocess messaging system (minimal library)
- libdbus-1-dev (1.12.28-0+deb10u1) [security]
- simple interprocess messaging system (development headers)
- libdbus-c++-1-0v5 (0.9.0-8.1)
- C++ API for D-Bus (runtime package)
- libdbus-c++-bin (0.9.0-8.1)
- C++ API for D-Bus (utilities)
- libdbus-c++-dbg (0.9.0-8.1)
- C++ API for D-Bus (debugging symbols)
- libdbus-c++-dev (0.9.0-8.1)
- C++ API for D-Bus (development package)
- libdbus-c++-doc (0.9.0-8.1)
- C++ API for D-Bus (documentation)
- libdbus-glib-1-2 (0.110-4)
- deprecated library for D-Bus IPC
- libdbus-glib-1-dev (0.110-4)
- deprecated library for D-Bus IPC (development files)
- libdbus-glib-1-dev-bin (0.110-4)
- deprecated library for D-Bus IPC (development tools)
- libdbus-glib-1-doc (0.110-4)
- deprecated library for D-Bus IPC (API documentation)
- libdbus-glib2.0-cil (0.6.0-1)
- CLI implementation of D-Bus (GLib mainloop integration)
- libdbus-glib2.0-cil-dev (0.6.0-1)
- CLI implementation of D-Bus (GLib mainloop integration) - development files
- libdbus-java (2.8-9)
- simple interprocess messaging system (Java implementation)
- libdbus-ocaml (0.29-3+b3 [armhf], 0.29-3+b2 [amd64, arm64, i386])
- OCaml bindings for the D-Bus API (runtime)
- libdbus-ocaml-a2pf5
- virtueel pakket geboden door libdbus-ocaml
- libdbus-ocaml-dev (0.29-3+b3 [armhf], 0.29-3+b2 [amd64, arm64, i386])
- OCaml bindings for the D-Bus API (development files)
- libdbus-ocaml-dev-a2pf5
- virtueel pakket geboden door libdbus-ocaml-dev
- libdbus-ocaml-dev-za4s0
- virtueel pakket geboden door libdbus-ocaml-dev
- libdbus-ocaml-za4s0
- virtueel pakket geboden door libdbus-ocaml
- libdbus2.0-cil (0.8.1-2)
- CLI implementation of D-Bus
- libdbus2.0-cil-dev (0.8.1-2)
- CLI implementation of D-Bus - development files
- libdbusada0.4.1 (0.4.2-3)
- Ada bindings to the D-Bus message bus system (runtime)
- libdbusada4-dev (0.4.2-3)
- Ada bindings to the D-Bus message bus system
- libdbusextended-qt5-1 (0.0.3-3)
- Extended DBus interface for Qt
- libdbusextended-qt5-dev (0.0.3-3)
- Extended DBus interface for Qt (development files)
- libdbuskit-dev (0.1.1-3+b2)
- GNUstep framework that interfaces with D-Bus (development files)
- libdbuskit0 (0.1.1-3+b2)
- GNUstep framework that interfaces with D-Bus (runtime library)
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - development files
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - documentation
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - GTK-2+ version development files
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - GTK+ version documentation
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - GTK-3+ version
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - GTK-3+ version development files
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - GTK-2+ version
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - Test lib development files
- (18.10.20180917~bzr490+repack1-1)
- library for passing menus over DBus - Test lib
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol (development)
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol (documentation)
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol (development)
- (0.9.3+16.04.20160218-1)
- Qt implementation of the DBusMenu protocol (documentation)
- (18.10.20180917~bzr490+repack1-1)
- tools useful during development with libdbusmenu
- libdbustest1 (16.10.0~bzr100+repack1-4)
- Runs tests under a new DBus session (shared library)
- libdbustest1-dev (16.10.0~bzr100+repack1-4)
- Runs tests under a new DBus session (development files)
- libdc1394-22 (2.2.5-1)
- high level programming interface for IEEE 1394 digital cameras
- libdc1394-22-dbg (2.2.5-1)
- debugging symbols for the libdc1394-22 library
- libdc1394-22-dev (2.2.5-1)
- high level programming interface for IEEE 1394 digital cameras - development
- libdc1394-22-doc (2.2.5-1)
- high level programming interface for IEEE 1394 digital cameras - documentation
- libdc1394-utils (2.2.5-1)
- utilities for IEEE 1394 digital cameras
- libdca-dev (0.0.6-1)
- decoding library for DTS Coherent Acoustics streams (development)
- libdca-utils (0.0.6-1)
- decoding library for DTS Coherent Acoustics streams (utilities)
- libdca0 (0.0.6-1)
- decoding library for DTS Coherent Acoustics streams
- libdcap1 (2.47.12-2)
- Client Libraries for dCache
- libdcmtk-dev (3.6.4-2.1)
- OFFIS DICOM toolkit development libraries and headers
- libdcmtk14 (3.6.4-2.1)
- OFFIS DICOM toolkit runtime libraries
- libdcmtk2-dev
- virtueel pakket geboden door libdcmtk-dev
- libdcmtkpp-dev
- virtueel pakket geboden door libodil-dev
- libdcmtkpp0
- virtueel pakket geboden door libodil0
- libdcmtkpp0-doc
- virtueel pakket geboden door libodil-doc
- libdconf-dev (0.30.1-2)
- simple configuration storage system - development files
- libdconf-doc (0.30.1-2)
- simple configuration storage system - documentation
- libdconf1 (0.30.1-2)
- simple configuration storage system - runtime library
- libdcontainers-dev (0.8.0~alpha.12-1)
- Containers backed by stdx.allocator -- development files
- libdcontainers0 (0.8.0~alpha.12-1)
- Containers backed by stdx.allocator
- libdd-plist-java (1.20-1)
- Java library for working with property lists
- libddccontrol-dev (0.4.4-1)
- development files for ddccontrol
- libddccontrol0 (0.4.4-1)
- shared library for ddccontrol
- libdds-dev (2.9.0-7)
- bridge double dummy solver - development
- libdds0 (2.9.0-7)
- bridge double dummy solver - shared library
- libde265-0 (1.0.11-0+deb10u6) [security]
- Open H.265 video codec implementation
- libde265-dev (1.0.11-0+deb10u6) [security]
- Open H.265 video codec implementation - development files
- libde265-examples (1.0.11-0+deb10u6) [security]
- Open H.265 video codec implementation - examples
- libdeal.ii-9.0.1 (9.0.1-1+b1)
- Finite Element Differential Equations Analysis Library
- libdeal.ii-dev (9.0.1-1+b1)
- Differential Equations Analysis Library - development files
- libdeal.ii-doc (9.0.1-1)
- Differential Equations Analysis Library - html doc. and examples
- libdebconf-kde-dev (1.0.3-1)
- Development headers for the Debconf KDE library
- libdebconf-kde1 (1.0.3-1)
- Debconf KDE GUI library
- libdebconfclient-dev
- virtueel pakket geboden door libdebconfclient0-dev
- libdebconfclient0 (0.249)
- Debian Configuration Management System (C-implementation library)
- libdebconfclient0-dev (0.249)
- Development files for cdebconf
- libdebconfclient0-udeb (0.249)
- Debian Configuration Management System (C-implementation)
- libdebian-copyright-perl (0.2-4)
- perl module to parse, merge and write Debian copyright files
- libdebian-dpkgcross-perl (2.6.15-3)
- functions to aid cross-compiling Debian packages
- libdebian-installer-dev
- virtueel pakket geboden door libdebian-installer4-dev
- (0.119)
- Library of some extra debian-installer functions
- libdebian-installer-extra4
- virtueel pakket geboden door libdebian-installer-extra4-udeb
- (0.119)
- Library of some extra debian-installer functions
- libdebian-installer4 (0.119)
- Library of common debian-installer functions
- libdebian-installer4-dev (0.119)
- Library of common debian-installer functions
- libdebian-installer4-udeb (0.119)
- Library of common debian-installer functions
- libdebian-package-html-perl (0.1-2.1)
- generates HTML from a Debian source/binary package
- libdebian-source-perl (0.105)
- collection of Perl modules for handling Debian source packages
- libdebug-trace-perl (0.05-2)
- module to trace Perl subroutine calls
- libdebug0 (0.5.3-4)
- Memory leak detection system and logging library
- libdebug0-dev (0.5.3-4)
- Development files for the debug library
- libdecentxml-java (1.4-2)
- XML parser optimized for round-tripping and code reuse
- libdecentxml-java-doc (1.4-2)
- API documentation for libdecentxml-java
- libdeclare-constraints-simple-perl (0.03-1)
- module for declarative validation of data structures
- libdecoration0 (2:0.8.16.1-10)
- Compiz window decoration library
- libdecoration0-dev (2:0.8.16.1-10)
- Compiz window decoration library - development files
- libdee-1.0-4 (1.2.7+17.10.20170616-4)
- Model to synchronize multiple instances over DBus - shared lib
- libdee-dev (1.2.7+17.10.20170616-4)
- Model to synchronize multiple instances over DBus - dev files
- libdee-doc (1.2.7+17.10.20170616-4)
- Model to synchronize multiple instances over DBus - documentation
- libdefhash-perl (1.0.11-1)
- module to define things according to a specification, using hashes
- libdeflate-dev (1.2-1)
- headers for whole-buffer compression and decompression library
- libdeflate0 (1.2-1)
- fast, whole-buffer DEFLATE-based compression and decompression
- libdelimmatch-perl (1.06a-4)
- Perl module to match delimited substrings
- libdeps-perl (0.13-4)
- Dependency Extraction and Processing System
- libdeps-renderer
- virtueel pakket geboden door libdeps-renderer-dot-perl
- libdeps-renderer-dot-perl (0.13-4)
- DEPS renderer plugin using GraphViz/dot
- libderby-java (10.14.2.0-1)
- Apache Derby Database Engine and Embedded JDBC Driver
- libderbyclient-java (10.14.2.0-1)
- Apache Derby Client JDBC Driver
- libderiving-ocsigen-ocaml (0.7.1-1+b2 [armhf], 0.7.1-1+b1 [amd64, arm64, i386])
- deriving functions from type declarations in OCaml (runtime)
- libderiving-ocsigen-ocaml-dev (0.7.1-1+b2 [armhf], 0.7.1-1+b1 [amd64, arm64, i386])
- deriving functions from type declarations in OCaml (devt files)
- libderiving-ocsigen-ocaml-dev-v03o3
- virtueel pakket geboden door libderiving-ocsigen-ocaml-dev
- libderiving-ocsigen-ocaml-dev-yg3a7
- virtueel pakket geboden door libderiving-ocsigen-ocaml-dev
- libderiving-ocsigen-ocaml-v03o3
- virtueel pakket geboden door libderiving-ocsigen-ocaml
- libderiving-ocsigen-ocaml-yg3a7
- virtueel pakket geboden door libderiving-ocsigen-ocaml
- libdesktop-notify-perl (0.05-2)
- Perl module which communicates with the Desktop Notifications framework
- libdevel-argnames-perl (0.03-2)
- module providing access to subroutine variable names
- libdevel-autoflush-perl (0.06-1)
- module for setting autoflush from the command line
- libdevel-backtrace-perl (0.12-2)
- Object-oriented backtrace
- libdevel-bt-perl (0.06-4+b4)
- module providing automatic gdb backtraces on errors
- libdevel-callchecker-perl (0.008-1)
- custom op checking attached to subroutines
- libdevel-caller-ignorenamespaces-perl (1.1-1)
- module for hiding namespaces from caller()
- libdevel-caller-perl (2.06-2+b1)
- module providing enhanced caller() support
- libdevel-callparser-perl (0.002-4+b2)
- custom parsing attached to subroutines
- libdevel-callsite-perl (1.0.1-1+b1)
- Perl module to get caller return OP address and Perl interpreter context
- libdevel-calltrace-perl (1.2-2)
- Code tracer to follow function calls
- libdevel-checkbin-perl (0.04-1)
- module to check that a command is available
- libdevel-checkcompiler-perl (0.07-1)
- module for checking compiler availability
- libdevel-checklib-perl (1.13-1)
- module for checking the availability of a library
- libdevel-confess-perl (0.009004-1)
- module to include stack traces on all warnings and errors
- libdevel-cover-perl (1.31-1+b2)
- Perl tool for determining code coverage metrics
- libdevel-cycle-perl (1.12-1)
- Perl module to detect memory cycles in Perl objects
- libdevel-declare-parser-perl (0.020-1)
- higher level interface to Devel::Declare
- libdevel-declare-perl (0.006019-1+b1)
- module to add new syntax to Perl
- libdevel-dprof-perl (20110802.00-3+b6)
- deprecated Perl code profiler
- libdevel-dumpvar-perl (1.06-1)
- Perl module to dump Perl variables
- libdevel-gdb-perl (2.02-4)
- module to open and communicate with a gdb session
- libdevel-globaldestruction-perl (0.14-1)
- module to expose the flag that marks global destruction
- libdevel-hide-perl (0.0010-1)
- module for forcing the unavailability of specified Perl modules (for testing)
- libdevel-leak-perl (0.03-3+b6)
- utility for looking for perl objects that are not reclaimed
- libdevel-lexalias-perl (0.05-2+b1)
- Perl module that provides alias lexical variables
- libdevel-nytprof-perl (6.06+dfsg-1+b1)
- Perl statement and subroutine code profiler
- libdevel-overloadinfo-perl (0.005-1)
- module to introspect overloaded operators
- libdevel-overrideglobalrequire-perl (0.001-1)
- module to safely override CORE::GLOBAL::require
- libdevel-partialdump-perl (0.20-1)
- Perl module to dump subroutine parameters concisely
- libdevel-patchperl-perl (1.56-1)
- Perl module to patch perl source à la Devel::PPPort's buildperl.pl
- libdevel-pragma-perl (1.1.0-1+b3)
- helper functions for developers of lexical pragmas
- libdevel-profile-perl (1.05-3)
- Perl code profiler
- libdevel-ptkdb-perl (1.1091-3)
- Perl debugger using a Tk GUI
- libdevel-refactor-perl (0.05-2)
- Perl module for code refactoring
- libdevel-refcount-perl (0.10-2+b5)
- Perl module to obtain the reference count of a variable
- libdevel-repl-perl (1.003028-1)
- module for building a modern Perl interactive shell
- libdevel-simpletrace-perl (0.08-2)
- module to see where your code warns and dies using stack traces
- libdevel-size-perl (0.82-1+b1)
- Perl extension for finding the memory usage of Perl variables
- libdevel-stacktrace-ashtml-perl (0.15-1)
- module to display a stack trace in HTML
- libdevel-stacktrace-perl (2.0300-1)
- Perl module containing stack trace and related objects
- libdevel-stacktrace-withlexicals-perl (2.01-2)
- Perl module for stack traces with access to lexical variables
- libdevel-strictmode-perl (0.003-1)
- determine whether strict (but slow) tests should be enabled
- libdevel-symdump-perl (2.18-3)
- Perl module for inspecting perl's symbol table
- libdevel-trace-perl (0.12-1)
- Perl module to aid debugging by printing out each line before execution
- libdevhelp-3-6 (3.30.1-1)
- Library providing documentation browser functionality
- libdevhelp-dev (3.30.1-1)
- Library providing documentation browser functionality (development)
- libdevice-cdio-perl (2.0.0-1+b1)
- CD Input and control library
- libdevice-gsm-perl (1.61-1)
- Perl extension to interface GSM phones / modems
- libdevice-modem-perl (1.57-1)
- Perl class to interface generic modems (AT-compliant)
- libdevice-serialport-perl (1.04-3+b6)
- emulation of Win32::SerialPort for Linux/POSIX
- libdevice-usb-pcsensor-hidtemper-perl (2:0.04-1)
- Perl module to interface to the HidTEMPer thermometers
- libdevice-usb-perl (0.37-2+b1)
- Perl module to access USB devices using libusb
- libdevil-dev (1.7.8-10+b2)
- Cross-platform image loading and manipulation toolkit
- libdevil1c2 (1.7.8-10+b2)
- Cross-platform image loading and manipulation toolkit
- libdevmapper-dev (2:1.02.155-3)
- Linux Kernel Device Mapper header files
- libdevmapper-event1.02.1 (2:1.02.155-3)
- Linux Kernel Device Mapper event support library
- libdevmapper1.02.1 (2:1.02.155-3)
- Linux Kernel Device Mapper userspace library
- libdevmapper1.02.1-udeb (2:1.02.155-3)
- Linux Kernel Device Mapper userspace library
- libdewalls-dev (1.0.0+ds1-7)
- Parser for Walls cave survey data - development files
- libdewalls1 (1.0.0+ds1-7)
- Parser library for Walls cave survey data
- libdframeworkdbus-dev (1.1.0-2)
- Qt DBus interface library for Deepin software (development files)
- libdframeworkdbus2 (1.1.0-2)
- Qt DBus interface library for Deepin software (shared library)
- libdhash-dev (0.6.1-2)
- Development files for libdhash
- libdhash1 (0.6.1-2)
- Dynamic hash table
- libdiagnostic-msgs-dev (1.12.7-1)
- Messages relating to Robot OS diagnostic, C/C++ interface
- libdialog-dev
- virtueel pakket geboden door dialog
- libdico2 (2.7-2)
- RFC 2229 compliant modular dictionary server (shared library)
- libdicomscope-jni (3.6.0-20)
- OFFIS DICOM Viewer (JNI files)
- libdictzip-java (0.8.2-2)
- DictZip library for Java
- libdieharder-dev (3.31.1-7+b1)
- Random-number generator test library -- development package
- libdieharder3 (3.31.1-7+b1)
- Random-number generator test library
- libdiet-dev (1.5.0-1+b1)
- Compile-time indentation based template system -- development files
- libdiet0 (1.5.0-1+b1)
- Compile-time indentation based, XML structured template system
- libdiffutils-java (4.0-1)
- compute diffs and apply patches in Java
- libdigest-bcrypt-perl (1.209-2)
- Perl interface to the bcrypt digest algorithm
- libdigest-bubblebabble-perl (0.02-2)
- perl module to create bubble-babble fingerprints
- libdigest-crc-perl (0.22.2-1+b1)
- Perl module providing generic CRC functions
- libdigest-elf-perl (1.42-1+b4)
- Perl extension for ElfHash
- libdigest-hmac-perl (1.03+dfsg-2)
- module for creating standard message integrity checks
- libdigest-jhash-perl (0.10-1+b3)
- Perl extension for 32 bit Jenkins Hashing Algorithm
- libdigest-md2-perl (2.04+dfsg-1+b1)
- MD2 Message Digest for Perl
- libdigest-md4-perl (1.9+dfsg-2+b1)
- MD4 Message Digest for Perl
- libdigest-md5-file-perl (0.08-1)
- Perl extension for getting MD5 sums for files and urls
- libdigest-md5-perl (= 2.55)
- virtueel pakket geboden door perl
- libdigest-perl (= 1.17.01)
- virtueel pakket geboden door perl
- libdigest-perl-md5-perl (1.9-1)
- Perl Implementation of Rivest's MD5 algorithm
- libdigest-sha-perl (6.02-1+b1)
- Perl extension for SHA-1/224/256/384/512, SHA-512/224 and SHA-512/256
- libdigest-sha-perl (= 6.01)
- virtueel pakket geboden door perl
- libdigest-sha3-perl (1.04-1+b1)
- Perl extension for SHA-3
- libdigest-ssdeep-perl (0.9.3-1)
- Pure Perl ssdeep (CTPH) fuzzy hashing
- libdigest-whirlpool-perl (1.09-1.1+b1)
- A 512-bit, collision-resistant, one-way hash function
- libdigidoc-common (3.10.4+ds1-2)
- DigiDoc digital signature library common files
- libdigidoc-dev (3.10.4+ds1-2)
- DigiDoc digital signature development files
- libdigidoc-doc (3.10.4+ds1-2)
- DigiDoc digital signature library documentation
- libdigidoc-tools (3.10.4+ds1-2)
- DigiDoc digital signature library tools
- libdigidoc2 (3.10.4+ds1-2)
- DigiDoc digital signature library
- libdime-dev (0.20111205-2.1)
- DXF Import, Manipulation, and Export library - devel
- libdime-doc (0.20111205-2.1)
- DXF Import, Manipulation, and Export library - devel
- libdime-tools-perl (0.04-1)
- modules to parse and generate DIME messages
- libdime1 (0.20111205-2.1)
- DXF Import, Manipulation, and Export library
- libdiodon0 (1.8.0-1)
- GTK+ Clipboard manager (main library)
- libdir-purge-perl (1.02-3)
- Purge directories to a given number of files
- libdir-self-perl (0.11-2)
- module providing a __DIR__ constant for the directory of the source file
- libdirectfb-1.7-7 (1.7.7-9)
- direct frame buffer graphics (shared libraries)
- libdirectfb-bin (1.7.7-9)
- direct frame buffer graphics - binaries
- libdirectfb-dev (1.7.7-9)
- direct frame buffer graphics library - development files
- (1.7.7-9)
- direct frame buffer graphics - extra providers
- libdirectory-scratch-perl (0.18-1)
- easy-to-use self-cleaning scratch space
- libdirectory-scratch-structured-perl (0.04-2)
- module to create temporary files and directories from a structured description
- libdirgra-java (0.3-1)
- Java library providing a simple directed graph implementation
- libdirgra-java-doc (0.3-1)
- Documentation for dirgra
- libdisasm-dev (0.23-6+b1)
- disassembler library for x86 code (development files)
- libdisasm0 (0.23-6+b1)
- disassembler library for x86 code
- libdiscid-dev (0.6.2-3)
- library for creating MusicBrainz DiscIDs (development files)
- libdiscid-doc (0.6.2-3)
- library for creating MusicBrainz DiscIDs (documentation)
- libdiscid0 (0.6.2-3)
- library for creating MusicBrainz DiscIDs
- libdiscover-dev (2.1.2-8)
- hardware identification library development files
- libdiscover2 (2.1.2-8)
- hardware identification library
- libdislocker0-dev (0.7.1-4+b1)
- read/write encrypted BitLocker volumes (development files)
- libdislocker0.7 (0.7.1-4+b1)
- read/write encrypted BitLocker volumes (shared library)
- libdisorder-dev (0.0.2+git20130809.8062ee1-1)
- library for entropy measurement of byte streams (devel)
- libdisorder-tools (0.0.2+git20130809.8062ee1-1)
- entropy measurement of byte streams
- libdisorder0 (0.0.2+git20130809.8062ee1-1)
- library for entropy measurement of byte streams
- libdispatch-class-perl (0.02-2)
- dispatch on the type (class) of an argument
- libdisplaymigration-dev
- virtueel pakket geboden door libdisplaymigration0-dev
- libdisplaymigration0 (0.28-12)
- display migration support for GTK+ [runtime]
- libdisplaymigration0-dev (0.28-12)
- display migration support for GTK+ [development]
- libdisruptor-java (3.4.2-2)
- Disruptor - High Performance Inter-Thread Messaging Library for Java
- libdist-checkconflicts-perl (0.11-1)
- module for declaring version conflicts for a distribution
- libdist-inkt-doap-perl (0.110-2)
- various DOAP-related roles for Dist::Inkt
- libdist-inkt-perl (0.024-5)
- yet another distribution builder
- libdist-inkt-profile-tobyink-perl (0.024-1)
- Dist::Inkt profile for TOBYINK
- libdist-inkt-role-git-perl (0.001-1)
- git functions for Dist::Inkt
- libdist-inkt-role-hg-perl (0.004-1)
- Mercurial-related behaviour for Dist::Inkt
- libdist-inkt-role-release-perl (0.004-2)
- automatically upload a distribution to the CPAN
- libdist-inkt-role-test-kwalitee-perl (0.002-1)
- check a distribution's kwalitee at build time
- libdist-inkt-role-test-perl (0.002-1)
- run various tests on a distribution at build time
- libdist-metadata-perl (0.927-1)
- module for getting information about a perl module distribution
- libdist-zilla-app-command-authordebs-perl (0.003-1)
- List or install Dist::Zilla authors dependencies as Debian packages
- libdist-zilla-app-command-cover-perl (1.101001-2)
- Run Devel::Cover from within Dist::Zilla
- libdist-zilla-config-slicer-perl (0.201-1)
- Config::MVP::Slicer customized for Dist::Zilla
- libdist-zilla-localetextdomain-perl (0.91-3)
- Dist::Zilla plugin that adds support for managing l10n and i18n in Perl modules
- libdist-zilla-perl (6.012-1)
- Perl distribution builder
- libdist-zilla-plugin-autometaresources-perl (1.21-1)
- Dist::Zilla plugin to ease filling "resources" metadata
- libdist-zilla-plugin-bootstrap-lib-perl (1.001002-1)
- minimal boot-strapping for Dist::Zilla plugins
- libdist-zilla-plugin-bugtracker-perl (1.111080-1)
- Dist::Zilla plugin to automatically sets the bugtracker URL and mailto
- libdist-zilla-plugin-changelogfromgit-perl (0.017-1)
- Dist::Zilla plugin to build a Changes file from a project's git log
- libdist-zilla-plugin-checkbin-perl (0.008-1)
- Dist::Zilla plugin for checking presence of command at build time
- libdist-zilla-plugin-config-git-perl (0.92-1)
- Dist::Zilla Git plugin configuration settings module
- libdist-zilla-plugin-emailnotify-perl (0.004-1)
- dzil plugin to send an email on dist release
- libdist-zilla-plugin-git-perl (2.045-1)
- Dist::Zilla plugins to update your git repository after release
- libdist-zilla-plugin-githubmeta-perl (0.58-1)
- Automatically include GitHub meta information in META.yml
- libdist-zilla-plugin-installguide-perl (1.200012-1)
- Dist::Zilla plugin to generate installation instructions
- libdist-zilla-plugin-localemsgfmt-perl (1.203-3)
- Dist::Zilla plugin to compile PO files with Locale::Msgfmt
- libdist-zilla-plugin-makemaker-awesome-perl (0.47-1)
- Dist::Zilla plugin with more options than [MakeMaker]
- libdist-zilla-plugin-makemaker-fallback-perl (0.030-1)
- Dist::Zilla plugin that generates a Makefile.PL with deprecation warnings
- libdist-zilla-plugin-metaprovides-package-perl (2.004003-1)
- Dist::Zilla plugin to extract provides from traditional packages
- libdist-zilla-plugin-metaprovides-perl (2.002004-1)
- Dist::Zilla plugin generating and populating "provides" in your META.yml
- libdist-zilla-plugin-modulebuildtiny-fallback-perl (0.025-1)
- Dist::Zilla plugin that generates a Build.PL with fallback on Module::Build
- libdist-zilla-plugin-modulebuildtiny-perl (0.015-1)
- Dist::Zilla plugin to create a Build.PL that uses Module::Build::Tiny
- libdist-zilla-plugin-mojibaketests-perl (0.8-1)
- Dist::Zilla plugin that provides author tests for source encoding
- libdist-zilla-plugin-ourpkgversion-perl (1:0.14-1)
- alternative to DZP::PkgVersion with "our" and no line insertion
- libdist-zilla-plugin-podspellingtests-perl (2.007005-1)
- Backward-compatibility wrapper around Dist::Zilla::Plugin::Test::PodSpelling
- libdist-zilla-plugin-podweaver-perl (4.008-1)
- Dist::Zilla plugin to use Pod::Weaver to generate Pod documentation
- libdist-zilla-plugin-prepender-perl (2.004-1)
- Dist::Zilla plugin to prepend lines at the top of your perl files
- libdist-zilla-plugin-readmefrompod-perl (0.37-1)
- Dist::Zilla plugin to generate a README from Pod
- libdist-zilla-plugin-repository-perl (0.24-1)
- Dist::Zilla plugin to discovery repository URL from svn/svk/Git checkout
- libdist-zilla-plugin-requiresexternal-perl (1.008-1)
- Dist::Zilla plugin to declare dependency on command-line tools
- libdist-zilla-plugin-run-perl (0.048-1)
- Dist::Zilla plugin to execute external commands
- libdist-zilla-plugin-templatefiles-perl (0.03-1)
- plugin that enables the use of templates in a Dist::Zilla distribution
- libdist-zilla-plugin-test-compile-perl (2.058-1)
- common tests to check syntax of your modules, using only core modules
- libdist-zilla-plugin-test-eol-perl (0.19-2)
- Dist::Zilla plugin to make sure that correct line endings are used
- libdist-zilla-plugin-test-kwalitee-perl (2.12-1)
- Dist::Zilla plugin providing a release-time Kwalitee test
- libdist-zilla-plugin-test-notabs-perl (0.15-3)
- Dist::Zilla plugin to make sure hard tabs are not used
- libdist-zilla-plugin-test-perl-critic-perl (3.001-2)
- Dist::Zilla plugin to check your code with perlcritic
- libdist-zilla-plugin-test-podspelling-perl (2.007005-1)
- Author tests for POD spelling
- libdist-zilla-plugin-test-reportprereqs-perl (0.027-1)
- module to report on prerequisite versions during automated testing
- (0.026-2)
- Twitter when you release with Dist::Zilla
- libdist-zilla-plugins-cjm-perl (6.000-1)
- collection of CJM's plugins for Dist::Zilla
- libdist-zilla-role-bootstrap-perl (1.001004-1)
- Shared logic for Dist::Zilla-related bootstrap things
- libdist-zilla-role-modulemetadata-perl (0.006-1)
- role for plugins that use Module::Metadata
- libdist-zilla-role-pluginbundle-pluginremover-perl (0.104-1)
- Dist::Zilla plugin to add '-remove' functionality to a bundle
- libdist-zilla-util-configdumper-perl (0.003009-1)
- simplify extraction of plugin settings for Dist::Zilla plugin authors
- libdist-zilla-util-test-kentnl-perl (1.005014-1)
- Module to write tests for Dist::Zilla plugins
- libdistorm3-3 (3.4.1-3)
- powerful disassembler library for x86/AMD64 binary streams
- libdistorm3-dev (3.4.1-3)
- powerful disassembler library for x86/AMD64 binary streams (development files)
- libdistorm64-1 (1.7.30-1)
- ultimate disassembler library for x86 code
- libdistorm64-dev (1.7.30-1)
- ultimate disassembler library for x86 code - header files
- libdistro-info-perl (0.21+deb10u1) [security]
- information about distributions' releases (Perl module)
- libdivide-dev (1.0+dfsg1-2)
- compile-time library for optimizing integer division
- libdivsufsort-dev (2.0.1-4)
- libdivsufsort header files
- libdivsufsort3 (2.0.1-4)
- fast suffix array construction
- libdjconsole-data (0.1.3-3)
- Hercules DJ Console access library - data files
- libdjconsole-dev (0.1.3-3+b11)
- Hercules DJ Console access library - development headers
- libdjconsole0 (0.1.3-3+b11)
- Hercules DJ Console access library
- libdjvulibre-dev (3.5.27.1-10+deb10u1)
- Development files for the DjVu image format
- libdjvulibre-text (3.5.27.1-10+deb10u1)
- Linguistic support files for libdjvulibre
- libdjvulibre21 (3.5.27.1-10+deb10u1)
- Runtime support for the DjVu image format
- libdkim-dev (1:1.0.21-4+b1)
- cryptographically identify the sender of email
- libdkim1d (1:1.0.21-4+b1)
- cryptographically identify the sender of email
- libdkim1d-dbg (1:1.0.21-4+b1)
- DomainKeys Identified Mail (DKIM) library - debug symbols
- libdleyna-connector-dbus-1.0-1 (0.2.0-1+b11)
- DBus connector module for the dLeyna services
- libdleyna-connector-dbus-1.0-dbg (0.2.0-1+b11)
- DBus connector module for the dLeyna services (debug files)
- libdleyna-core-1.0-3 (0.4.0-1+b2)
- Utility functions for higher level dLeyna components
- libdleyna-core-1.0-dbg (0.4.0-1+b2)
- Utility functions for higher level dLeyna components (debug files)
- libdleyna-core-1.0-dev (0.4.0-1+b2)
- Utility functions for higher level dLeyna components (development files)
- libdlib-data (19.10-3)
- C++ toolkit for machine learning and computer vision - models
- libdlib-dev (19.10-3)
- C++ toolkit for machine learning and computer vision - development
- libdlib19 (19.10-3)
- C++ toolkit for machine learning and computer vision - library
- libdlm-dev (4.0.8-1)
- Distributed Lock Manager library development
- libdlm3 (4.0.8-1)
- Distributed Lock Manager library
- libdlmcontrol-dev (4.0.8-1)
- Distributed Lock Manager control library development
- libdlmcontrol3 (4.0.8-1)
- Distributed Lock Manager control library
- libdlrestrictions-dev (0.15.29)
- development files for the DLRestrictions library
- libdlrestrictions1 (0.15.29)
- library that implements library compatibility checks for dlopen()
- libdlt-dev (2.18.0-1+deb10u1) [security]
- Diagnostic Log and Trace (DLT) library (development)
- libdlt-examples (2.18.0-1+deb10u1) [security]
- Diagnostic Log and Trace (DLT) (documentation)
- libdlt2 (2.18.0-1+deb10u1) [security]
- Diagnostic Log and Trace (DLT) library
- libdmalloc-dev (5.5.2-14)
- debug memory allocation library (development files and doc)
- libdmalloc5 (5.5.2-14)
- debug memory allocation library
- libdmapsharing-3.0-2 (2.9.39-4)
- DMAP client and server library - runtime
- libdmapsharing-3.0-dev (2.9.39-4)
- DMAP client and server library - development
- libdmr-dev (3.2.20-1)
- Deepin movie player - widget library (development files)
- libdmr0.1 (3.2.20-1)
- Deepin movie player - widget library
- libdmraid-dev (1.0.0.rc16-8)
- Device-Mapper Software RAID support tool - header files
- libdmraid1.0.0.rc16 (1.0.0.rc16-8)
- Device-Mapper Software RAID support tool - shared library
- libdmraid1.0.0.rc16-udeb (1.0.0.rc16-8)
- Device-Mapper Software RAID support tool - shared library (udeb)
- libdmtx-dev (0.7.5-3)
- Data Matrix barcodes (development files and static libraries)
- libdmtx0b (0.7.5-3)
- Data Matrix barcodes (runtime library)
- libdmx-dev (1:1.1.4-1)
- X11 Distributed Multihead extension library (development headers)
- libdmx1 (1:1.1.4-1)
- X11 Distributed Multihead extension library
- libdmx1-dbg (1:1.1.4-1)
- X11 Distributed Multihead library (debug package)
- libdnet (2.65+b1)
- DECnet Libraries
- libdnet-dev (2.65+b1)
- DECnet development libraries & Headers
- libdns-export1104 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Exported DNS Shared Library
- libdns-export1104-udeb (1:9.11.5.P4+dfsg-5.1+deb10u7)
- Exported DNS library for debian-installer
- libdns-zoneparse-perl (1.10-1)
- Perl extension for parsing and manipulating DNS Zone Files
- libdns1104 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- DNS gedeelde bibliotheek door BIND gebruikt
- libdnsjava-java (2.1.8-2)
- Implementation of DNS in Java
- libdnssec6 (2.7.6-2)
- DNSSEC shared library from Knot
- libdnssecjava-java (1.1.3-3)
- DNSSEC validating stub resolver for Java
- libdnssecjava-java-doc (1.1.3-3)
- DNSSEC validating stub resolver for Java (documentation)
- libdockapp-dbg (1:0.7.2-1+b11)
- Window Maker Dock App support (debugging symbols)
- libdockapp-dev (1:0.7.2-1+b11)
- Window Maker Dock App support (development files)
- libdockapp3 (1:0.7.2-1+b11)
- Window Maker Dock App support (shared library)
- libdocopt-dev (0.6.2-2)
- development files for docopt.cpp
- libdocopt0 (0.6.2-2)
- C++11 port of docopt
- libdogleg-dev (0.14-1)
- Powell's dog-leg nonlinear least squares solver for sparse matrices
- libdogleg-doc (0.14-1)
- Powell's dog-leg nonlinear least squares solver for sparse matrices
- libdogleg2 (0.14-1)
- Powell's dog-leg nonlinear least squares solver for sparse matrices
- libdokujclient-java (3.9.0-1)
- Client library for Dokuwiki's xmlrpc interface
- libdokujclient-java-doc (3.9.0-1)
- Client for Dokuwiki's xmlrpc interface -- documentation
- libdolfin-dev (2018.1.0.post1-16)
- Shared links and header files for DOLFIN
- libdolfin2018.1 (2018.1.0.post1-16)
- Shared libraries for DOLFIN
- libdolphinvcs-dev (4:18.08.0-1)
- library to show version control in Dolphin - development files
- libdolphinvcs5 (4:18.08.0-1)
- library to show version control in Dolphin
- libdom4j-java (2.1.1-2)
- Flexible XML framework for Java
- libdom4j-java-doc (2.1.1-2)
- Flexible XML framework for Java (documentation)
- libdomain-publicsuffix-perl (0.14.1-3)
- module for parsing a domain to determine the public suffix
- libdontdie0 (1.2.0-2)
- library that sets the TCP keep-alive flag when applications call socket(2)
- libdoodle-dev (0.7.0-9+b2)
- Desktop Search Engine (development)
- libdoodle1 (0.7.0-9+b2)
- Desktop Search Engine (library)
- libdose3-ocaml (5.0.1-12)
- OCaml libraries for package dependencies (runtime files)
- libdose3-ocaml-dev (5.0.1-12)
- OCaml libraries for package dependencies (development files)
- libdose3-ocaml-dev-ir7f2
- virtueel pakket geboden door libdose3-ocaml-dev
- libdose3-ocaml-dev-w4yg7
- virtueel pakket geboden door libdose3-ocaml-dev
- libdose3-ocaml-ir7f2
- virtueel pakket geboden door libdose3-ocaml
- libdose3-ocaml-w4yg7
- virtueel pakket geboden door libdose3-ocaml
- libdotconf-dev (1.3-0.3)
- Configuration file parser library - development files
- libdotconf0 (1.3-0.3)
- Configuration file parser library - runtime files
- libdotconf0-dbg (1.3-0.3)
- Configuration file parser library - debugging symbols
- libdouble-conversion-dev (3.1.0-3)
- routines to convert IEEE floats to and from strings (development files)
- libdouble-conversion1 (3.1.0-3)
- routines to convert IEEE floats to and from strings
- libdoxia-core-java (1.7-2)
- Doxia content generation framework (core)
- libdoxia-java (1.7-2)
- Doxia content generation framework (modules)
- libdoxia-java-doc (1.7-2)
- Documentation for libdoxia-java
- libdoxia-sitetools-java (1.7.5-1)
- Extension package of the content generation framework Doxia
- libdoxia-sitetools-java-doc (1.7.5-1)
- Documentation for Doxia Sitetools
- libdoxygen-filter-perl (1.72-2)
- Methods for pre-filtering Perl code for Doxygen
- libdpdk-dev (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (basic development files)
- libdpkg-dev (1.19.8)
- Debian package management static library
- libdpkg-parse-perl (0.03-2)
- module to parse various dpkg files into Perl Objects
- libdpkg-perl (1.19.8)
- Dpkg perl modules
- libdpkg-ruby
- virtueel pakket geboden door ruby-debian
- libdpkg-ruby1.8
- virtueel pakket geboden door ruby-debian
- libdpkg-ruby1.9.1
- virtueel pakket geboden door ruby-debian
- libdpm-dev (1.10.0-2+b3)
- DPM development libraries and header files
- libdpm-perl (1.10.0-2+b3)
- Disk Pool Manager (DPM) perl bindings
- libdpm1 (1.10.0-2+b3)
- Disk Pool Manager (DPM) libraries
- libdr-sundown-perl (0.02-1+b7 [amd64, armhf, i386], 0.02-1+b6 [arm64])
- perl bindings for sundown
- libdr-tarantool-perl (0.45-2+b2)
- perl driver for Tarantool
- libdrilbo-common (0.2.11-2)
- Provides localization data for libdrilbo
- libdrilbo-dev (0.2.11-2)
- Imaging support library for the fizmo Z-Machine interpreter
- libdrm-amdgpu1 (2.4.97-1)
- Userspace interface to amdgpu-specific kernel DRM services -- runtime
- libdrm-common (2.4.97-1)
- Userspace interface to kernel DRM services -- common files
- libdrm-dev (2.4.97-1)
- Userspace interface to kernel DRM services -- development files
- libdrm-etnaviv1 (2.4.97-1)
- Userspace interface to etnaviv-specific kernel DRM services -- runtime
- libdrm-exynos1 (2.4.97-1)
- Userspace interface to exynos-specific kernel DRM services -- runtime
- libdrm-freedreno1 (2.4.97-1)
- Userspace interface to msm/kgsl kernel DRM services -- runtime
- libdrm-intel1 (2.4.97-1)
- Userspace interface to intel-specific kernel DRM services -- runtime
- libdrm-nouveau2 (2.4.97-1)
- Userspace interface to nouveau-specific kernel DRM services -- runtime
- libdrm-omap1 (2.4.97-1)
- Userspace interface to omap-specific kernel DRM services -- runtime
- libdrm-radeon1 (2.4.97-1)
- Userspace interface to radeon-specific kernel DRM services -- runtime
- libdrm-tegra0 (2.4.97-1)
- Userspace interface to tegra-specific kernel DRM services -- runtime
- libdrm2 (2.4.97-1)
- Userspace interface to kernel DRM services -- runtime
- libdrm2-udeb (2.4.97-1)
- Userspace interface to kernel DRM services -- runtime
- libdrmaa1.0-java (8.1.9+dfsg-9)
- Distributed resource management Application API library - Java bindings
- libdrmaa1.0-java-doc (8.1.9+dfsg-9)
- Distributed resource management Application API library - Java bindings docs
- libdrmaa1.0-ruby (8.1.9+dfsg-9)
- Distributed resource management Application API library - Ruby bindings
- libdropwizard-metrics-java (3.2.5-1)
- capture JVM- and application-level metrics for Java applications
- libdrumstick-dev (0.5.0-4+b2)
- Qt4/C++ wrapper for ALSA Sequencer - development files
- libdrumstick0 (0.5.0-4+b2)
- Qt4/C++ wrapper for ALSA Sequencer
- libdsdp-5.8gf (5.8-9.4)
- Software for Semidefinite Programming
- libdsdp-dev (5.8-9.4)
- Software for Semidefinite Programming
- libdsfmt-11213-1 (2.2.3+dfsg-4)
- dSFMT-11213 pseudorandom number generator (shared library)
- libdsfmt-1279-1 (2.2.3+dfsg-4)
- dSFMT-1279 pseudorandom number generator (shared library)
- libdsfmt-132049-1 (2.2.3+dfsg-4)
- dSFMT-132049 pseudorandom number generator (shared library)
- libdsfmt-19937-1 (2.2.3+dfsg-4)
- dSFMT-19937 pseudorandom number generator (shared library)
- libdsfmt-216091-1 (2.2.3+dfsg-4)
- dSFMT-216091 pseudorandom number generator (shared library)
- libdsfmt-2203-1 (2.2.3+dfsg-4)
- dSFMT-2203 pseudorandom number generator (shared library)
- libdsfmt-4253-1 (2.2.3+dfsg-4)
- dSFMT-4253 pseudorandom number generator (shared library)
- libdsfmt-44497-1 (2.2.3+dfsg-4)
- dSFMT-44497 pseudorandom number generator (shared library)
- libdsfmt-521-1 (2.2.3+dfsg-4)
- dSFMT-521 pseudorandom number generator (shared library)
- libdsfmt-86243-1 (2.2.3+dfsg-4)
- dSFMT-86243 pseudorandom number generator (shared library)
- libdsfmt-dev (2.2.3+dfsg-4)
- dSFMT pseudorandom number generator (development files)
- libdshconfig-dev
- virtueel pakket geboden door libdshconfig1-dev
- libdshconfig1 (0.20.13-1.2)
- configuration file parser library for dsh - runtime
- libdshconfig1-dev (0.20.13-1.2)
- configuration file parser library for dsh - development
- libdsk-utils (1.5.9+dfsg-1)
- library for accessing discs and disc image file (utilities)
- libdsk4 (1.5.9+dfsg-1)
- library for accessing discs and disc image file
- libdsk4-dev (1.5.9+dfsg-1)
- library for accessing discs and disc image file (development headers)
- libdsocksd0 (1.4.2+dfsg-6)
- SOCKS library preloaded in user applications
- libdssi-ocaml (0.1.1-2+b4 [armhf], 0.1.1-2+b3 [amd64, i386], 0.1.1-2+b2 [arm64])
- OCaml interface to DSSI plugins -- runtime files
- libdssi-ocaml-2vse4
- virtueel pakket geboden door libdssi-ocaml
- libdssi-ocaml-dev (0.1.1-2+b4 [armhf], 0.1.1-2+b3 [amd64, i386], 0.1.1-2+b2 [arm64])
- OCaml interface to DSSI plugins -- developpement files
- libdssi-ocaml-dev-2vse4
- virtueel pakket geboden door libdssi-ocaml-dev
- libdssi-ocaml-dev-ubak2
- virtueel pakket geboden door libdssi-ocaml-dev
- libdssi-ocaml-ubak2
- virtueel pakket geboden door libdssi-ocaml
- libdssialsacompat-dev (1.0.8a-1)
- DSSI ALSA compatibility library (development files)
- libdssialsacompat0 (1.0.8a-1)
- DSSI ALSA compatibility library for non-Linux platforms
- libdstyx
- virtueel pakket geboden door libstyx2
- libdtd-parser-java (1.2~svn20110404-1)
- Java library for parsing XML DTDs
- libdtd-parser-java-doc (1.2~svn20110404-1)
- Java library for parsing XML DTDs -- documentation
- libdtdinst-java (20151127+dfsg-3)
- XML DTD to XML instance format converter - Java library
- libdtkcore-bin (2.0.9.17-1)
- Deepin Tool Kit Core library (utilities)
- libdtkcore-dev (2.0.9.17-1)
- Deepin Tool Kit Core library (development files)
- libdtkcore2 (2.0.9.17-1)
- Deepin Tool Kit Core library
- libdtkwidget-dev (2.0.9.17-1)
- Deepin Tool Kit Widget library (development files)
- libdtkwidget2 (2.0.9.17-1)
- Deepin Tool Kit Widget library
- libdtkwm-dev (2.0.9-3)
- Deepin graphical user interface library (development files)
- libdtkwm2 (2.0.9-3)
- Deepin graphical user interface library
- libdtl-dev (1.19-1)
- diff template library written in C++
- libdtools-ocaml-dev (0.4.1-1)
- library for writing daemons in OCaml
- libdtools-ocaml-dev-2zd95
- virtueel pakket geboden door libdtools-ocaml-dev
- libdtools-ocaml-dev-dw0a6
- virtueel pakket geboden door libdtools-ocaml-dev
- libdts-dev (0.0.6-1)
- backward compatibility place-holder for libdca
- libdublincore-record-perl (0.03-3)
- Container for Dublin Core metadata elements
- libdublintraceroute-dev (0.4.2-2)
- NAT-aware multipath tracerouting tool (dev)
- libdublintraceroute0 (0.4.2-2)
- NAT-aware multipath tracerouting tool (library)
- libdujour-version-check-clojure (0.2.2-1)
- up-to-date version checking for Clojure
- libduktape203 (2.3.0-1+deb10u1) [security]
- embeddable Javascript engine, library
- libdumb1 (1:0.9.3-6+b3)
- dynamic universal music bibliotheque
- libdumb1-dev (1:0.9.3-6+b3)
- development files for libdumb1
- libdumbnet-dev (1.12-8)
- dumb, portable networking library -- development files
- libdumbnet1 (1.12-8)
- dumb, portable networking library -- shared library
- libdumbster-java (1.6+debian-4)
- very simple fake SMTP Server for testing purposes
- libdune-common-2.6.0
- virtueel pakket geboden door libdune-common-dev
- libdune-common-dev (2.6.0-3)
- toolbox for solving PDEs -- basic classes (development files)
- libdune-common-doc (2.6.0-3)
- toolbox for solving PDEs -- basic classes (documentation)
- libdune-functions-dev (2.6~20180228-1)
- toolbox for solving PDEs -- interface for functions (development files)
- libdune-functions-doc (2.6~20180228-1)
- toolbox for solving PDEs -- interface for functions (documentation)
- libdune-geometry-2.6.0
- virtueel pakket geboden door libdune-geometry-dev
- libdune-geometry-dev (2.6.0-1)
- toolbox for solving PDEs -- geometry classes (development files)
- libdune-geometry-doc (2.6.0-1)
- toolbox for solving PDEs -- geometry classes (documentation)
- libdune-grid-2.6.0
- virtueel pakket geboden door libdune-grid-dev
- libdune-grid-dev (2.6.0-3)
- toolbox for solving PDEs -- grid interface (development files)
- libdune-grid-doc (2.6.0-3)
- toolbox for solving PDEs -- grid interface (documentation)
- libdune-grid-glue-2.6.20180130
- virtueel pakket geboden door libdune-grid-glue-dev
- libdune-grid-glue-dev (2.6~20180130-1+b11)
- toolbox for solving PDEs -- compute couplings between grids (development files)
- libdune-grid-glue-doc (2.6~20180130-1)
- toolbox for solving PDEs -- compute couplings between grids (documentation)
- libdune-istl-dev (2.6.0-2)
- toolbox for solving PDEs -- iterative solvers (development files)
- libdune-istl-doc (2.6.0-2)
- toolbox for solving PDEs -- iterative solvers (documentation)
- libdune-localfunctions-dev (2.6.0-1)
- toolbox for solving PDEs -- local basis (development files)
- libdune-localfunctions-doc (2.6.0-1)
- toolbox for solving PDEs -- local basis (documentation)
- libdune-ocaml-dev (1.6.2-2)
- composable build system for OCaml projects (library)
- libdune-pdelab-2.6.20180302
- virtueel pakket geboden door libdune-pdelab-dev
- libdune-pdelab-dev (2.6~20180302-1+b11)
- toolbox for solving PDEs -- discretization module (development files)
- libdune-pdelab-doc (2.6~20180302-1)
- toolbox for solving PDEs -- discretization module (documentation)
- libdune-typetree-dev (2.6~20180215-1)
- toolbox for solving PDEs -- typed tree template library (development files)
- libdune-typetree-doc (2.6~20180215-1)
- toolbox for solving PDEs -- typed tree template library (documentation)
- libdune-uggrid-2.6.0
- virtueel pakket geboden door libdune-uggrid-dev
- libdune-uggrid-dev (2.6.0-1+b1)
- software framework for finite element methods (development files)
- libduo-dev (1.9.21-1.1)
- Duo Security development libraries and header files
- libduo3 (1.9.21-1.1)
- Duo Security library
- libduppy-ocaml (0.8.0-1)
- Advanced scheduler for OCaml (Runtime library)
- libduppy-ocaml-dev (0.8.0-1)
- Advanced scheduler for OCaml (Development package)
- libduppy-ocaml-dev-utak0
- virtueel pakket geboden door libduppy-ocaml-dev
- libduppy-ocaml-dev-xmh13
- virtueel pakket geboden door libduppy-ocaml-dev
- libduppy-ocaml-utak0
- virtueel pakket geboden door libduppy-ocaml
- libduppy-ocaml-xmh13
- virtueel pakket geboden door libduppy-ocaml
- libdv-bin (1.0.0-12)
- software library for DV format digital video (sample apps)
- libdv-dev
- virtueel pakket geboden door libdv4-dev
- libdv4 (1.0.0-12)
- software library for DV format digital video (runtime lib)
- libdv4-dev (1.0.0-12)
- software library for DV format digital video (devel files)
- libdvbcsa-dev (1.1.0-2+b12)
- free implementation of the DVB/CSA (development files)
- libdvbcsa1 (1.1.0-2+b12)
- free implementation of the DVB/CSA
- libdvbpsi-dev (1.3.2-1)
- development files for libdvbpsi
- libdvbpsi10 (1.3.2-1)
- library for MPEG TS and DVB PSI tables decoding and generating
- libdvbv5-0 (1.16.3-3)
- Libraries to control, scan and zap on Digital TV channels
- libdvbv5-dev (1.16.3-3)
- Development files for libdvbv5
- libdvbv5-doc (1.16.3-3)
- Doxygen generated documentation for libdvbv5
- libdvd-pkg (1.4.2-1-1) [contrib]
- DVD-Video playing library - installer
- libdvdcss-dev
- virtueel pakket geboden door libdvd-pkg
- libdvdcss2
- virtueel pakket geboden door libdvd-pkg
- libdvdnav-dev (6.0.0-1)
- DVD navigation library (development)
- libdvdnav-doc (6.0.0-1)
- DVD navigation library (documentation)
- libdvdnav4 (6.0.0-1)
- DVD navigation library
- libdvdread-dev (6.0.1-1)
- library for reading DVDs (development)
- libdvdread4 (6.0.1-1)
- library for reading DVDs
- libdw-dev (0.176-1.1+deb10u1) [security]
- libdw1 development libraries and header files
- libdw1 (0.176-1.1+deb10u1) [security]
- library that provides access to the DWARF debug information
- libdwarf++0 (0.3-1)
- C++11 DWARF parser
- libdwarf-dev (20180809-1)
- library to consume and produce DWARF debug information
- libdwarf-freebsd-3 (10.3~svn296373-10)
- library to consume and produce DWARF debug information (FreeBSD version)
- libdwarf-freebsd-dev (10.3~svn296373-10)
- Development files for libdwarf (FreeBSD version)
- libdwarf1 (20180809-1)
- library to consume and produce DWARF debug information (runtime)
- libdx4 (1:4.4.4-12)
- OpenDX (IBM Visualization Data Explorer) - shared libraries
- libdx4-dev (1:4.4.4-12)
- OpenDX (IBM Visualization Data Explorer) - development files
- libdxflib-dev (3.17.0-3)
- Development files for the dxflib library
- libdxflib3 (3.17.0-3)
- Library for reading and writing DXF files
- libdynalang-java (0.4-3)
- JVM Dynamic Languages Metaobject Protocol
- libdynaloader-functions-perl (0.003-1)
- deconstructed dynamic C library loading
- libdynamic-reconfigure-config-init-mutex-dev (1.6.0-1+b1)
- Robot OS dynamic-reconfigure library - development files
- libdynamic-reconfigure-config-init-mutex0d (1.6.0-1+b1)
- Robot OS dynamic-reconfigure library
- libdynamicedt3d-dev (1.8.1+dfsg-1)
- dynamicEDT3D library development files
- libdynamicedt3d1.8 (1.8.1+dfsg-1)
- Incrementally updatable Euclidean distance transform library
- libdynamite-dev (0.1.1-2+b2)
- PKWARE Data Compression decompressor library - development files
- libdynamite0 (0.1.1-2+b2)
- PKWARE Data Compression decompressor library
- libdynapath-clojure (1.0.0-3)
- Clojure protocol and util functions for class loaders
- libe-book-0.1-1 (0.1.3-1+b2)
- library for reading and converting various e-book formats
- libe-book-dev (0.1.3-1+b2)
- library for reading and converting various e-book formats
- libear (2.3.13-1)
- generate compilation database for Clang tooling (wrapper library)
- libeasy-format-ocaml (1.2.0-1+b2 [armhf], 1.2.0-1+b1 [amd64, arm64, i386])
- easy(ier) pretty printing for OCaml - plugins
- libeasy-format-ocaml-dev (1.2.0-1+b2 [armhf], 1.2.0-1+b1 [amd64, arm64, i386])
- easy(ier) pretty printing for OCaml - development files
- libeasy-format-ocaml-dev-ol4e7
- virtueel pakket geboden door libeasy-format-ocaml-dev
- libeasy-format-ocaml-dev-u4qb1
- virtueel pakket geboden door libeasy-format-ocaml-dev
- libeasy-format-ocaml-ol4e7
- virtueel pakket geboden door libeasy-format-ocaml
- libeasy-format-ocaml-u4qb1
- virtueel pakket geboden door libeasy-format-ocaml
- libeasyconf-java (0.9.5-6)
- library to access configuration of software components
- libeasyconf-java-doc (0.9.5-6)
- library to access configuration of software components - Javadoc
- libeasyloggingpp-dev (9.96.7+dfsg-1)
- single-header logging library for C++ applications
- libeasymock-java (4.0.2-1)
- Java library to generate Mock Objects for given interfaces
- libeasymock-java-doc (4.0.2-1)
- Java library to generate Mock Objects for given interfaces (documentation)
- libeatmydata1 (105-7)
- Library and utilities to disable fsync and friends - shared library
- libeb-dev
- virtueel pakket geboden door libeb16-dev
- libeb16 (4.4.3-12)
- C library for accessing electronic books (runtime files)
- libeb16-dev (4.4.3-12)
- C library for accessing electronic books (development files)
- libebackend-1.2-10 (3.30.5-1+deb10u2)
- Utility library for evolution data servers
- libebackend1.2-dev (3.30.5-1+deb10u2)
- Utility library for evolution data servers (development files)
- libebml-dev (1.3.6-2)
- access library for the EBML format (development files)
- libebml4v5 (1.3.6-2)
- access library for the EBML format (shared library)
- libebook-1.2-19 (3.30.5-1+deb10u2)
- Client-bibliotheek voor het adresboek van evolution
- libebook-contacts-1.2-2 (3.30.5-1+deb10u2)
- Client library for evolution contacts books
- libebook-contacts1.2-dev (3.30.5-1+deb10u2)
- Client library for evolution contacts books (development files)
- libebook-tools-perl (0.5.4-1.3)
- E-Book manipulation tool and Perl libraries
- libebook1.2-dev (3.30.5-1+deb10u2)
- Client library for evolution address books (development files)
- libebur128-1 (1.2.4-2)
- implementation of the EBU R128 loudness standard
- libebur128-dev (1.2.4-2)
- implementation of the EBU R128 loudness standard (development files)
- libec-dev (20180815-2)
- Library for modular symbols and elliptic curves over Q (development)
- libec4 (20180815-2)
- Library for modular symbols and elliptic curves over Q
- libecal-1.2-19 (3.30.5-1+deb10u2)
- Client-bibliotheek voor de agenda van evolution
- libecal1.2-dev (3.30.5-1+deb10u2)
- Client library for evolution calendars (development files)
- libecap3 (1.0.1-3.2)
- eCAP library
- libecap3-dev (1.0.1-3.2)
- eCAP development libraries
- libecasoundc-dev (2.9.1-7+b3)
- multitrack-capable audio recorder and effect processor (C dev library)
- libecasoundc1v5 (2.9.1-7+b3)
- multitrack-capable audio recorder and effect processor (C library)
- libeccodes-data (2.12.0-1)
- GRIB and BUFR enecoding/encoding software library - data
- libeccodes-dev (2.12.0-1)
- GRIB and BUFR decoding/encoding software library (development)
- libeccodes-doc (2.12.0-1)
- GRIB decoding/encoding software (documentation)
- libeccodes-tools (2.12.0-1)
- GRIB decoding/encoding software (utilities)
- libeccodes0 (2.12.0-1)
- GRIB and BUFR enecoding/encoding software library
- libecflow-view0d (4.12.0-1)
- Shared library for ecFlow worklow tools
- libecholib-dev (17.12.2-4)
- EchoLib library for SvxLink (development files)
- libecholib1.3 (17.12.2-4)
- EchoLib library for SvxLink
- libecj-java (3.16.0-1)
- Eclipse Java compiler (library)
- libeclipse-compare-core-java (3.6.300+eclipse4.10-1)
- Eclipse Core Compare Support
- libeclipse-compare-java (3.7.400+eclipse4.10-1)
- Eclipse Compare Support
- libeclipse-core-commands-java (3.9.200+eclipse4.10-1)
- Eclipse Commands
- libeclipse-core-contenttype-java (3.7.200+eclipse4.10-1)
- Eclipse Content Mechanism
- libeclipse-core-databinding-beans-java (1.4.300+eclipse4.10-1)
- Eclipse JFace Data Binding for JavaBeans
- libeclipse-core-databinding-java (1.7.100+eclipse4.10-1)
- Eclipse JFace Data Binding
- libeclipse-core-databinding-observable-java (1.6.300+eclipse4.10-1)
- Eclipse JFace Data Binding Observables
- libeclipse-core-databinding-property-java (1.6.300+eclipse4.10-1)
- Eclipse JFace Data Binding Properties
- libeclipse-core-expressions-java (3.6.200+eclipse4.10-1)
- Eclipse Expression Language
- libeclipse-core-externaltools-java (1.1.200+eclipse4.10-1)
- Eclipse External Tools Headless Support
- libeclipse-core-filebuffers-java (3.6.400+eclipse4.10-1)
- Eclipse File Buffers
- libeclipse-core-filesystem-java (1.7.200+eclipse4.10-1)
- Eclipse Core File Systems
- libeclipse-core-jobs-java (3.10.200+eclipse4.10-1)
- Eclipse Core Jobs
- libeclipse-core-net-java (1.3.400+eclipse4.10-1)
- Eclipse Internet Connection Management
- libeclipse-core-resources-java (3.13.200+eclipse4.10-1)
- Eclipse Core Resource Management
- libeclipse-core-runtime-java (3.15.100+eclipse4.10-1)
- Eclipse Core Runtime
- libeclipse-core-variables-java (3.4.300+eclipse4.10-1)
- Eclipse Core Variables
- libeclipse-debug-core-java (3.13.100+eclipse4.10-1)
- Eclipse Debug Core
- libeclipse-debug-ui-java (3.13.200+eclipse4.10-1)
- Eclipse Debug UI
- libeclipse-e4-core-commands-java (0.12.400+eclipse4.10-1)
- Eclipse e4 core commands
- libeclipse-e4-core-contexts-java (1.7.100+eclipse4.10-1)
- Eclipse Contexts
- libeclipse-e4-core-di-annotations-java (1.6.200+eclipse4.10-1)
- Eclipse Dependency Injection Annotations
- libeclipse-e4-core-di-extensions-java (0.15.200+eclipse4.10-1)
- Eclipse Dependency Injection Extensions
- libeclipse-e4-core-di-extensions-supplier-java (0.15.200+eclipse4.10-1)
- Eclipse Dependency Injection Extensions Supplier
- libeclipse-e4-core-di-java (1.7.100+eclipse4.10-1)
- Eclipse Dependency Injection
- libeclipse-e4-core-services-java (2.1.300+eclipse4.10-1)
- Eclipse Application Services
- libeclipse-e4-emf-xpath-java (0.2.200+eclipse4.10-1)
- Eclipse EMF XPath
- libeclipse-e4-ui-bindings-java (0.12.300+eclipse4.10-1)
- Eclipse Bindings Support
- libeclipse-e4-ui-css-core-java (0.12.400+eclipse4.10-1)
- Eclipse CSS Core Support
- libeclipse-e4-ui-css-swt-java (0.13.300+eclipse4.10-1)
- Eclipse CSS SWT Support
- libeclipse-e4-ui-css-swt-theme-java (0.12.100+eclipse4.10-1)
- Eclipse CSS SWT Theme Support
- libeclipse-e4-ui-di-java (1.2.400+eclipse4.10-1)
- Eclipse UI Dependency Injection
- libeclipse-e4-ui-dialogs-java (1.1.300+eclipse4.10-1)
- Eclipse e4 dialogs
- libeclipse-e4-ui-model-workbench-java (2.1.200+eclipse4.10-1)
- Eclipse Workbench Model
- libeclipse-e4-ui-progress-java (0.2.100+eclipse4.10-1)
- Eclipse e4 Progress View
- libeclipse-e4-ui-services-java (1.3.300+eclipse4.10-1)
- Eclipse UI Application Services
- libeclipse-e4-ui-swt-gtk-java (1.0.500+eclipse4.10-1)
- Eclipse UI GTK Enhancements
- libeclipse-e4-ui-widgets-java (1.2.300+eclipse4.10-1)
- Eclipse UI Custom widgets
- libeclipse-e4-ui-workbench-addons-swt-java (1.3.300+eclipse4.10-1)
- Eclipse e4 Workbench Add-ons
- libeclipse-e4-ui-workbench-java (1.8.0+eclipse4.10-1)
- Eclipse e4 Workbench
- libeclipse-e4-ui-workbench-renderers-swt-java (0.14.400+eclipse4.10-1)
- Eclipse e4 Workbench SWT Renderer
- libeclipse-e4-ui-workbench-swt-java (0.14.400+eclipse4.10-1)
- Eclipse e4 Workbench SWT
- libeclipse-e4-ui-workbench3-java (0.14.200+eclipse4.10-1)
- Bundle for Workbench APIs available in e4
- libeclipse-emf-common-java (2.15.0+eclipse2.16.0-1)
- Eclipse Modeling Framework (EMF) Common
- libeclipse-emf-ecore-java (2.16.0+eclipse2.16.0-1)
- Eclipse Modeling Framework (EMF) Ecore
- libeclipse-emf-ecore-xmi-java (2.15.0+eclipse2.16.0-1)
- Eclipse Modeling Framework (EMF) XML/XMI Persistence
- libeclipse-help-java (3.8.200+eclipse4.10-1)
- Eclipse Help System Core
- libeclipse-jdt-annotation-java (2.2.200+eclipse4.10-1)
- Eclipse JDT Annotations for Enhanced Null Analysis
- libeclipse-jdt-apt-core-java (3.6.200+eclipse4.10-1)
- Eclipse Java Annotation Processing Core
- libeclipse-jdt-apt-pluggable-core-java (1.2.300+eclipse4.10-1)
- Eclipse Java Compiler Apt IDE
- libeclipse-jdt-astview-java (1.3.300+eclipse4.10-1)
- Eclipse AST View Plug-in
- libeclipse-jdt-compiler-apt-java (1.3.400+eclipse4.10-1)
- Eclipse Java Compiler Apt
- libeclipse-jdt-compiler-tool-java (1.2.400+eclipse4.10-1)
- Eclipse Java Compiler Tool Support
- libeclipse-jdt-core-java (3.16.0+eclipse4.10-1)
- Eclipse Java Development Tools Core
- libeclipse-jdt-core-manipulation-java (1.11.0+eclipse4.10-1)
- Eclipse Java Code Manipulation Functionality
- libeclipse-jdt-debug-java (3.12.100+eclipse4.10-2)
- Eclipse JDI Debug Model
- libeclipse-jdt-debug-ui-java (3.10.100+eclipse4.10-2)
- Eclipse JDI Debug UI
- libeclipse-jdt-junit-core-java (3.10.200+eclipse4.10-1)
- Eclipse Java Development Tools JUnit core support
- libeclipse-jdt-junit-runtime-java (3.4.900+eclipse4.10-1)
- Eclipse Java Development Tools JUnit Runtime Support
- libeclipse-jdt-junit4-runtime-java (1.1.900+eclipse4.10-1)
- Eclipse Java Development Tools JUnit4 Runtime Support
- libeclipse-jdt-launching-java (3.12.0+eclipse4.10-2)
- Eclipse Java Development Tools Launching Support
- libeclipse-jdt-ui-java (3.16.0+eclipse4.10-1)
- Eclipse Java Development Tools UI
- libeclipse-jface-databinding-java (1.8.400+eclipse4.10-1)
- Eclipse JFace Data Binding for SWT and JFace
- libeclipse-jface-java (3.15.0+eclipse4.10-1)
- Eclipse JFace
- libeclipse-jface-text-java (3.15.0+eclipse4.10-1)
- Eclipse JFace Text
- libeclipse-jsch-core-java (1.3.300+eclipse4.10-1)
- Eclipse JSch Core
- libeclipse-ltk-core-refactoring-java (3.9.200+eclipse4.10-1)
- Eclipse Refactoring Core
- libeclipse-ltk-ui-refactoring-java (3.9.200+eclipse4.10-1)
- Eclipse Refactoring UI
- libeclipse-osgi-compatibility-state-java (1.1.300+eclipse4.10-1)
- Equinox State and Resolver Compatibility Fragment
- libeclipse-osgi-java (3.13.200+eclipse4.10-1)
- Eclipse OSGi System Bundle
- libeclipse-osgi-services-java (3.7.100+eclipse4.10-1)
- Eclipse OSGi Service Interfaces and Classes
- libeclipse-osgi-util-java (3.5.100+eclipse4.10-1)
- Eclipse OSGi Utility Classes
- libeclipse-search-java (3.11.400+eclipse4.10-1)
- Eclipse Search Support
- libeclipse-team-core-java (3.8.400+eclipse4.10-1)
- Eclipse Team Support Core
- libeclipse-team-genericeditor-diff-extension-java (1.0.200+eclipse4.10-1)
- Eclipse Diff Extension for Generic Editor
- libeclipse-team-ui-java (3.8.300+eclipse4.10-1)
- Eclipse Team Support UI
- libeclipse-text-java (3.8.0+eclipse4.10-1)
- Eclipse Text
- libeclipse-ui-browser-java (3.6.300+eclipse4.10-1)
- Eclipse Browser Support
- libeclipse-ui-console-java (3.8.300+eclipse4.10-1)
- Eclipse Console
- libeclipse-ui-editors-java (3.11.300+eclipse4.10-1)
- Eclipse Default Text Editor
- libeclipse-ui-externaltools-java (3.4.200+eclipse4.10-1)
- Eclipse External Tools
- libeclipse-ui-forms-java (3.7.400+eclipse4.10-1)
- Eclipse UI forms
- libeclipse-ui-genericeditor-java (1.1.200+eclipse4.10-1)
- EEclipse Generic and Extensible Text Editor
- libeclipse-ui-ide-application-java (1.3.100+eclipse4.10-1)
- Eclipse IDE UI Application
- libeclipse-ui-ide-java (3.14.200+eclipse4.10-1)
- Eclipse IDE UI
- libeclipse-ui-java (3.111.0+eclipse4.10-1)
- Eclipse UI
- libeclipse-ui-monitoring-java (1.1.300+eclipse4.10-1)
- Eclipse UI Responsiveness Monitoring
- libeclipse-ui-navigator-java (3.7.300+eclipse4.10-1)
- Eclipse Common Navigator View
- libeclipse-ui-views-java (3.9.200+eclipse4.10-1)
- Eclipse Views
- libeclipse-ui-views-properties-tabbed-java (3.8.300+eclipse4.10-1)
- Eclipse Tabbed Properties View
- libeclipse-ui-workbench-java (3.112.100+eclipse4.10-1)
- Eclipse Workbench
- libeclipse-ui-workbench-texteditor-java (3.11.200+eclipse4.10-1)
- Eclipse Text Editor Framework
- libeclipse-urischeme-java (1.0.100+eclipse4.10-1)
- Eclipse URI Scheme Handling
- libeclipselink-java (2.6.6-1)
- Eclipse Persistence Services Project
- libeclipselink-java-doc (2.6.6-1)
- Documentation for libeclipselink-java
- libecm-dev (7.0.4+ds-5)
- dummy package
- libecm1 (7.0.4+ds-5)
- factor integers using the Elliptic Curve Method -- lib
- libecm1-dev (7.0.4+ds-5)
- factor integers using the Elliptic Curve Method -- libdev
- libecm1-dev-common (7.0.4+ds-5)
- factor integers using the Elliptic Curve Method -- header
- libecore-audio1 (1.21.1-5)
- Ecore functions for audio playback and recording
- libecore-avahi1 (1.21.1-5)
- Ecore functions for Avahi mDNS/DNS-SD
- libecore-bin (1.21.1-5)
- EFL core abstraction layer - utilities
- libecore-con1 (1.21.1-5)
- Ecore functions for network connections
- libecore-dev (1.21.1-5)
- transitional EFL development package
- libecore-drm2-1 (1.21.1-5)
- Ecore functions for DRM
- libecore-evas1 (1.21.1-5)
- Ecore functions for the Evas wrapper
- libecore-fb1 (1.21.1-5)
- Ecore functions for frame buffer displays
- libecore-file1 (1.21.1-5)
- Ecore functions for files and directories
- libecore-imf1 (1.21.1-5)
- Ecore functions for Input Method Framework
- libecore-input1 (1.21.1-5)
- Ecore functions for input devices
- libecore-ipc1 (1.21.1-5)
- Ecore functions for inter-process communication
- libecore-wl2-1 (1.21.1-5)
- Ecore functions for Wayland displays
- libecore-x1 (1.21.1-5)
- Ecore functions for X Window System displays
- libecore1 (1.21.1-5)
- EFL core abstraction layer
- libecpg-compat3 (11.22-0+deb10u2) [security]
- older version of run-time library for ECPG programs
- libecpg-dev (11.22-0+deb10u2) [security]
- development files for ECPG (Embedded PostgreSQL for C)
- libecpg6 (11.22-0+deb10u2) [security]
- run-time library for ECPG programs
- libector1 (1.21.1-5)
- EFL vector graphics capabilities
- libedac
- virtueel pakket geboden door libedac1-dbg, libedac1
- libedac-dev (0.18-1+b1)
- report kernel-detected PCI and ECC RAM errors
- libedac1 (0.18-1+b1)
- report kernel-detected PCI and ECC RAM errors
- libedac1-dbg (0.18-1+b1)
- report kernel-detected PCI and ECC RAM errors
- libedata-book-1.2-25 (3.30.5-1+deb10u2)
- Backend-bibliotheek voor het adresboek van evolution
- libedata-book1.2-dev (3.30.5-1+deb10u2)
- Backend library for evolution address books (development files)
- libedata-cal-1.2-29 (3.30.5-1+deb10u2)
- Backend-bibliotheek voor de agenda van evolution
- libedata-cal1.2-dev (3.30.5-1+deb10u2)
- Backend library for evolution calendars (development files)
- libedataserver-1.2-23 (3.30.5-1+deb10u2)
- Bibliotheek voor evolution-dataservers
- libedataserver1.2-dev (3.30.5-1+deb10u2)
- Utility library for evolution data servers (development files)
- libedataserverui-1.2-2 (3.30.5-1+deb10u2)
- Utility library for evolution data servers
- libedataserverui1.2-dev (3.30.5-1+deb10u2)
- Utility library for evolution data servers (development files)
- libedf-dev (1.15-1)
- European Data Format library - devel
- libedf1 (1.15-1)
- European Data Format library - libs
- libedit-dev (3.1-20181209-1)
- BSD editline and history libraries (development files)
- libedit2 (3.1-20181209-1)
- BSD editline and history libraries
- libeditline-dev (1.12-6.1)
- development files for libeditline
- libeditline0 (1.12-6.1)
- line editing library similar to readline
- libeditorconfig-dev (0.12.1-1.1)
- coding style indenter across editors - development files
- libeditorconfig0 (0.12.1-1.1)
- coding style indenter across editors - library
- libeditorconfig0-dbg (0.12.1-1.1)
- coding style indenter across editors - debugging symbols
- libedje-bin (1.21.1-5)
- EFL layout and animation tools - utilities
- libedje-dev (1.21.1-5)
- transitional EFL development package
- libedje1 (1.21.1-5)
- EFL layout and animation tools
- libedlib-dev (1.2.4-1)
- library for sequence alignment using edit distance (devel)
- libedlib0 (1.2.4-1)
- library for sequence alignment using edit distance
- libee-dev (0.4.1-2)
- Event expression library inspired by CEE
- libee0 (0.4.1-2)
- Event expression library inspired by CEE
- libeegdev-dev (0.2-4)
- Biosignal acquisition device library (Development files)
- libeegdev0 (0.2-4)
- Biosignal acquisition device library
- libeet-bin (1.21.1-5)
- EFL file chunk reading/writing - utilities
- libeet-dev (1.21.1-5)
- transitional EFL development package
- libeet1 (1.21.1-5)
- EFL file chunk reading/writing
- libeeze-bin (1.21.1-5)
- EFL udev device manipulation - utilities
- libeeze-dev (1.21.1-5)
- transitional EFL development package
- libeeze1 (1.21.1-5)
- EFL udev device manipulation
- libefiboot-dev (37-2+deb10u1)
- Development headers for libefiboot
- libefiboot1 (37-2+deb10u1)
- Library to manage UEFI variables
- libefivar-dev (37-2+deb10u1)
- Development headers for libefivar
- libefivar1 (37-2+deb10u1)
- Library to manage UEFI variables
- libefl-all-dev (1.21.1-5)
- Enlightenment Foundation Libraries development files
- libefreet-bin (1.21.1-5)
- EFL helper program to create efreet data caches
- libefreet-dev (1.21.1-5)
- transitional EFL development package
- libefreet1a (1.21.1-5)
- EFL freedesktop.org spec implementations
- libegl-mesa0 (18.3.6-2+deb10u1)
- free implementation of the EGL API -- Mesa vendor library
- libegl-nvidia-legacy-390xx0 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary EGL library (390xx legacy version)
- libegl-nvidia0 (418.226.00-3) [non-free]
- NVIDIA binary EGL library
- libegl-vendor
- virtueel pakket geboden door nvidia-legacy-390xx-egl-icd, libegl-mesa0, nvidia-egl-icd
- libegl1 (1.1.0-1)
- Vendor neutral GL dispatch library -- EGL support
- libegl1
- virtueel pakket geboden door mali-t62x-x11-driver, mali-t76x-wayland-driver, mali-t76x-fbdev-driver, mali-t76x-x11-driver, mali-t62x-wayland-driver, mali-t62x-fbdev-driver
- libegl1-mesa (18.3.6-2+deb10u1)
- transitional dummy package
- libegl1-mesa-dev (18.3.6-2+deb10u1)
- free implementation of the EGL API -- development files
- libegl1-nvidia (418.226.00-3) [non-free]
- NVIDIA binary EGL library (non-GLVND variant)
- libegl1-nvidia-legacy-340xx (340.108-3~deb10u1) [non-free]
- NVIDIA binary EGL library (340xx legacy version)
- libegl1-nvidia-legacy-390xx (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary EGL library (non-GLVND variant) (390xx legacy version)
- libehcache-java (2.6.11-3)
- distributed cache library
- libeigen-stl-containers-dev (0.1.8-2)
- Robot OS wrapper for eigen
- libeigen3-dev (3.3.7-1)
- lightweight C++ template library for linear algebra
- libeigen3-doc (3.3.7-1)
- eigen3 API documentation
- libeigenbase-farrago-java (0.9.0-2)
- Java framework for constructing RDBMS services
- libeigenbase-resgen-java (1.3.0.13768-4)
- Java i18n code generator from XML files
- libeigenbase-resgen-java-doc (1.3.0.13768-4)
- Java i18n code generator from XML files - documentation
- libeina-bin (1.21.1-5)
- EFL optimized data types - extra tools
- libeina-dev (1.21.1-5)
- transitional EFL development package
- libeina1a (1.21.1-5)
- EFL optimized data types
- libeinfo-dev (0.40.3-1)
- dependency based service manager (pretty console display development)
- libeinfo1 (0.40.3-1)
- dependency based service manager (pretty console display library)
- libeio-dev (1.21.1-5)
- transitional EFL development package
- libeio1 (1.21.1-5)
- EFL non-blocking, threaded I/O
- libeiskaltdcpp-dev (2.2.10+340+g44d8aea4-1)
- DC++ kernel from EiskaltDC++ (development files)
- libeiskaltdcpp2.4 (2.2.10+340+g44d8aea4-1)
- DC++ kernel library from EiskaltDC++
- libej-dev (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- libej0 (4.4.4+dfsg-4)
- hardware & software framework for developing science experiments
- libejml-java (0.28-2)
- Efficient Java Matrix Library
- libel-api-java (3.0.0-2+deb10u1)
- Expression Language API
- libelemental
- virtueel pakket geboden door libelemental-dev
- libelemental-dev (1.2.0-12)
- Periodic Table viewer (development files)
- libelemental-doc (1.2.0-12)
- Periodic Table viewer (API documentation)
- libelemental0 (1.2.0-12)
- Periodic Table viewer (data and shared library)
- libelementary-bin (1.21.1-5)
- EFL widget set - helper programs
- libelementary-data (1.21.1-5)
- EFL widget set - data files
- libelementary-dev (1.21.1-5)
- transitional EFL development package
- libelementary1 (1.21.1-5)
- EFL widget set
- libelf++0 (0.3-1)
- C++11 ELF parser
- libelf-dev (0.176-1.1+deb10u1) [security]
- libelf1 development libraries and header files
- libelf-freebsd-1 (10.3~svn296373-10)
- library to read and write ELF files
- libelf-freebsd-dev (10.3~svn296373-10)
- Development files for libelf (FreeBSD version)
- libelf1 (0.176-1.1+deb10u1) [security]
- library to read and write ELF files
- libelfin-dev (0.3-1)
- C++11 ELF/DWARF parser (development files)
- libelixirfm-perl (1.1.976-4)
- perl implementation for Functional Arabic Morphology
- libelk0 (3.99.8-4.2+b1)
- implementation of Scheme (the Extension Language Kit)
- libelk0-dev (3.99.8-4.2+b1)
- development files for libelk0
- libell-dev (0.17-1)
- development files for the Embedded Linux library
- libell0 (0.17-1)
- Embedded Linux library
- libelocation1 (1.21.1-5)
- EFL geospatial information management
- libelogind-dev (239.3+20190131-1+debian1)
- user, seat and session management library (development files)
- libelogind-dev-doc (239.3+20190131-1+debian1)
- user, seat and session management library (development documentation files)
- libelogind0 (239.3+20190131-1+debian1)
- user, seat and session management library
- libelpa-dev (2016.05.001-6+b1)
- Eigenvalue SoLvers for Petaflop-Applications (Development version)
- libelpa4 (2016.05.001-6+b1)
- Eigenvalue SoLvers for Petaflop-Applications
- libelput1 (1.21.1-5)
- EFL abstraction for libinput
- libelua-bin (1.21.1-5)
- EFL Lua wrapper and convenience layer - binaries
- libelua1 (1.21.1-5)
- EFL Lua wrapper and convenience layer
- libemail-abstract-perl (3.008-2)
- unified interface to mail representations
- libemail-address-list-perl (0.06-1)
- RFC close address list parsing
- libemail-address-perl (1.912-1)
- Perl module for RFC 2822 address parsing and creation
- libemail-address-xs-perl (1.04-1+b1)
- Perl library for RFC 5322 address/group parsing and formatting
- libemail-date-format-perl (1.005-1)
- Module to generate RFC-2822-valid date strings
- libemail-date-perl (1.104-2)
- Perl module for correct formatting of dates in emails
- libemail-filter-perl (1.034-1)
- library for creating easy email filters
- libemail-find-perl (0.10-dfsg-3)
- module to find RFC 822 email addresses in plain text
- libemail-folder-perl (0.860-1)
- Perl module to read mail from a folder
- libemail-foldertype-perl (0.813-1.2)
- determine the type of a mail folder
- libemail-localdelivery-perl (1.200-1)
- module to deliver a piece of email - simply
- libemail-messageid-perl (1.406-1)
- Perl library for unique mail Message-ID generation
- libemail-mime-attachment-stripper-perl (1.317-1)
- module to strip attachments from an email
- libemail-mime-contenttype-perl (1.022-1)
- Perl module to parse a MIME Content-Type header
- libemail-mime-createhtml-perl (1.042-2)
- multipart HTML email builder
- libemail-mime-creator-perl
- virtueel pakket geboden door libemail-mime-perl
- libemail-mime-encodings-perl (1.315-2)
- unified interface to MIME encoding and decoding
- libemail-mime-kit-perl (3.000006-1)
- module to build complete email messages from templates
- libemail-mime-modifier-perl
- virtueel pakket geboden door libemail-mime-perl
- libemail-mime-perl (1.946-1)
- module for simple MIME message parsing
- libemail-outlook-message-perl (0.919-1)
- module for reading Outlook .msg files
- libemail-received-perl (1.00-2)
- Perl module to parse an email Received: header
- libemail-reply-perl (1.204-2)
- module to reply to an email message
- libemail-sender-perl (1.300031-2)
- Perl module for sending email
- libemail-simple-creator-perl
- virtueel pakket geboden door libemail-simple-perl
- libemail-simple-perl (2.216-1)
- module to parse RFC2822 headers and message format
- libemail-stuffer-perl (0.017-1)
- casual approach to creating and sending Email:: emails
- libemail-thread-perl (0.712-2)
- library providing threading for Email::Simple objects
- libemail-valid-loose-perl (0.05-4)
- Email::Valid which allows dot immediately before at mark
- libemail-valid-perl (1.202-1)
- Perl module for checking the validity of Internet email addresses
- libemboss-acd-perl (2.2.0-10)
- perl module to parse EMBOSS ACD files
- libembperl-perl (2.5.0-12+deb10u1)
- system for building dynamic websites with Perl
- libembryo-bin (1.21.1-5)
- EFL SMALL-based abstract machine (AMX) compiler
- libembryo-dev (1.21.1-5)
- transitional EFL development package
- libembryo1 (1.21.1-5)
- EFL SMALL-based abstract machine (AMX) bytecode interpreter
- libemeraldengine-dev (0.8.16-3)
- Development files for emerald engines
- libemeraldengine0 (0.8.16-3)
- Decoration engines for compiz
- libemf-dev (1.0.9+git.10.3231442-2)
- Enhanced Metafile library (development)
- libemf-doc (1.0.9+git.10.3231442-2)
- Enhanced Metafile library (documentation)
- libemf1 (1.0.9+git.10.3231442-2)
- Enhanced Metafile library (run-time)
- libemile1 (1.21.1-5)
- EFL compression and encryption
- libemos-bin (2:4.5.9-1)
- ECMWF Interpolation Library - binaries
- libemos-data (2:4.5.9-1)
- Data files for the ECMWF Interpolation library
- libemos-dev (2:4.5.9-1)
- ECMWF Interpolation Library - development
- libemos0d (2:4.5.9-1)
- ECMWF Interpolation Library
- libemotion-dev (1.21.1-5)
- transitional EFL development package
- libemotion-players (1.21.1-5)
- EFL additional loaders for Emotion
- libemotion1 (1.21.1-5)
- EFL media object functionality
- libempathy-common
- virtueel pakket geboden door empathy-common
- libempathy-gtk-common
- virtueel pakket geboden door empathy-common
- libemu-dev (0.2.0+git20120122-1.2+b1)
- x86 shellcode detection and emulation
- libemu2 (0.2.0+git20120122-1.2+b1)
- x86 shellcode detection and emulation
- libenca-dbg (1.19-1+b1)
- Extremely Naive Charset Analyser - debug files
- libenca-dev (1.19-1+b1)
- Extremely Naive Charset Analyser - development files
- libenca0 (1.19-1+b1)
- Extremely Naive Charset Analyser - shared library files
- libenchant-dev (1.6.0-11.1+b1)
- Wrapper library for various spell checker engines (development)
- libenchant-voikko (1.6.0-11.1+b1)
- Voikko spell-checker libenchant plugin
- libenchant1c2a (1.6.0-11.1+b1)
- Wrapper library for various spell checker engines (runtime libs)
- libencode-arabic-perl (14.2-1)
- perl implementation for Arabic encodings
- libencode-base58-perl (0.01-1)
- module to shorten numbers using a base58 conversion
- libencode-detect-perl (1.01-5+b1)
- Encode::Encoding subclass that detects the encoding of data
- libencode-eucjpms-perl (0.07-3+b7 [amd64, armhf, i386], 0.07-3+b6 [arm64])
- Perl library for Microsoft Compatible Japanese Encodings
- (0.23-5+b1)
- perl module providing extra sets of Chinese character encodings
- libencode-imaputf7-perl (1.05-2)
- modification of UTF-7 encoding for IMAP
- libencode-jis2k-perl (0.03-1+b5)
- perl module providing JIS X 0212 (aka JIS 2000) Encodings
- libencode-locale-perl (1.05-1)
- utility to determine the locale encoding
- libencode-perl (3.00-1+deb10u1)
- module providing interfaces between Perl's strings and the system
- libencode-perl (= 2.97)
- virtueel pakket geboden door perl
- libencode-zapcp1252-perl (0.33-3)
- zap Windows Western gremlin characters
- libencoding-fixlatin-perl (1.04-1)
- takes mixed encoding input and produces UTF-8 output
- libencoding-fixlatin-xs-perl (1.01-3+b1)
- XS implementation layer for Encoding::FixLatin
- libend-perl (2009110401-1)
- Perl interface to execute code at end of scope
- libendless-0-0 (0~git20180727+ds-1)
- Endless SDK runtime libraries
- libendless-0-common (0~git20180727+ds-1)
- common files for the Endless SDK runtime libraries
- libendless-bin (0~git20180727+ds-1)
- development tools for the Endless SDK
- libendless-dev (0~git20180727+ds-1)
- development files for the Endless SDK
- libendless-doc (0~git20180727+ds-1)
- documentation files for the Endless SDK
- libenet-dev (1.3.13+ds-1)
- thin network communication layer on top of UDP - headers
- libenet-doc (1.3.13+ds-1)
- thin network communication layer on top of UDP - documentation
- libenet7 (1.3.13+ds-1)
- thin network communication layer on top of UDP
- libengine-gost-openssl1.1 (1.1.0.3-1)
- Loadable module for openssl implementing GOST algorithms
- libengine-pkcs11-openssl (0.4.9-4)
- OpenSSL engine for PKCS#11 modules
- libengine-pkcs11-openssl1.1 (0.4.9-4)
- dummy package for upgrades from libengine-pkcs11-openssl1.1
- libengine-pkcs11-openssl1.1
- virtueel pakket geboden door libengine-pkcs11-openssl
- libenki-dev (1:1.6.0-6+b1)
- development file for the library libenki
- libenki2 (1:1.6.0-6+b1)
- Enki is a fast 2D physics-based robot simulator written in C++.
- libenum-perl (1.11-1)
- perl module for sets of ordered constants like enums in C
- libenumerate-camlp4-dev (111.08.00-3+b2 [armhf], 111.08.00-3+b1 [amd64, arm64, i386])
- OCaml quotation expanders for enumerating finite types
- libenumerate-camlp4-dev-6ggc1
- virtueel pakket geboden door libenumerate-camlp4-dev
- libenumerate-camlp4-dev-x89i6
- virtueel pakket geboden door libenumerate-camlp4-dev
- libenumerate-ocaml-dev
- virtueel pakket geboden door libenumerate-camlp4-dev
- libenv-path-perl (0.19-2)
- Perl module implementing advanced operations on path variables
- libenv-ps1-perl (0.06-2)
- prompt string formatter
- libenv-sanctify-perl (1.12-1)
- Perl module providing lexically scoped environment (%ENV)
- libeolian1 (1.21.1-5)
- EFL object parser and C code generator
- libeot-dev (0.01-5)
- Library for parsing/converting Embedded OpenType files -- development
- libeot0 (0.01-5)
- Library for parsing/converting Embedded OpenType files
- libepc-1.0-3 (0.4.6-2)
- Easy Publish and Consume library - shared libraries
- libepc-common (0.4.6-2)
- Easy Publish and Consume library - data files
- libepc-dev (0.4.6-2)
- Easy Publish and Consume library - development files
- libepc-doc (0.4.6-2)
- Easy Publish and Consume library - documentation
- libepc-ui-1.0-3 (0.4.6-2)
- Easy Publish and Consume library - shared widget libraries
- libepc-ui-dev (0.4.6-2)
- Easy Publish and Consume library - widget development files
- libephysics1 (1.21.1-5)
- EFL physics functionality for Evas and Edje
- libepoxy-dev (1.5.3-0.1)
- OpenGL function pointer management library- development
- libepoxy0 (1.5.3-0.1)
- OpenGL function pointer management library
- libepoxy0-udeb (1.5.3-0.1)
- OpenGL function pointer management library - minimal runtime
- libepr-api-dev (2.3~dev20150708-8)
- ENVISAT Product Reader API for C - Development files
- libepr-api2 (2.3~dev20150708-8)
- ENVISAT Product Reader API for C
- libepsilon-dev (0.9.2+dfsg-4)
- Library for wavelet image compression - development files
- libepsilon1 (0.9.2+dfsg-4)
- Library for wavelet image compression
- libept-dev (1.1+nmu3+b1)
- High-level library for managing Debian package information
- libept1.5.0 (1.1+nmu3+b1)
- High-level library for managing Debian package information
- libepub-dev (0.2.2-4+b4)
- library to work with the EPUB file format - development files
- libepub0 (0.2.2-4+b4)
- library to work with the EPUB file format - runtime library
- libepubgen-0.1-1 (0.1.1-1)
- EPUB generator library
- libepubgen-dev (0.1.1-1)
- EPUB generator library -- development
- libequeue-gtk2-ocaml-dev
- virtueel pakket geboden door libocamlnet-gtk2-ocaml-dev
- libequeue-ocaml
- virtueel pakket geboden door libocamlnet-ocaml
- libequeue-ocaml-dev
- virtueel pakket geboden door libocamlnet-ocaml-dev
- libequinox-app-java (1.4.0+eclipse4.10-1)
- Eclipse Equinox Application Container
- libequinox-bidi-java (1.1.200+eclipse4.10-1)
- Eclipse Equinox Bidirectional Text Support
- libequinox-cm-java (1.3.100+eclipse4.10-1)
- Eclipse Equinox Configuration Admin
- libequinox-common-java (3.10.200+eclipse4.10-1)
- Eclipse Equinox Common Utility Bundle
- libequinox-concurrent-java (1.1.200+eclipse4.10-1)
- Eclipse Equinox Concurrent API
- libequinox-console-java (1.3.200+eclipse4.10-1)
- Eclipse Equinox Console plug-in
- libequinox-coordinator-java (1.3.600+eclipse4.10-1)
- Eclipse Equinox Coordinator
- libequinox-device-java (1.0.800+eclipse4.10-1)
- Eclipse Equinox Device Access Service
- libequinox-ds-java (1.5.200+eclipse4.10-1)
- Eclipse Equinox Declarative Services
- libequinox-event-java (1.5.0+eclipse4.10-1)
- Eclipse Equinox Event Admin
- libequinox-frameworkadmin-equinox-java (1.1.100+eclipse4.10-1)
- Equinox Framework Admin for Equinox
- libequinox-frameworkadmin-java (2.1.100+eclipse4.10-1)
- Equinox Framework Admin
- libequinox-http-jetty-java (3.6.200+eclipse4.10-1)
- Eclipse Equinox Jetty HTTP Service
- libequinox-http-jetty-starter-java (1.1.100+eclipse4.10-1)
- Eclipse Equinox Jetty HTTP Service Starter
- libequinox-http-registry-java (1.1.600+eclipse4.10-1)
- Eclipse Equinox HTTP Registry
- libequinox-http-servlet-java (1.5.200+eclipse4.10-1)
- Eclipse Equinox HTTP Services Servlet
- libequinox-http-servletbridge-java (1.1.100+eclipse4.10-1)
- Eclipse Equinox Servletbridge HTTP Service
- libequinox-io-java (1.1.300+eclipse4.10-1)
- Eclipse Equinox IO Connector Service
- libequinox-ip-java (1.1.600+eclipse4.10-1)
- Eclipse Equinox Initial Provisioning
- libequinox-jsp-jasper-java (1.1.100+eclipse4.10-1)
- Eclipse Equinox Jasper Jsp Support Bundle
- libequinox-jsp-jasper-registry-java (1.1.100+eclipse4.10-1)
- Eclipse Equinox Jasper Jsp Registry Support Plug-in
- libequinox-launcher-java (1.5.200+eclipse4.10-1)
- Eclipse Equinox Launcher
- libequinox-metatype-java (1.4.600+eclipse4.10-1)
- Eclipse Equinox Meta Type
- libequinox-osgi-java (3.9.1-4)
- Equinox OSGi framework (Transitional)
- libequinox-p2-artifact-optimizers-java (1.1.0+eclipse4.10-1)
- Equinox p2 Artifact Optimizers
- libequinox-p2-artifact-processors-java (1.1.0+eclipse4.10-1)
- Equinox p2 Artifact Processing Support
- libequinox-p2-artifact-repository-java (1.2.200+eclipse4.10-1)
- Equinox Provisioning Artifact Repository Support
- libequinox-p2-console-java (1.1.100+eclipse4.10-1)
- Equinox Provisioning Console
- libequinox-p2-core-java (2.5.100+eclipse4.10-1)
- Equinox p2 Core
- libequinox-p2-director-app-java (1.1.200+eclipse4.10-1)
- Equinox Provisioning Director Application
- libequinox-p2-director-java (2.4.200+eclipse4.10-1)
- Equinox Provisioning Director
- libequinox-p2-directorywatcher-java (1.2.100+eclipse4.10-1)
- Equinox Provisioning Directory Watcher
- libequinox-p2-discovery-java (1.1.100+eclipse4.10-1)
- Equinox Provisioning Discovery
- libequinox-p2-engine-java (2.6.100+eclipse4.10-1)
- Equinox Provisioning Engine
- libequinox-p2-extensionlocation-java (1.3.100+eclipse4.10-1)
- Equinox Provisioning Extension Location Repository Support
- libequinox-p2-garbagecollector-java (1.1.100+eclipse4.10-1)
- Equinox Provisioning Garbage Collector
- libequinox-p2-jarprocessor-java (1.1.200+eclipse4.10-1)
- Equinox Provisioning JAR Processor
- libequinox-p2-metadata-java (2.4.200+eclipse4.10-1)
- Equinox Provisioning Metadata
- libequinox-p2-metadata-repository-java (1.3.100+eclipse4.10-1)
- Equinox Provisioning Metadata Repository
- libequinox-p2-operations-java (2.5.200+eclipse4.10-1)
- Equinox Provisioning Operations API
- libequinox-p2-publisher-eclipse-java (1.3.100+eclipse4.10-1)
- Equinox Provisioning Publisher for Eclipse
- libequinox-p2-publisher-java (1.5.100+eclipse4.10-1)
- Equinox Provisioning Publisher Infrastructure
- libequinox-p2-reconciler-dropins-java (1.3.0+eclipse4.10-1)
- Equinox Provisioning Drop-in
- libequinox-p2-repository-java (2.4.200+eclipse4.10-1)
- Equinox Provisioning Repository
- libequinox-p2-repository-tools-java (2.2.200+eclipse4.10-1)
- Equinox Provisioning Repository Tools
- libequinox-p2-sar-java (0.2.0+eclipse4.10-1)
- Equinox Sar Plug-in
- libequinox-p2-touchpoint-eclipse-java (2.2.200+eclipse4.10-1)
- Equinox Provisioning Eclipse Touchpoint
- libequinox-p2-touchpoint-natives-java (1.3.200+eclipse4.10-1)
- Equinox Provisioning Native Touchpoint
- libequinox-p2-updatechecker-java (1.2.100+eclipse4.10-1)
- Equinox Provisioning Update Checker
- libequinox-p2-updatesite-java (1.1.100+eclipse4.10-1)
- Equinox Provisioning Legacy Update Site Support
- libequinox-preferences-java (3.7.200+eclipse4.10-1)
- Eclipse Preferences Mechanism
- libequinox-region-java (1.4.300+eclipse4.10-1)
- Eclipse Equinox Region
- libequinox-registry-java (3.8.200+eclipse4.10-1)
- Eclipse Extension Registry Support
- libequinox-security-java (1.3.0+eclipse4.10-1)
- Eclipse Equinox Java Authentication and Authorization Service (JAAS)
- libequinox-servletbridge-java (1.4.200+eclipse4.10-1)
- Eclipse Equinox Servletbridge
- libequinox-simpleconfigurator-java (1.3.200+eclipse4.10-1)
- Equinox Simple Configurator
- libequinox-simpleconfigurator-manipulator-java (2.1.200+eclipse4.10-1)
- Equinox Simple Configurator Manipulator
- libequinox-transforms-hook-java (1.2.300+eclipse4.10-1)
- Eclipse Equinox Transformer Hook Framework Extension
- libequinox-transforms-xslt-java (1.0.500+eclipse4.10-1)
- Eclipse Equinox Transforms XSLT
- libequinox-useradmin-java (1.1.700+eclipse4.10-1)
- Eclipse Equinox User Admin Service
- libequinox-util-java (1.1.200+eclipse4.10-1)
- Eclipse Equinox Util
- libequinox-weaving-caching-java (1.1.200+eclipse4.10-1)
- Eclipse Equinox Weaving Caching
- libequinox-weaving-hook-java (1.2.200+eclipse4.10-1)
- Eclipse Equinox Weaving Hook
- libequinox-wireadmin-java (1.0.800+eclipse4.10-1)
- Eclipse Equinox Wire Admin Service
- liberasurecode-dev (1.6.0-3)
- support of multiple erasure code backends - development files
- liberasurecode1 (1.6.0-3)
- support of multiple erasure code backends - shared library
- liberfa-dev (1.4.0-1)
- Essential Routines for Fundamental Astronomy (development files)
- liberfa1 (1.4.0-1)
- Essential Routines for Fundamental Astronomy
- liberis-1.3-21 (1.3.23-7+b1)
- WorldForge client entity library
- liberis-1.3-dev (1.3.23-7+b1)
- WorldForge client entity library - development files
- liberis-doc (1.3.23-7)
- WorldForge client entity library - API documentation
- liberror-perl (0.17027-2)
- Perl module for error/exception handling in an OO-ish way
- libertas-firmware
- virtueel pakket geboden door firmware-libertas
- liberubis-ruby
- virtueel pakket geboden door ruby-erubis
- liberubis-ruby1.8
- virtueel pakket geboden door ruby-erubis
- liberubis-ruby1.9.1
- virtueel pakket geboden door ruby-erubis
- libesd-java (0.0.7-4)
- Implementation of EsounD in pure Java
- libesedb-dev (20181229-3)
- Extensible Storage Engine DB access library -- development files
- libesedb-utils (20181229-3)
- Extensible Storage Engine DB access library -- Utilities
- libesedb1 (20181229-3)
- Extensible Storage Engine DB access library
- libesmtp-dev (1.0.6-4.3)
- LibESMTP SMTP client library (development files)
- libesmtp6 (1.0.6-4.3)
- LibESMTP SMTP client library
- libesnacc-dev (1.8.1-1)
- ASN.1 to C or C++ or IDL compiler, development files
- libesnacc180 (1.8.1-1)
- ASN.1 to C or C++ or IDL compiler, shared libraries
- libespeak-dev (1.48.04+dfsg-7+deb10u1)
- Multi-lingual software speech synthesizer: development files
- libespeak-dev (= 1.48.04+dfsg)
- virtueel pakket geboden door libespeak-ng-libespeak-dev
- libespeak-ng-dev (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: development files
- libespeak-ng-libespeak-dev (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: development files
- libespeak-ng-libespeak1 (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: shared library
- libespeak-ng1 (1.49.2+dfsg-8+deb10u1)
- Multi-lingual software speech synthesizer: shared library
- libespeak1 (1.48.04+dfsg-7+deb10u1)
- Multi-lingual software speech synthesizer: shared library
- libespeak1 (= 1.48.04+dfsg)
- virtueel pakket geboden door libespeak-ng-libespeak1
- libestools-dev (1:2.5.0-5)
- Edinburgh Speech Tools Library - developer's libraries
- libestools2.1-dev
- virtueel pakket geboden door libestools-dev
- libestools2.5 (1:2.5.0-5)
- Edinburgh Speech Tools Library
- libestr-dev (0.1.10-2.1)
- helper functions for handling strings (headers)
- libestr0 (0.1.10-2.1)
- Helper functions for handling strings (lib)
- libestring-ocaml (20130822-5+b3 [armhf], 20130822-5+b2 [amd64, arm64, i386])
- Estring: OCaml development platform (runtime)
- libestring-ocaml-04wq1
- virtueel pakket geboden door libestring-ocaml
- libestring-ocaml-dev (20130822-5+b3 [armhf], 20130822-5+b2 [amd64, arm64, i386])
- Estring: OCaml development platform (development)
- libestring-ocaml-dev-04wq1
- virtueel pakket geboden door libestring-ocaml-dev
- libestring-ocaml-dev-jdqg9
- virtueel pakket geboden door libestring-ocaml-dev
- libestring-ocaml-jdqg9
- virtueel pakket geboden door libestring-ocaml
- libethumb-client-bin (1.21.1-5)
- EFL ethumb helper binary
- libethumb-client1 (1.21.1-5)
- EFL client for ethumb
- libethumb-dev (1.21.1-5)
- transitional EFL development package
- libethumb1 (1.21.1-5)
- EFL for thumbnail image creation
- libetonyek-0.1-1 (0.1.9-1)
- library for reading and converting Apple Keynote presentations
- libetonyek-dev (0.1.9-1)
- library for reading and converting Apple Keynote presentations
- libetonyek-tools (0.1.9-1)
- library for reading and converting Apple Keynote presentations -- tools
- libetpan-dev (1.9.3-2+deb10u3) [security]
- mail handling library - development files
- libetpan-doc (1.9.3-2+deb10u3) [security]
- mail handling library - API documentation
- libetpan20 (1.9.3-2+deb10u3) [security]
- mail handling library
- libetsf-io-dev (1.0.4-4)
- Static libraries and Fortran module files of ETSF_IO
- libetsf-io-doc (1.0.4-4)
- Developer documentation API and tutorials for ETSF_IO
- libeurodec1-dev (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo library for quark / heavy lepton decays
- libeurodec1-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo library for quark and heavy lepton decays
- libev-dev (1:4.25-1)
- static library, header files, and docs for libev
- libev-libevent-dev (1:4.25-1)
- libevent event loop compatibility wrapper for libev
- libev-perl (4.25-1)
- Perl interface to libev, the high performance event loop
- libev4 (1:4.25-1)
- high-performance event loop library modelled after libevent
- libeval-closure-perl (0.14-1)
- Perl module to safely and cleanly create closures via string eval
- libeval-context-perl (0.09.11-3)
- evalute perl code in context wrapper
- libeval-linenumbers-perl (0.34-1)
- module to add line numbers to eval'ed heredoc blocks
- libevas-bin (1.21.1-5)
- EFL advanced canvas library - utilities
- libevas-dev (1.21.1-5)
- transitional EFL development package
- libevas-loaders (1.21.1-5)
- EFL additional loaders for Evas
- libevas1 (1.21.1-5)
- EFL advanced canvas library
- libevas1-engine
- virtueel pakket geboden door libevas1-engines-wayland, libevas1-engines-fb, libevas1-engines-drm, libevas1-engines-x
- libevas1-engine-gl-x11
- virtueel pakket geboden door libevas1-engines-x
- libevas1-engine-software-x11
- virtueel pakket geboden door libevas1-engines-x
- libevas1-engines-drm (1.21.1-5)
- Evas module providing the DRM engine
- libevas1-engines-fb (1.21.1-5)
- Evas module providing the Framebuffer engine
- libevas1-engines-wayland (1.21.1-5)
- Evas module providing the Wayland engine
- libevas1-engines-x (1.21.1-5)
- Evas module providing the X11 engines
- libevd-0.2-0 (0.2.0-1)
- Peer-to-peer inter-process communication library - Shared libraries
- libevd-0.2-dev (0.2.0-1)
- Peer-to-peer inter-process communication library - Development files
- libevdev-dev (1.6.0+dfsg-1)
- wrapper library for evdev devices - development files
- libevdev-doc (1.6.0+dfsg-1)
- wrapper library for evdev devices - development docs
- libevdev-tools (1.6.0+dfsg-1)
- wrapper library for evdev devices - tools
- libevdev2 (1.6.0+dfsg-1)
- wrapper library for evdev devices
- libevdev2-udeb (1.6.0+dfsg-1)
- wrapper library for evdev devices - udeb
- libevdi0 (1.6.0+dfsg-1)
- Extensible Virtual Display Interface driver client library
- libevdi0-dev (1.6.0+dfsg-1)
- EVDI driver client library dev package
- libevdocument3-4 (3.30.2-3+deb10u1)
- opbouwbibliotheek voor weergeven van documenten (PostScript, PDF)
- libevemu-dev (2.7.0-1+deb10u1)
- Linux Input Event Device Emulation Library - development files
- libevemu3 (2.7.0-1+deb10u1)
- Linux Input Event Device Emulation Library
- libevent-2.1-6 (2.1.8-stable-4)
- Asynchronous event notification library
- libevent-core-2.1-6 (2.1.8-stable-4)
- Asynchronous event notification library (core)
- libevent-dev (2.1.8-stable-4)
- Asynchronous event notification library (development files)
- (2.1.8-stable-4)
- Asynchronous event notification library (extra)
- libevent-openssl-2.1-6 (2.1.8-stable-4)
- Asynchronous event notification library (openssl)
- libevent-perl (1.27-1)
- generic Perl event loop module
- libevent-pthreads-2.1-6 (2.1.8-stable-4)
- Asynchronous event notification library (pthreads)
- libevent-rpc-perl (1.10-1)
- Event based transparent Client/Server RPC framework
- libevhtp-dev (1.2.16-1+b1)
- Libevent based HTTP API - development files
- libevhtp-doc (1.2.16-1)
- Libevent based HTTP API - documentation
- libevhtp0 (1.2.16-1+b1)
- Libevent based HTTP API
- libevince-dev (3.30.2-3+deb10u1)
- opbouwbibliotheek documentenweergave (PostScript, PDF) - ontwikkelingsbestanden
- libevolution (3.30.5-1.1)
- evolution libraries
- libevt-dev (20181227-1)
- Windows Event Log (EVT) format access library -- development files
- libevt-utils (20181227-1)
- Windows Event Log (EVT) format access library -- Utilities
- libevt1 (20181227-1)
- Windows Event Log (EVT) format access library
- libevtx-dev (20181227-1)
- Windows XML Event Log format access library -- development files
- libevtx-utils (20181227-1)
- Windows XML Event Log format access library -- Utilities
- libevtx1 (20181227-1)
- Windows XML Event Log format access library
- libevview3-3 (3.30.2-3+deb10u1)
- opbouwbibliotheek voor weergeven van documenten (PostScript, PDF) - Gtk+ widgets
- libewf-dev (20140804-1)
- support for Expert Witness Compression format (development)
- libewf2 (20140804-1)
- library with support for Expert Witness Compression Format
- libex-monkeypatched-perl (0.03-1)
- experimental API for safe monkey-patching
- libexactimage-perl (1.0.2-1+deb10u1)
- fast image manipulation library (Perl bindings)
- libexcalibur-logger-java (2.1-7)
- Excalibur project's log management system
- libexcalibur-logkit-java (2.0-12)
- Lightweight and fast designed logging toolkit for Java
- libexcalibur-logkit-java-doc (2.0-12)
- Lightweight and fast designed logging toolkit for Java (API docs)
- libexcel-template-perl (0.34-2)
- Perl module for templating Excel files
- libexcel-template-plus-perl (0.06-1)
- module for testing and comparing Excel files
- libexcel-writer-xlsx-perl (0.99-1)
- module to create Excel spreadsheets in xlsx format
- libexception-class-dbi-perl (1.01-1)
- exception objects customized for DBI
- libexception-class-perl (1.44-1)
- module that allows you to declare real exception classes in Perl
- libexception-class-trycatch-perl (1.13-1)
- syntactic try/catch sugar for use with Exception::Class
- libexception-handler-perl (1.004-2)
- perl module Exception::Handler
- libexec-maven-plugin-java (1.6.0-4)
- Exec Maven Plugin
- libexecline-dev (2.5.0.1-3)
- small and non-interactive scripting language (development files)
- libexecline2.5 (2.5.0.1-3)
- small and non-interactive scripting language (shared library)
- libexecs-dev (1.2-1)
- C library for commands execution - development files
- libexecs-embedded0 (1.2-1)
- C library for commands execution
- libexecs0 (1.2-1)
- C library for commands execution
- libexempi-dev (2.5.0-2+deb10u1) [security]
- library to parse XMP metadata (Development files)
- libexempi8 (2.5.0-2+deb10u1) [security]
- library to parse XMP metadata (Library)
- libexene-smlnj (110.79-4)
- Concurrent ML library for the X Window System
- libexif-dev (0.6.21-5.1+deb10u5)
- library to parse EXIF files (development files)
- libexif-doc (0.6.21-5.1+deb10u5)
- library to parse EXIF files (documentation)
- libexif-gtk-dev (0.4.0-2)
- Library providing GTK+ widgets to display/edit EXIF tags (development files)
- libexif-gtk5 (0.4.0-2)
- Library providing GTK+ widgets to display/edit EXIF tags
- libexif12 (0.6.21-5.1+deb10u5)
- library to parse EXIF files
- libexiv2-14 (0.25-4+deb10u4) [security]
- EXIF/IPTC/XMP metadata manipulation library
- libexiv2-dev (0.25-4+deb10u4) [security]
- EXIF/IPTC/XMP metadata manipulation library - development files
- libexiv2-doc (0.25-4+deb10u4) [security]
- EXIF/IPTC/XMP metadata manipulation library - HTML documentation
- libexo-1-0 (0.12.4-1+deb10u1)
- Library with extensions for Xfce (GTK-2 version)
- libexo-1-dev (0.12.4-1+deb10u1)
- Development files for libexo (GTK-2 version)
- libexo-2-0 (0.12.4-1+deb10u1)
- Library with extensions for Xfce (GTK-3 version)
- libexo-2-dev (0.12.4-1+deb10u1)
- Development files for libexo (GTK-3 version)
- libexo-common (0.12.4-1+deb10u1)
- libexo common files
- libexo-helpers (0.12.4-1+deb10u1)
- helpers for the exo library
- libexodusii-dev (6.02.dfsg.1-8+b1)
- exodusII datamodel for finite element analysis
- libexodusii5 (6.02.dfsg.1-8+b1)
- exodusII datamodel for finite element analysis
- libexosip2-11 (4.1.0-2.1)
- eXtended osip library
- libexosip2-dev (4.1.0-2.1)
- eXtended osip library development files
- libexpat-dev
- virtueel pakket geboden door libexpat1-dev
- libexpat-ocaml (0.9.1+debian1-7+b5 [amd64, i386], 0.9.1+debian1-7+b4 [armhf], 0.9.1+debian1-7+b2 [arm64])
- OCaml expat bindings
- libexpat-ocaml-dev (0.9.1+debian1-7+b5 [amd64, i386], 0.9.1+debian1-7+b4 [armhf], 0.9.1+debian1-7+b2 [arm64])
- OCaml expat bindings
- libexpat-ocaml-dev-j9m57
- virtueel pakket geboden door libexpat-ocaml-dev
- libexpat-ocaml-dev-obpl9
- virtueel pakket geboden door libexpat-ocaml-dev
- libexpat-ocaml-j9m57
- virtueel pakket geboden door libexpat-ocaml
- libexpat-ocaml-obpl9
- virtueel pakket geboden door libexpat-ocaml
- libexpat1 (2.2.6-2+deb10u7) [security]
- XML parsing C library - runtime library
- libexpat1-dev (2.2.6-2+deb10u7) [security]
- XML parsing C library - development kit
- libexpat1-udeb (2.2.6-2+deb10u4)
- XML parsing C library - runtime library
- libexpect-ocaml (0.0.5-2+b2 [amd64, armhf], 0.0.5-2+b1 [arm64, i386])
- Expect-like framework for OCaml
- libexpect-ocaml-157i1
- virtueel pakket geboden door libexpect-ocaml
- libexpect-ocaml-dev (0.0.5-2+b2 [amd64, armhf], 0.0.5-2+b1 [arm64, i386])
- Expect-like framework for OCaml - development files
- libexpect-ocaml-dev-157i1
- virtueel pakket geboden door libexpect-ocaml-dev
- libexpect-ocaml-dev-uehy0
- virtueel pakket geboden door libexpect-ocaml-dev
- libexpect-ocaml-uehy0
- virtueel pakket geboden door libexpect-ocaml
- libexpect-perl (1.21-1)
- Expect.pm - Perl Expect interface
- libexpect-simple-perl (0.04-2)
- wrapper around the Expect module
- libexperimental-perl (0.020-1)
- pragma for making experimental features easy
- libexperimental-perl (= 0.019)
- virtueel pakket geboden door perl
- libexplain-dev (1.4.D001-8)
- library of system-call-specific strerror repl - development files
- libexplain-doc (1.4.D001-8)
- library of system-call-specific strerror repl - documentation
- libexplain51 (1.4.D001-8)
- library of system-call-specific strerror repl
- libexport-attrs-perl (0.1.0-1)
- Perl 6 'is export(...)' trait as a Perl 5 attribute
- libexporter-autoclean-perl (0.01-1)
- module that exports functions only available at compile time
- libexporter-declare-perl (0.114-1)
- perl module for meta-driven exporting
- libexporter-easy-perl (0.18-1)
- perl module to take the drudgery out of Exporting symbols
- libexporter-lite-perl (0.08-1)
- lightweight subset of Exporter
- libexporter-renaming-perl (1.19-2)
- facility to rename symbols when imported
- libexporter-tidy-perl (0.08-1)
- another way of exporting symbols
- libexporter-tiny-perl (1.002001-1)
- tiny exporter similar to Sub::Exporter
- libext2fs-dev (1.44.5-1+deb10u3)
- ext2/ext3/ext4 file system libraries - headers and static libraries
- libext2fs2 (1.44.5-1+deb10u3)
- ext2/ext3/ext4 file system libraries
- libexternalsortinginjava-java (0.2.5-1)
- External-Memory Sorting in Java
- libexternalsortinginjava-java-doc (0.2.5-1)
- External-Memory Sorting in Java (documentation)
- libextlib-ocaml (1.7.0-3+b2 [armhf], 1.7.0-3+b1 [amd64, arm64, i386])
- extended standard library for OCaml (plugins)
- libextlib-ocaml-9omj0
- virtueel pakket geboden door libextlib-ocaml
- libextlib-ocaml-dev (1.7.0-3+b2 [armhf], 1.7.0-3+b1 [amd64, arm64, i386])
- extended standard library for OCaml
- libextlib-ocaml-dev-9omj0
- virtueel pakket geboden door libextlib-ocaml-dev
- libextlib-ocaml-dev-lk0a4
- virtueel pakket geboden door libextlib-ocaml-dev
- libextlib-ocaml-lk0a4
- virtueel pakket geboden door libextlib-ocaml
- (1:1.8-2+deb10u1)
- extracts meta-data from files of arbitrary type (development)
- (1.0.0-1)
- Java bindings for GNU libextractor (development)
- (1.0.0-1)
- Java bindings for GNU libextractor
- (1:1.8-2+deb10u1)
- extracts meta-data from files of arbitrary type (library)
- libexttextcat-2.0-0 (3.4.5-1)
- Language detection library
- libexttextcat-data (3.4.5-1)
- Language detection library - data files
- libexttextcat-dev (3.4.5-1)
- Language detection library - development files
- libextunix-ocaml (0.1.6-1)
- Extended functions for OCaml Unix module (runtime package)
- libextunix-ocaml-dev (0.1.6-1)
- Extended functions for OCaml Unix module (development package)
- libextunix-ocaml-dev-pgxv1
- virtueel pakket geboden door libextunix-ocaml-dev
- libextunix-ocaml-dev-tfbj9
- virtueel pakket geboden door libextunix-ocaml-dev
- libextunix-ocaml-pgxv1
- virtueel pakket geboden door libextunix-ocaml
- libextunix-ocaml-tfbj9
- virtueel pakket geboden door libextunix-ocaml
- libextutils-autoinstall-perl (0.64-1)
- module to automatically install dependencies via CPAN
- libextutils-cbuilder-perl (0.280230-1)
- module to compile and link C code for Perl modules
- libextutils-cbuilder-perl (= 0.280230)
- virtueel pakket geboden door perl
- libextutils-cchecker-perl (0.10-1)
- helper module for handling C headers and libraries
- libextutils-command-perl (= 7.34)
- virtueel pakket geboden door perl
- libextutils-config-perl (0.008-2)
- wrapper around Perl's configuration hash
- libextutils-cppguess-perl (0.12-1)
- Perl build helper to guess C++ compiler and flags
- libextutils-depends-perl (0.405-1)
- Perl module for building extensions that depend on other extensions
- libextutils-f77-perl (1.23-1)
- module to compile and link FORTRAN 77 code for Perl modules
- libextutils-helpers-perl (0.026-1)
- various portability utilities for module builders
- libextutils-install-perl (= 2.14)
- virtueel pakket geboden door perl
- libextutils-installpaths-perl (0.012-1)
- module to make Build.PL install path logic easy
- libextutils-libbuilder-perl (0.08-1)
- Perl module to compile standard, self-contained C libraries
- libextutils-makemaker-cpanfile-perl (0.09-1)
- Perl module adding cpanfile support to ExtUtils-MakeMaker
- libextutils-modulemaker-perl (0.63-1)
- Perl extension to build module from scratch
- libextutils-parsexs-perl (= 3.390000)
- virtueel pakket geboden door perl
- libextutils-pkgconfig-perl (1.16-1)
- Perl interface to the pkg-config utility
- libextutils-typemap-perl (1.00-1)
- ExtUtils::Typemap - Read/Write/Modify Perl/XS typemap files
- libextutils-typemaps-default-perl (1.05-2)
- Perl module providing a set of useful typemaps
- libextutils-xsbuilder-perl (0.28-3)
- Automatic XS glue code generation
- libextutils-xspp-perl (0.1800-2)
- module for using Perl XS with C++ code
- libezmorph-java (1.0.6-3)
- Java library for transforming an Object to another Object
- libeztrace-dev (1.1-8-3+b1)
- Automatic execution trace generation for HPC - development files
- libeztrace0 (1.1-8-3+b1)
- Automatic execution trace generation for HPC - development files
- libeztrace0-contrib (1.1-8-3+b1) [contrib]
- Automatic execution trace generation for HPC - development files
- libf2c2 (20130926-3)
- Shared libraries for use with FORTRAN applications
- libf2c2-dev (20130926-3)
- Development libraries for use with f2c
- libf2fs-dev (1.11.0-1.1)
- Core library for Flash-Friendly File System - Development files
- libf2fs-format-dev (1.11.0-1.1)
- Core library for Flash-Friendly File System - Development files
- libf2fs-format4 (1.11.0-1.1)
- Format library for Flash-Friendly File System
- libf2fs5 (1.11.0-1.1)
- Core library for Flash-Friendly File System
- libf2j-java (0.8.1+dfsg-4)
- Java library port of Fortran numerical libraries
- libf77dcl-dev (7.3.3-1+b1)
- GFD-DENNOU Club Library (DCL) - static library and header files
- libf77dcl7 (7.3.3-1+b1)
- GFD-DENNOU Club Library (DCL) - shared library
- libf95getdata7 (0.10.0-5+deb10u1)
- library to read/write dirfile data - Fortran 95 bindings
- libfaac-dev (1.29.9.2-2) [non-free]
- AAC audio encoder (development)
- libfaac0 (1.29.9.2-2) [non-free]
- AAC audio encoder (library)
- libfaad-dev (2.10.0-1~deb10u1)
- freeware Advanced Audio Decoder - development files
- libfaad-ocaml (0.4.0-1)
- OCaml interface to the faad library -- runtime files
- libfaad-ocaml-dev (0.4.0-1)
- OCaml interface to the faad library -- development files
- libfaad-ocaml-dev-j82f1
- virtueel pakket geboden door libfaad-ocaml-dev
- libfaad-ocaml-dev-tg514
- virtueel pakket geboden door libfaad-ocaml-dev
- libfaad-ocaml-j82f1
- virtueel pakket geboden door libfaad-ocaml
- libfaad-ocaml-tg514
- virtueel pakket geboden door libfaad-ocaml
- libfaad2 (2.10.0-1~deb10u1)
- freeware Advanced Audio Decoder - runtime files
- libfabric-bin (1.6.2-3)
- Diagnosis programs for the libfabric communication library
- libfabric-dev (1.6.2-3)
- Development files for libfabric1
- libfabric1 (1.6.2-3)
- libfabric communication library
- libfacile-ocaml-dev (1.1.1-1+b2 [armhf], 1.1.1-1+b1 [amd64, arm64, i386])
- functional constraint library implemented in Objective Caml
- libfacile-ocaml-dev-imfi4
- virtueel pakket geboden door libfacile-ocaml-dev
- libfacile-ocaml-dev-wa9j0
- virtueel pakket geboden door libfacile-ocaml-dev
- libfacter3.11.0 (3.11.0-2+deb10u2)
- collect and display facts about the system -- shared library
- libfaifa-dev (0.2~svn82-1+b2)
- manage HomePlug 1.0/AV devices via ethernet frames - devel library
- libfaifa0 (0.2~svn82-1+b2)
- manage HomePlug 1.0/AV devices via ethernet frames - library
- libfailures-perl (0.004-1)
- minimalist exception hierarchy generator
- libfakechroot (2.19-3.2)
- gives a fake chroot environment - runtime
- libfakekey-dev (0.1-10)
- library for converting characters to X key-presses [development]
- libfakekey-doc (0.1-10)
- library for converting characters to X key-presses [documentation]
- libfakekey0 (0.1-10)
- library for converting characters to X key-presses [runtime]
- libfakekey0-udeb (0.1-10)
- library for converting characters to X key-presses [runtime]
- libfakeroot (1.23-1)
- tool for simulating superuser privileges - shared libraries
- libfaketime (0.9.7-3)
- Report faked system time to programs (preload library)
- libfam-dev (2.7.0-17.3)
- Client library to control the FAM daemon - development files
- libfam-dev
- virtueel pakket geboden door libgamin-dev
- libfam0 (2.7.0-17.3)
- Client library to control the FAM daemon
- libfam0
- virtueel pakket geboden door libgamin0
- libfam0c102 (2.7.0-17.3)
- Dummy package for libfam0
- libfam0c102
- virtueel pakket geboden door libfam0, libgamin0
- libfann-dev (2.2.0+ds-5)
- Development libraries and header files for FANN
- libfann-doc (2.2.0+ds-5)
- API documentation for FANN
- libfann2 (2.2.0+ds-5)
- Fast Artificial Neural Network Library
- libfannj-java (0.3-2)
- FannJ a Java binding to the Fast Artificial Neural Network (FANN) C library
- libfannj-java-doc (0.3-2)
- FannJ - Documentation
- libfap-dev (1.5-2)
- APRS Parser - Development Files
- libfap6 (1.5-2)
- APRS Parser
- libfarmhash-dev (0~git20171030.2f0e005-1)
- FarmHash, a family of hash functions (development files, docs)
- libfarmhash0 (0~git20171030.2f0e005-1)
- FarmHash, a family of hash functions (shared library)
- libfarstream-0.2-5 (0.2.8-4.1)
- Audio/Video communications framework: core library
- libfarstream-0.2-dev (0.2.8-4.1)
- Audio/Video communications framework: development files
- libfarstream-0.2-doc (0.2.8-4.1)
- Audio/Video communications framework: documentation
- libfast-zip-clojure (0.5.0-1)
- modification of clojure.zip that uses protocols and records
- libfast-zip-visit-clojure (1.0.2-2)
- Clojure zipper-based visitor library (fast-zip version)
- libfast5-dev (0.6.5-2)
- library for reading Oxford Nanopore Fast5 files -- headers
- libfastahack-dev (0.0+git20160702.bbc645f+dfsg-6)
- library for indexing and sequence extraction from FASTA files (devel)
- libfastahack0 (0.0+git20160702.bbc645f+dfsg-6)
- library for indexing and sequence extraction from FASTA files (lib)
- libfastinfoset-java (1.2.12-3)
- Java library implementing the Fast Infoset standard
- libfastjet-dev (3.0.6+dfsg-3+b13)
- Development files of FastJet
- libfastjet-fortran-dev (3.0.6+dfsg-3+b13)
- Fortran bindings of FastJet - development files
- libfastjet-fortran0 (3.0.6+dfsg-3+b13)
- Fortran bindings of FastJet
- libfastjet0v5 (3.0.6+dfsg-3+b13)
- Fast C++ code for the kt and other jet algorithms
- libfastjetplugins-dev (3.0.6+dfsg-3+b13)
- FastJet plugins - development files
- libfastjetplugins0 (3.0.6+dfsg-3+b13)
- FastJet plugins
- libfastjettools-dev (3.0.6+dfsg-3+b13)
- Tools for FastJet - development files
- libfastjettools0 (3.0.6+dfsg-3+b13)
- Tools for FastJet
- libfastjson-dev (0.99.8-2+deb10u1) [security]
- fast json library for C - development files
- libfastjson4 (0.99.8-2+deb10u1) [security]
- fast json library for C
- libfastutil-java (8.2.2-1)
- Java API providing type-specific maps, sets, lists and queues
- libfastutil-java-doc (8.2.2-1)
- API documentation for libfastutil-java
- libfathom-dev (1.0+git.20190120.0439ca-1)
- Library for probing Syzygy tablebases (development files)
- libfathom1 (1.0+git.20190120.0439ca-1)
- Library for probing Syzygy tablebases
- libfauhdli-dev (20180504-2)
- interpreter library and development files for fauhdli
- libfax-hylafax-client-perl (1.02-3)
- simple Perl client for HylaFAX fax server
- libfbclient2 (3.0.5.33100.ds4-2)
- Firebird client library
- libfccp-dev (0.0+git20160525~9bf299c-2)
- Fast C++ CSV Parser
- libfcgi
- virtueel pakket geboden door libfcgi0ldbl
- libfcgi-async-perl (0.22-1)
- FastCGI engine based on IO::Async
- libfcgi-bin (2.4.0-10)
- FastCGI bridge from CGI
- libfcgi-client-perl (0.09-1)
- Perl client library for FastCGI protocol
- libfcgi-dev (2.4.0-10)
- header files of FastCGI
- libfcgi-engine-perl (0.22-1)
- flexible engine for running FCGI-based applications
- libfcgi-ev-perl (2.0.1-1)
- module to support FastCGI protocol in EV-based applications
- libfcgi-perl (0.78-2+b3)
- helper module for FastCGI
- libfcgi-procmanager-maxrequests-perl (0.2-1)
- restrict max number of requests by each child
- libfcgi-procmanager-perl (0.28-1)
- Perl module to help manage FastCGI applications
- libfcgi0ldbl (2.4.0-10)
- shared library of FastCGI
- libfcitx-config4 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - configuration support library
- libfcitx-core0 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - library of core functions
- libfcitx-gclient1 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - D-Bus client library for Glib
- libfcitx-qt0 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - Meta package for Qt library
- libfcitx-qt5-1 (1.2.3-2+b1)
- Free Chinese Input Toy of X - D-Bus client libraries for Qt5
- libfcitx-qt5-data (1.2.3-2)
- Free Chinese Input Toy of X - data files for Qt5 integration
- libfcitx-qt5-dev (1.2.3-2+b1)
- Free Chinese Input Toy of X - Devel files for libfcitx-qt5
- libfcitx-utils0 (1:4.2.9.6-5+deb10u1)
- Flexible Input Method Framework - utility support library
- libfcitx5config-dev (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (config library dev files)
- libfcitx5config5 (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (config library)
- libfcitx5core-dev (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (core library dev files)
- libfcitx5core5 (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (core library)
- libfcitx5utils-dev (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (utils library dev files)
- libfcitx5utils1 (0~20181128+ds1-1)
- Fcitx Input Method Framework v5 (utils library)
- libfcl-dev (0.5.0-5)
- Flexible Collision Library - development files
- libfcl0.5 (0.5.0-5)
- Flexible Collision Library - shared library
- libfclib-dev (3.0.0+dfsg-2)
- read and write problems from the Friction Contact Library (headers)
- libfclib0 (3.0.0+dfsg-2)
- read and write problems from the Friction Contact Library (library)
- libfcml-dev (1.1.3-3)
- machine code manipulation library - development files
- libfcml-doc (1.1.3-3)
- machine code manipulation library - documentation
- libfcml0 (1.1.3-3)
- machine code manipulation library
- libfdcore6 (1.2.1-7+deb10u1)
- FreeDiameter - library for the Diameter protocol
- libfdisk-dev (2.33.1-0.1+deb10u1) [security]
- fdisk partitioning library - headers and static libraries
- libfdisk1 (2.33.1-0.1+deb10u1) [security]
- fdisk partitioning library
- libfdisk1-udeb (2.33.1-0.1)
- stripped down fdisk partitioning library, for debian-installer
- libfdk-aac-dev (0.1.6-1) [non-free]
- Fraunhofer FDK AAC Codec Library - development files
- libfdk-aac1 (0.1.6-1) [non-free]
- Fraunhofer FDK AAC Codec Library - runtime files
- libfdkaac-ocaml (0.2.1-1) [contrib]
- OCaml interface to the fdkaac library -- runtime files
- libfdkaac-ocaml-dev (0.2.1-1) [contrib]
- OCaml interface to the fdkaac library -- development files
- libfdkaac-ocaml-dynlink (0.2.1-1) [contrib]
- OCaml interface to the fdkaac library -- dynamically load
- libfdproto6 (1.2.1-7+deb10u1)
- Library for manipulating Diameter messages and dictionary
- libfdt-dev (1.4.7-4)
- Flat Device Trees manipulation library - development files
- libfdt1 (1.4.7-4)
- Flat Device Trees manipulation library
- libfeed-find-perl (0.07-2)
- Syndication feed auto-discovery
- libfelix-bundlerepository-java (2.0.10-4)
- Felix OSGi bundle repository service
- libfelix-bundlerepository-java-doc (2.0.10-4)
- Documentation for Felix OSGi bundle repository service
- libfelix-framework-java (4.6.1-2)
- Felix Framework subproject
- libfelix-framework-java-doc (4.6.1-2)
- Javadoc API documentation for the Felix Framework subproject
- libfelix-gogo-command-java (0.14.0-2)
- Apache Felix Gogo Command bundle
- libfelix-gogo-command-java-doc (0.14.0-2)
- Documentation for Apache Felix Gogo Command bundle
- libfelix-gogo-runtime-java (0.16.2-1)
- Apache Felix Gogo Runtime bundle
- libfelix-gogo-runtime-java-doc (0.16.2-1)
- Documentation for Apache Felix Gogo Runtime bundle
- libfelix-gogo-shell-java (0.12.0-1)
- Apache Felix Gogo Shell bundle
- libfelix-gogo-shell-java-doc (0.12.0-1)
- Documentation for Apache Felix Gogo Shell bundle
- libfelix-main-java (5.0.0-5)
- Libraries to instantiate and execute the Felix Framework
- libfelix-main-java-doc (5.0.0-5)
- Libraries to instantiate and execute OSGi Felix Framework - doc
- libfelix-osgi-obr-java (1.0.2-5)
- OSGi OBR Service API
- libfelix-osgi-obr-java-doc (1.0.2-5)
- Javadoc API for OSGi OBR Service API
- libfelix-resolver-java (1.14.0-1)
- OSGi Resolver implementation by Apache Felix
- libfelix-shell-java (1.4.3-2)
- Felix OSGi shell to issue commands to the framework
- libfelix-shell-java-doc (1.4.3-2)
- Felix OSGi shell - documentation
- libfelix-shell-tui-java (1.4.1-4)
- Apache Felix Shell TUI
- libfelix-shell-tui-java-doc (1.4.1-4)
- Documentation for Apache Felix Shell TUI
- libfelix-utils-java (1.8.6-1)
- collection of utility classes for Apache Felix
- libfelix-utils-java-doc (1.8.6-1)
- collection of utility classes for Apache Felix - documentation
- libfennec-lite-perl (0.4-2)
- minimalist Fennec implementation
- libfennec-perl (2.018-1)
- Perl module providing RSPEC, Workflows, Parallelization, and Encapsulation
- libfest-assert-java (2.0~M10-1)
- Java library that provides a fluent interface for writing assertions
- libfest-assert-java-doc (2.0~M10-1)
- Documentation for libfest-assert-java
- libfest-reflect-java (1.4.1-3)
- Java library for fluent reflection
- libfest-reflect-java-doc (1.4.1-3)
- Documentation for libfest-reflect-java
- libfest-test-java (2.1.0-1)
- common testing code for FEST (Fixtures for Easy Software Testing) packages
- libfest-test-java-doc (2.1.0-1)
- Documentation for libfest-test-java
- libfest-util-java (1.2.5-1)
- common utilities for FEST (Fixtures for Easy Software Testing) packages
- libfest-util-java-doc (1.2.5-1)
- Documentation for libfest-util-java
- libffado-dev (2.4.1-0.1)
- FFADO API - development files
- libffado2 (2.4.1-0.1)
- FFADO API
- libffcall-dev (2.1-2)
- foreign function call libraries - development files
- libffcall1-dev (2.1-2)
- foreign function call libraries - transitional package
- libffcall1b (2.1-2)
- foreign function call libraries - main shared library
- libffi-checklib-perl (0.23-1)
- module to check availability of a library for FFI
- libffi-dev (3.2.1-9)
- Foreign Function Interface library (development files)
- libffi-platypus-perl (0.83-1)
- module to create Perl bindings to non-Perl libraries with FFI
- libffi6 (3.2.1-9)
- Foreign Function Interface library runtime
- libffi6-dbg (3.2.1-9)
- Foreign Function Interface library runtime (debug symbols)
- libffi6-udeb (3.2.1-9)
- Foreign Function Interface library runtime
- libffindex-dev
- virtueel pakket geboden door libffindex0-dev
- libffindex0 (0.9.9.9-2)
- library for simple index/database for huge amounts of small files
- libffindex0-dev (0.9.9.9-2)
- library for simple index/database for huge amounts of small files (development)
- libffmpegthumbnailer-dev (2.1.1-0.2+b1)
- development files for ffmpegthumbnailer
- libffmpegthumbnailer4v5 (2.1.1-0.2+b1)
- shared library for ffmpegthumbnailer
- libffms2-4 (2.23-4)
- Cross platform ffmpeg wrapper library
- libffms2-dev (2.23-4)
- Development files for libffms2
- libfftw3-3 (3.3.8-2)
- Library for computing Fast Fourier Transforms
- libfftw3-bin (3.3.8-2)
- Library for computing Fast Fourier Transforms - Tools
- libfftw3-dev (3.3.8-2)
- Library for computing Fast Fourier Transforms - development
- libfftw3-doc (3.3.8-2)
- Documentation for fftw version 3
- libfftw3-double3 (3.3.8-2)
- Library for computing Fast Fourier Transforms - Double precision
- libfftw3-long3 (3.3.8-2)
- Library for computing Fast Fourier Transforms - Long precision
- libfftw3-mpi-dev (3.3.8-2)
- MPI Library for computing Fast Fourier Transforms - development
- libfftw3-mpi3 (3.3.8-2)
- MPI Library for computing Fast Fourier Transforms
- libfftw3-quad3 (3.3.8-2)
- Library for computing Fast Fourier Transforms - Quad precision
- libfftw3-single3 (3.3.8-2)
- Library for computing Fast Fourier Transforms - Single precision
- libfgetdata6 (0.10.0-5+deb10u1)
- library to read/write dirfile data - Fortran 77 bindings
- libfield3d-dev (1.7.2-1+b5)
- development files for Field3D
- libfield3d1.7 (1.7.2-1+b5)
- library for storing voxel data on disk and in memory
- libfieldslib-ocaml (113.33.03-2+b1)
- OCaml syntax extension that enables folding over record fields (runtime files)
- libfieldslib-ocaml-5hdo8
- virtueel pakket geboden door libfieldslib-ocaml
- libfieldslib-ocaml-dev (113.33.03-2+b1)
- OCaml syntax extension that enables folding over record fields (dev files)
- libfieldslib-ocaml-dev-5hdo8
- virtueel pakket geboden door libfieldslib-ocaml-dev
- libfifechan-dev (0.1.5-1)
- development files for the GUI library Fifechan
- libfifechan0.1.5 (0.1.5-1)
- lightweight cross platform GUI library written in C++
- libfile-basedir-perl (0.08-1)
- Perl module to use the freedesktop basedir specification
- libfile-bom-perl (0.16-1)
- Perl module for handling Byte Order Marks
- libfile-cache-perl (0.16-15)
- File::Cache, a filesystem-based object store
- libfile-changenotify-perl (0.31-1)
- module to monitor files for changes
- libfile-chdir-perl (0.1008-1)
- more sensible way to change directories
- libfile-checktree-perl (4.42-1)
- module for running many filetest checks on a tree
- libfile-chmod-perl (0.42-1)
- chmod() override with symbolic and ls-style modes
- libfile-configdir-perl (0.021-1)
- get directories of configuration files
- libfile-copy-link-perl (0.140-2)
- Perl extension for replacing a link by a copy of the linked file
- libfile-copy-recursive-perl (0.44-1)
- Perl extension for recursively copying files and directories
- libfile-copy-recursive-reduced-perl (0.006-1)
- module for recursive copying of files and directories
- libfile-counterfile-perl (1.04-5)
- persistent counter class for Perl
- libfile-countlines-perl (0.0.3-2)
- module for efficiently counting the number of lines in a file
- libfile-data-perl (1.20-1)
- interface to file data
- libfile-desktopentry-perl (0.22-1)
- Perl module to handle freedesktop .desktop files
- libfile-dircompare-perl (0.7-2)
- Perl module to compare two directories
- libfile-dropbox-perl (0.7-1)
- convenient and fast Dropbox API abstraction
- libfile-extattr-perl (1.09-4+b6)
- Perl interface to file system extended attributes
- libfile-fcntllock-perl (0.22-3+b5)
- Perl module for file locking with fcntl(2)
- libfile-find-object-perl (0.3.2-1)
- object oriented File::Find replacement
- libfile-find-object-rule-perl (0.0309-1)
- alternative interface to File::Find::Object
- libfile-find-rule-filesys-virtual-perl (1.22-2)
- File::Find::Rule adapted to Filesys::Virtual
- libfile-find-rule-perl (0.34-1)
- module to search for files based on rules
- libfile-find-rule-perl-perl (1.15-2)
- Perl module for searching Perl things
- libfile-find-rule-vcs-perl (1.08-2)
- Perl module to exclude files/directories for Version Control Systems
- libfile-find-wanted-perl (1.00-1)
- more obvious wrapper around File::Find
- libfile-finder-perl (0.53-2)
- wrapper for File::Find à la find(1)
- libfile-flat-perl (1.05-1)
- flat filesystem module for perl
- libfile-flock-perl (2014.01-2)
- file locking with flock
- libfile-flock-retry-perl (0.62-1)
- lightweight OO-only flock perl module with autoretry
- libfile-fnmatch-perl (0.02-2+b6)
- Perl module that provides simple filename and pathname matching
- libfile-fu-perl (0.0.8-3)
- module for manipulating files and directories as objects
- libfile-grep-perl (0.02-1)
- module for pattern matching in a series of files
- libfile-homedir-perl (1.004-1)
- Perl module for finding user directories across platforms
- libfile-inplace-perl (0.20-2)
- Perl module to ease editing a file in-place
- libfile-keepass-perl (2.03-1)
- interface to KeePass V1 and V2 database files
- libfile-lchown-perl (0.02-2+b5)
- module to modify attributes of symlinks without dereferencing them
- libfile-libmagic-perl (1.16-1+b1)
- Perl interface to libmagic for determining file type
- libfile-listing-perl (6.04-1)
- module to parse directory listings
- libfile-localizenewlines-perl (1.12-1)
- Perl module to localize the newlines for one or more files
- libfile-map-perl (0.66-1)
- Perl module providing simple and safe memory mapping
- libfile-mimeinfo-perl (0.29-1)
- Perl module to determine file types
- libfile-mmagic-perl (1.30-1)
- Perl module to guess file type
- libfile-mmagic-xs-perl (0.09008-2+b6)
- Perl module to guess file type (à la mod_mime_magic)
- libfile-modified-perl (0.10-1)
- module to check if files have changed
- libfile-monitor-lite-perl (0.652003-1)
- module for monitoring file creation, deletion, and modification
- libfile-monitor-perl (1.00-1)
- module to monitor file and directory changes
- libfile-ncopy-perl (0.36-2)
- module for file copying like cp
- libfile-next-perl (1.16-2)
- file-finding iterator
- libfile-nfslock-perl (1.29-1)
- perl module to do NFS (or not) locking
- libfile-path-expand-perl (1.02-3)
- expand user directories in filenames
- libfile-path-perl (= 2.15)
- virtueel pakket geboden door perl-base
- libfile-path-tiny-perl (0.9-1)
- recursive version of mkdir() and rmdir()
- libfile-pid-perl (1.01-2)
- Perl module for pid file manipulation
- libfile-policy-perl (1.005-2)
- simple policy for file I/O functions
- libfile-pushd-perl (1.016-1)
- module for changing directory temporarily for a limited scope
- libfile-queue-perl (1.01a-2)
- Perl module providing a persistent FIFO Queue using a file
- libfile-read-perl (0.0801-5)
- interface for reading one or more files
- libfile-readbackwards-perl (1.05-2)
- Perl module for reading a file backwards by lines
- libfile-remove-perl (1.58-1)
- Perl module to remove files or directories
- libfile-rename-perl
- virtueel pakket geboden door rename
- libfile-rsync-perl (0.49-1)
- Perl module interface to rsync
- libfile-rsyncp-perl (0.74-2.1+b5)
- Perl based implementation of an Rsync client
- libfile-save-home-perl (0.11-1)
- Perl extension to place file safely under user home directory
- libfile-searchpath-perl (0.06-2.1)
- Perl module for searching for a file in a PATH-like variable
- libfile-share-perl (0.25-1)
- replacement for File::ShareDir to deal with a development environment
- libfile-sharedir-install-perl (0.13-1)
- module for installing read-only data files
- libfile-sharedir-par-perl (0.06-2)
- module for handling shared data in PAR archives
- libfile-sharedir-perl (1.116-2)
- module to locate non-code files during run-time
- libfile-sharedir-projectdistdir-perl (1.000009-1)
- simple set-and-forget use of a share directory in the project root
- libfile-slurp-perl (9999.26-1)
- single call read & write file routines
- libfile-slurp-tiny-perl (0.004-1)
- simple, sane and efficient file slurper
- libfile-slurp-unicode-perl (0.7.1-2)
- Perl module to add Unicode support for the File::Slurp package
- libfile-slurper-perl (0.012-1)
- simple, sane and efficient module to slurp a file
- libfile-spec-native-perl (1.004-1)
- module for using native OS implementation of File::Spec from a subclass
- libfile-spec-perl (= 3.7400)
- virtueel pakket geboden door perl
- libfile-stripnondeterminism-perl (1.1.2-1)
- file non-deterministic information stripper — Perl module
- libfile-sync-perl (0.11-2+b6)
- Perl interface to sync() and fsync()
- libfile-tail-perl (1.3-5)
- File::Tail perl module
- libfile-tee-perl (0.07-2)
- Perl module to duplicate streams like the tee unix command
- libfile-temp-perl (= 0.2304)
- virtueel pakket geboden door perl-base
- libfile-touch-perl (0.11-1)
- Perl interface to touch-like functions
- libfile-type-perl (0.22-3)
- module to determine file type using magic structure
- libfile-type-webimages-perl (1.01-2)
- tool for determining web image file types using magic
- libfile-userconfig-perl (0.06-4)
- provides configuration directory for applications
- libfile-util-perl (4.161950-1)
- Perl extension to handle files easily
- libfile-which-perl (1.23-1)
- Perl module for searching paths for executable programs
- libfile-wildcard-perl (0.11-3)
- Enhanced glob processing
- libfile-write-rotate-perl (0.31-1)
- module to deal with files that archive/rotate themselves
- libfile-zglob-perl (0.11-1)
- extended globs
- libfileclasses1 (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - libfileClasses
- libfilehandle-fmode-perl (0.14-2+b1)
- Perl module for checking filehandle status
- libfilehandle-unget-perl (0.1634-1)
- Perl module that allows ungetting of multiple bytes
- libfilesys-df-perl (0.92-6+b4)
- Module to obtain filesystem disk space information
- libfilesys-diskspace-perl (0.05-16+nmu2)
- fetch filesystem size and usage information from Perl
- libfilesys-notify-simple-perl (0.13-1)
- simple file system monitor
- libfilesys-smbclient-perl (3.2-3+b1)
- perl interface to access Samba filesystem
- libfilesys-statvfs-perl (0.82-3+b6)
- Perl module for the statvfs system call
- libfilesys-virtual-perl (0.06-1)
- Perl framework for a virtual filesystem
- libfilesys-virtual-plain-perl (0.10-1)
- plain virtual filesystem
- libfilesystem-ruby (0.5-5.1)
- Transitional package for ruby-filesystem
- libfilesystem-ruby
- virtueel pakket geboden door ruby-filesystem
- libfilesystem-ruby1.8 (0.5-5.1)
- Transitional package for ruby-filesystem
- libfilesystem-ruby1.8
- virtueel pakket geboden door ruby-filesystem
- libfilesystem-ruby1.9 (0.5-5.1)
- Transitional package for ruby-filesystem
- libfilesystem-ruby1.9
- virtueel pakket geboden door ruby-filesystem
- libfileutils-ocaml-dev (0.5.3-1)
- File manipulation for OCaml
- libfileutils-ocaml-dev-51qo0
- virtueel pakket geboden door libfileutils-ocaml-dev
- libfileutils-ocaml-dev-zp938
- virtueel pakket geboden door libfileutils-ocaml-dev
- libfilezilla-dev (0.15.1-1)
- build high-performing platform-independent programs (development)
- libfilezilla0 (0.15.1-1)
- build high-performing platform-independent programs (runtime lib)
- libfilter-eof-perl (0.04-3)
- module to run a callback after a file has been compiled
- libfilter-perl (1.59-2)
- Perl source filters
- libfilter-template-perl (1.043-1)
- source filter for inline code templates (macros)
- libfinance-bank-ie-permanenttsb-perl (0.4-3)
- perl interface to the PermanentTSB Open24 homebanking
- libfinance-qif-perl (3.02-1)
- Parse and create Quicken Interchange Format files
- libfinance-quote-perl (1.47-1)
- Perl module for retrieving stock quotes from a variety of sources
- libfinance-quotehist-perl (1.27-1)
- Perl modules for fetching historical stock quotes from the web
- libfinance-streamer-perl (1.10-3)
- Perl5 module with interface to Datek Streamer
- libfind-lib-perl (1.04-1)
- Perl module to intelligently find libraries
- libfindbin-libs-perl (2.190.02-1)
- Perl module to use a relative path for lib directories
- libfindbugs-annotations-java (3.1.0~preview2-3)
- Static java code analyzer to find bugs (Annotations)
- libfindbugs-ant-java (3.1.0~preview2-3)
- Static java code analyzer to find bugs (Ant tasks)
- libfindbugs-java (3.1.0~preview2-3)
- Static java code analyzer to find bugs (Library)
- libfindlib-ocaml (1.7.3-2+b2 [armhf], 1.7.3-2+b1 [amd64, arm64, i386])
- library for managing OCaml libraries (runtime)
- libfindlib-ocaml-2vsn1
- virtueel pakket geboden door libfindlib-ocaml
- libfindlib-ocaml-dev (1.7.3-2+b2 [armhf], 1.7.3-2+b1 [amd64, arm64, i386])
- library for managing OCaml libraries (development files)
- libfindlib-ocaml-dev-2vsn1
- virtueel pakket geboden door libfindlib-ocaml-dev
- libfindlib-ocaml-dev-ima03
- virtueel pakket geboden door libfindlib-ocaml-dev
- libfindlib-ocaml-ima03
- virtueel pakket geboden door libfindlib-ocaml
- libfishsound-dev
- virtueel pakket geboden door libfishsound1-dev
- libfishsound1 (1.0.0-8)
- simple API that wraps Xiph.Org audio codecs
- libfishsound1-dev (1.0.0-8)
- simple API that wraps Xiph.Org audio codecs (development files)
- libfits-java (1.15.2-1)
- Java library for the I/O handling of FITS files
- libfits-java-doc (1.15.2-1)
- Java library for the I/O handling of FITS files (javadoc)
- libfiu-dev (0.98-2)
- userspace fault injection framework (development libraries)
- libfiu0 (0.98-2)
- userspace fault injection framework
- libfixbuf-dev (2.2.0+ds-2)
- Implementation of the IPFIX protocol - development headers
- libfixbuf-doc (2.2.0+ds-2)
- Implementation of the IPFIX protocol - documentation
- libfixbuf8 (2.2.0+ds-2)
- Implementation of the IPFIX protocol - shared library
- libfixposix-dev (1:0.4.3-1)
- Replacement for inconsistent parts of POSIX (development)
- libfixposix3 (1:0.4.3-1)
- Replacement for inconsistent parts of POSIX (runtime)
- libfizmo-common (0.7.15-2)
- Provides localization data for libfizmo
- libfizmo-dev (0.7.15-2)
- Z-Machine interpreter developer library
- libfko-doc (2.6.10-2)
- FireWall KNock OPerator - documentation
- libfko-perl (2.6.10-2)
- FireWall KNock OPerator - Perl module
- libfko-python (2.6.10-2)
- FireWall KNock OPerator - Python module
- libfko3 (2.6.10-2)
- FireWall KNock OPerator - shared library
- libfko3-dev (2.6.10-2)
- FireWall KNock OPerator - development library
- libfl-dev (2.6.4-6.2)
- static library for flex (a fast lexical analyzer generator)
- libfl2 (2.6.4-6.2)
- SHARED library for flex (a fast lexical analyzer generator)
- libflac++-dev (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - C++ development library
- libflac++6v5 (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - C++ runtime library
- libflac-dev (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - C development library
- libflac-doc (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - library documentation
- libflac-ocaml (0.1.3-2)
- OCaml interface to the flac library -- runtime files
- libflac-ocaml-3kqo2
- virtueel pakket geboden door libflac-ocaml
- libflac-ocaml-dev (0.1.3-2)
- OCaml interface to the flac library -- development files
- libflac-ocaml-dev-3kqo2
- virtueel pakket geboden door libflac-ocaml-dev
- libflac-ocaml-dev-f4v70
- virtueel pakket geboden door libflac-ocaml-dev
- libflac-ocaml-f4v70
- virtueel pakket geboden door libflac-ocaml
- libflac8 (1.3.2-3+deb10u3) [security]
- Free Lossless Audio Codec - runtime C library
- libflake-dev (0.11-3)
- Alternative encoder for the Free Lossless Audio Codec -- Development files
- libflamingo-java (7.3+dfsg3-4)
- Provides a swing ribbon container for Java applications
- libflamingo-java-doc (7.3+dfsg3-4)
- Provides a swing ribbon container for Java applications (documentation)
- libflang-dev (20181226-2)
- flang library - Development package.
- libflang0d-7 (20181226-2)
- Runtime libraries for the flang Fortran compiler
- libflann-dev (1.9.1+dfsg-7)
- Fast Library for Approximate Nearest Neighbors - development
- libflann1.9 (1.9.1+dfsg-7)
- Fast Library for Approximate Nearest Neighbors - runtime
- libflatpak-dev (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps (development)
- libflatpak-doc (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps (documentation)
- libflatpak0 (1.2.5-0+deb10u4)
- Application deployment framework for desktop apps (library)
- libflatzebra-0.1-2v5 (0.1.6-5)
- Generic Game Engine library
- libflatzebra-dev (0.1.6-5)
- Generic Game Engine library development files
- libflexdock-java (1.2.4-1)
- Swing Java docking framework
- libflexdock-java-demo (1.2.4-1)
- Swing Java docking framework - demos and examples
- libflexdock-java-doc (1.2.4-1)
- Swing Java docking framework - demos and examples
- libflext-pd0 (0.6.0+git20161101.1.01318a94-3)
- Flext C++ external layer for Pd
- libflickcurl-dev (1.26-4)
- C library for accessing the Flickr API - development files
- libflickcurl0 (1.26-4)
- C library for accessing the Flickr API
- libflickcurl0-dbg (1.26-4)
- C library for accessing the Flickr API - debugging symbols
- libflickr-api-perl (1.28-2)
- Perl interface to the Flickr API
- libflickr-upload-perl (1.60-1)
- module for uploading images to flickr.com
- libflightcrew-dev (0.7.2+dfsg-13+deb10u1)
- C++ library development filesfor epub validation
- libflightcrew0v5 (0.7.2+dfsg-13+deb10u1)
- C++ library for epub validation
- libflint-2.5.2 (2.5.2-19)
- C library for number theory, shared library
- libflint-arb-dev (1:2.16.0-2)
- C library for arbitrary-precision ball arithmetic, development files
- libflint-arb-doc (1:2.16.0-2)
- C library for arbitrary-precision ball arithmetic, documentation
- libflint-arb2 (1:2.16.0-2)
- C library for arbitrary-precision ball arithmetic, shared library
- libflint-dev (2.5.2-19)
- C library for number theory, development files
- libflint-doc (2.5.2-19)
- Documentation for the FLINT library
- libflite1 (2.1-release-3)
- Small run-time speech synthesis engine - shared libraries
- libflorence-1.0-1 (0.6.3-1.2)
- extensible and scalable virtual keyboard for X
- libflorence-1.0-dev (0.6.3-1.2)
- extensible and scalable virtual keyboard for X
- libflorist2018 (2017-5)
- POSIX.5 Ada interface to operating system services: shared library
- libflorist2018-dev (2017-5)
- POSIX.5 Ada interface to operating system services: development
- libflowcanvas-dev (0.7.1+dfsg0-0.4)
- interactive widget for “boxes and lines” environments - header files
- libflowcanvas5v5 (0.7.1+dfsg0-0.4)
- interactive widget for “boxes and lines” environments
- libfltk-cairo1.3 (1.3.4-9)
- Fast Light Toolkit - Cairo rendering layer support
- libfltk-dev
- virtueel pakket geboden door libfltk1.3-dev, libfltk1.1-dev
- libfltk-forms1.3 (1.3.4-9)
- Fast Light Toolkit - Forms compatibility layer support
- libfltk-gl1.3 (1.3.4-9)
- Fast Light Toolkit - OpenGL rendering support
- libfltk-images1.3 (1.3.4-9)
- Fast Light Toolkit - image loading support
- libfltk1.1 (1.1.10-26)
- Fast Light Toolkit - shared libraries
- libfltk1.1-dev (1.1.10-26)
- Fast Light Toolkit - development files
- libfltk1.3 (1.3.4-9)
- Fast Light Toolkit - main shared library
- (1.3.4-9)
- Fast Light Toolkit - compatibility header symlinks
- libfltk1.3-dev (1.3.4-9)
- Fast Light Toolkit - development files
- libfluidsynth-dev (1.1.11-1+deb10u1)
- Real-time MIDI software synthesizer (development files)
- libfluidsynth1 (1.1.11-1+deb10u1)
- Real-time MIDI software synthesizer (runtime library)
- libflute-java (1:1.1.6-4)
- Java CSS parser using SAC (JFree version)
- libflute-java-doc (1:1.1.6-4)
- Java CSS parser using SAC (JFree version) -- documentation
- libflxmlrpc-dev (0.1.4-5)
- fldigi suite XmlRpc library - Development files
- libflxmlrpc1 (0.1.4-5)
- fldigi suite XmlRpc library
- libfm-data (1.3.1-1)
- file management support (common data)
- libfm-dbg (1.3.1-1)
- file management support (libfm debug)
- libfm-dev (1.3.1-1)
- file management support (core development files)
- libfm-doc (1.3.1-1)
- file management support (development documentation)
- (1.3.1-1)
- file management support (libfm-extra development files)
- (1.3.1-1)
- file management support (extra library)
- libfm-gtk-bin
- virtueel pakket geboden door libfm-tools
- libfm-gtk-data (1.3.1-1)
- file management support (GTK+ library common data)
- libfm-gtk-dbg (1.3.1-1)
- file management support (libfm-gtk debug)
- libfm-gtk-dev (1.3.1-1)
- file management support (GTK+ GUI development files)
- libfm-gtk4 (1.3.1-1)
- file management support (GTK+ 2.0 GUI library)
- libfm-modules (1.3.1-1)
- file management support (loadable modules for library)
- libfm-modules-dbg (1.3.1-1)
- file management support (libfm-modules debug)
- libfm-qt
- virtueel pakket geboden door libfm-qt6
- libfm-qt-dev (0.14.1-9)
- file management support library for pcmanfm-qt (development files)
- libfm-qt-l10n (0.14.1-9)
- Language package for libfm-qt
- libfm-qt6 (0.14.1-9)
- file management support for pcmanfm-qt
- libfm-tools (1.3.1-1)
- file management support (utilities)
- libfm4 (1.3.1-1)
- file management support (core library)
- libfml-dev (0.1-5)
- development headers for libfml
- libfml0 (0.1-5)
- library for assembling Illumina short reads in small regions
- libfmt-dev (5.2.1+ds-2)
- fast type-safe C++ formatting library -- development files
- libfmt-doc (5.2.1+ds-2)
- fast type-safe C++ formatting library -- documentation
- libfolia-dev (1.15-1)
- Implementation of the FoLiA document format (C++ headers)
- libfolia9 (1.15-1)
- Implementation of the FoLiA document format
- libfolks-dev (0.11.4-1+b2)
- library to aggregates people into metacontact - development files
- libfolks-dummy-dev (0.11.4-1+b2)
- Dummy backend for libfolks - development files
- libfolks-dummy25 (0.11.4-1+b2)
- Dummy backend for libfolks
- libfolks-eds-dev (0.11.4-1+b2)
- Evolution-data-server backend for libfolks - development files
- libfolks-eds25 (0.11.4-1+b2)
- Evolution-data-server backend for libfolks
- libfolks-telepathy-dev (0.11.4-1+b2)
- Telepathy backend for libfolks - development files
- libfolks-telepathy25 (0.11.4-1+b2)
- Telepathy backend for libfolks
- libfolks25 (0.11.4-1+b2)
- library to aggregates people into metacontacts
- libfoma-dev (0.9.18+r243-1+b3)
- Xerox-compatible finite-state compiler - development files
- libfoma0 (0.9.18+r243-1+b3)
- Xerox-compatible finite-state compiler - library
- libfont-afm-perl (1.20-2)
- Font::AFM - Interface to Adobe Font Metrics files
- libfont-freetype-perl (0.12-1)
- module to read font files and render glyphs from Perl using FreeType2
- libfont-ttf-perl (1.06-1)
- Perl module for TrueType font hacking
- libfontawesomefx-java (9.1.2-1)
- Font Awesome perfectly integrated into JavaFX
- libfontbox-java (1:1.8.16-2)
- Java font library
- libfontbox-java-doc (1:1.8.16-2)
- Java font library (Documentation)
- libfontbox2-java (2.0.13-2)
- Java font library
- libfontbox2-java-doc (2.0.13-2)
- Java font library (Documentation)
- libfontchooser-java (1.0.0-3)
- Java control to allow font selection
- libfontconfig
- virtueel pakket geboden door libfontconfig1
- libfontconfig-dev
- virtueel pakket geboden door libfontconfig1-dev
- libfontconfig1 (2.13.1-2)
- generic font configuration library - runtime
- libfontconfig1-dev (2.13.1-2)
- generic font configuration library - development
- libfontembed-dev (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Development files for font embed library
- libfontembed1 (1.21.6-5+deb10u1) [security]
- OpenPrinting CUPS Filters - Font Embed Shared library
- libfontenc-dev (1:1.1.3-1+b2)
- X11 font encoding library (development headers)
- libfontenc1 (1:1.1.3-1+b2)
- X11 font encoding library
- libfontenc1-dbg (1:1.1.3-1+b2)
- X11 font encoding library (debug package)
- libfontenc1-udeb (1:1.1.3-1+b2)
- X11 font encoding library
- libfontforge-dev (1:20170731~dfsg-1+deb10u1) [security]
- font editor - runtime library (development files)
- libfontforge2 (1:20170731~dfsg-1+deb10u1) [security]
- font editor - runtime library
- libfonts-java (1.1.6.dfsg-3)
- Java fonts layouting library
- libfonts-java-doc (1.1.6.dfsg-3)
- Java fonts layouting library -- documentation
- libfop-java (1:2.3-2)
- XML formatter driven by XSL Formatting Objects (XSL-FO.) - libs
- libforest-perl (0.10-1)
- collection of N-ary tree related modules
- libforge-dev (1.0.1-3)
- development files for forge
- libforge1 (1.0.1-3)
- high-performance OpenGL visualization
- libforks-perl (0.36-2+b4)
- Perl module to emulate threads with fork
- libformat-human-bytes-perl (0.06-1)
- Format a bytecount and make it human readable
- libforms-bin (1.2.3-1.3+b1)
- Support binaries for the XForms widget library
- libforms-dev (1.2.3-1.3+b1)
- Header files and static libraries for the XForms widget library
- libforms-doc (1.2.3-1.3)
- Documentation for the XForms graphical interface library
- libforms2 (1.2.3-1.3+b1)
- The XForms graphical interface widget library
- libformsgl-dev (1.2.3-1.3+b1)
- Header files and static libraries for the OpenGL XForms library
- libformsgl2 (1.2.3-1.3+b1)
- The OpenGL XForms graphical interface widget library
- libformula-java (1.1.7.dfsg-2)
- Excel(tm) style formula expressions library
- libformula-java-doc (1.1.7.dfsg-2)
- Excel(tm) style formula expressions library
- libformvalidator-simple-perl (0.29-2)
- module for validating forms with simple chains of constraints
- libfortran-format-perl (0.90-1)
- Package to parse Fortran formats string descriptors in Perl
- libfortune-perl (0.2+ds-1)
- Perl module to read fortune (strfile) databases
- libfosfat0 (0.4.0-13-ged091bb-3)
- API for the Smaky file system
- libfosgra0 (0.4.0-13-ged091bb-3)
- library to decode Smaky .IMAGE files
- libfox-1.6-0 (1.6.57-1)
- FOX C++ GUI Toolkit - runtime library
- libfox-1.6-dev (1.6.57-1)
- FOX C++ GUI Toolkit - development headers
- libfox-1.6-doc (1.6.57-1)
- FOX C++ GUI Toolkit - documentation
- libfparser-dev (4.5.2-2)
- Function Parser for C++ - development files
- libfparser4 (4.5.2-2)
- Function Parser for C++
- libfpga-dev (0.0+201212-1+b2)
- development files for fpgatools
- libfpga0 (0.0+201212-1+b2)
- libraries of fpgatools
- libfplll-dev (5.2.1-2)
- Library for LLL-reduction of Euclidean lattices, development
- libfplll5 (5.2.1-2)
- Library for LLL-reduction of Euclidean lattices, runtime
- libfpm-helper0 (4.2-2.1)
- ASP.NET backend for FastCGI Process Manager - helper lib
- libfprint-dev (1:0.8.2-3)
- async fingerprint library of fprint project, development headers
- libfprint-doc (1:0.8.2-3)
- async fingerprint library of fprint project, API documentation
- libfprint0 (1:0.8.2-3)
- async fingerprint library of fprint project, shared libraries
- libframe-dev (2.5.0-3+b2)
- Touch Frame Library - dev files
- libframe6 (2.5.0-3+b2)
- Touch Frame Library
- libfreebsd-glue-0 (0.2.22)
- FreeBSD glue environment (shared objects)
- libfreecad-python2-0.18 (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - Python 2 library files
- libfreecad-python3-0.18 (0.18~pre1+dfsg1-5+deb10u1) [security]
- Extensible Open Source CAx program - Python 3 library files
- libfreecell-solver-dev (5.0.0-2)
- Library for solving Freecell games (Development files)
- libfreecell-solver0 (5.0.0-2)
- Library for solving Freecell games
- libfreecontact-dev (1.0.21-7+b1)
- fast protein contact predictor library - development files
- libfreecontact-dev
- virtueel pakket geboden door libfreecontact-dev
- libfreecontact-doc (1.0.21-7)
- documentation for libfreecontact
- libfreecontact-perl (0.08-7+b1)
- fast protein contact predictor - binding for Perl
- libfreecontact0v5 (1.0.21-7+b1)
- fast protein contact predictor library
- libfreediameter-dev (1.2.1-7+deb10u1)
- Library for the Diameter protocol (development files)
- libfreefare-bin (0.4.0-2+b2)
- MIFARE card manipulations binaries
- libfreefare-dev (0.4.0-2+b2)
- MIFARE card manipulations library (development files)
- libfreefare-doc (0.4.0-2)
- documentation for libfreefare
- libfreefare0 (0.4.0-2+b2)
- MIFARE card manipulations library
- libfreefem
- virtueel pakket geboden door libfreefem0
- libfreefem++ (3.61.1+dfsg1-4)
- Provides the shared libraries of the FreeFem++ FE suite
- libfreefem++-dev (3.61.1+dfsg1-4)
- Provides the development files of the FreeFem++ FE suite
- libfreefem-dev (3.5.8-7)
- Development library, header files and manpages
- libfreefem-doc (3.5.8-7)
- Documentation for FreeFEM development
- libfreefem0 (3.5.8-7)
- Shared libraries for FreeFEM
- libfreehand-0.1-1 (0.1.2-2)
- Library for parsing the FreeHand file format structure
- libfreehand-dev (0.1.2-2)
- Library for parsing the FreeHand file format structure -- development
- libfreehdl0 (0.0.8-2.2)
- VHDL simulator for Linux (shared libraries)
- libfreehdl0-dev (0.0.8-2.2)
- VHDL simulator for Linux (development headers)
- libfreehep-chartableconverter-plugin-java (2.0-10)
- FreeHEP Character Table Converter
- libfreehep-export-java (2.1.1-4)
- FreeHEP Export and Save As Library
- libfreehep-graphics2d-java (2.1.1-6)
- FreeHEP 2D Graphics Library
- libfreehep-graphicsio-emf-java (2.1.1-emfplus+dfsg1-4)
- FreeHEP Enhanced Metafile Format Driver
- libfreehep-graphicsio-java (2.1.1-5)
- FreeHEP GraphicsIO Base Library
- libfreehep-graphicsio-java-java (2.1.1-3)
- FreeHEP Java Driver
- libfreehep-graphicsio-pdf-java (2.1.1+dfsg-3)
- FreeHEP Portable Document Format Driver
- libfreehep-graphicsio-ps-java (2.1.1-3)
- FreeHEP (Encapsulated) PostScript Driver
- libfreehep-graphicsio-svg-java (2.1.1-5)
- FreeHEP Scalable Vector Graphics Driver
- libfreehep-graphicsio-swf-java (2.1.1+dfsg-3)
- FreeHEP SWF (Flash) Driver
- libfreehep-graphicsio-tests-java (2.1.1+dfsg1-5)
- FreeHEP GraphicsIO Test Library
- libfreehep-io-java (2.0.2-6)
- FreeHEP I/O library
- libfreehep-swing-java (2.0.3-5)
- FreeHEP swing extensions
- libfreehep-util-java (2.0.2-7)
- FreeHEP utility library
- libfreehep-xml-java (2.1.2+dfsg1-5)
- FreeHEP XML Library
- libfreeimage-dev (3.18.0+ds2-1+deb10u2) [security]
- Support library for graphics image formats (development files)
- libfreeimage3 (3.18.0+ds2-1+deb10u2) [security]
- Support library for graphics image formats (library)
- libfreeimageplus-dev (3.18.0+ds2-1+deb10u2) [security]
- C++ wrappers for FreeImage (development files)
- libfreeimageplus-doc (3.18.0+ds2-1+deb10u2) [security]
- C++ wrappers for FreeImage (documentation)
- libfreeimageplus3 (3.18.0+ds2-1+deb10u2) [security]
- C++ wrappers for freeimage (library)
- libfreeipmi-dev (1.6.3-1.1)
- GNU IPMI - development package
- libfreeipmi17 (1.6.3-1.1)
- GNU IPMI - libraries
- libfreemarker-java (2.3.23-9)
- template engine written in Java
- libfreemarker-java-doc (2.3.23-9)
- template engine written in Java (documentation)
- libfreenect-bin (1:0.5.3-1+b2)
- library for accessing Kinect device -- utilities and samples
- libfreenect-demos (1:0.5.3-1+b2)
- library for accessing Kinect device -- dummy package
- libfreenect-dev (1:0.5.3-1+b2)
- library for accessing Kinect device -- development files
- libfreenect-doc (1:0.5.3-1)
- library for accessing Kinect device -- documentation
- libfreenect0.5 (1:0.5.3-1+b2)
- library for accessing Kinect device
- libfreeradius-dev (3.0.17+dfsg-1.1+deb10u2) [security]
- FreeRADIUS shared library development files
- libfreeradius3 (3.0.17+dfsg-1.1+deb10u2) [security]
- FreeRADIUS shared library
- libfreerdp-client2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- Free Remote Desktop Protocol library (client library)
- libfreerdp-server2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- Free Remote Desktop Protocol library (server library)
- libfreerdp-shadow-subsystem2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- FreeRDP Remote Desktop Protocol shadow subsystem libraries
- libfreerdp-shadow2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- FreeRDP Remote Desktop Protocol shadow libraries
- libfreerdp2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- Free Remote Desktop Protocol library (core library)
- libfreesrp-dev (0.3.0-2)
- Software defined radio support for FreeSRP hardware (development files)
- libfreesrp0 (0.3.0-2)
- Software defined radio support for FreeSRP hardware (library)
- libfreetype6 (2.9.1-3+deb10u3)
- FreeType 2 font engine, shared library files
- libfreetype6-dev (2.9.1-3+deb10u3)
- FreeType 2 font engine, development files
- libfreetype6-udeb (2.9.1-3+deb10u3)
- FreeType 2 font engine for the debian-installer
- libfreexl-dev (1.0.5-3)
- library for direct reading of Microsoft Excel spreadsheets - devel
- libfreexl1 (1.0.5-3)
- library for direct reading of Microsoft Excel spreadsheets
- libfreezethaw-perl (0.5001-2)
- module to serialize and deserialize Perl data structures
- libfrei0r-ocaml (0.1.0-3+b4 [armhf], 0.1.0-3+b3 [amd64, i386], 0.1.0-3+b2 [arm64])
- OCaml interface to the frei0r API -- runtime files
- libfrei0r-ocaml-16eb9
- virtueel pakket geboden door libfrei0r-ocaml
- libfrei0r-ocaml-dev (0.1.0-3+b4 [armhf], 0.1.0-3+b3 [amd64, i386], 0.1.0-3+b2 [arm64])
- OCaml interface to the frei0r API -- developpement files
- libfrei0r-ocaml-dev-16eb9
- virtueel pakket geboden door libfrei0r-ocaml-dev
- libfrei0r-ocaml-dev-fgm56
- virtueel pakket geboden door libfrei0r-ocaml-dev
- libfrei0r-ocaml-fgm56
- virtueel pakket geboden door libfrei0r-ocaml
- libfribidi-bin (1.0.5-3.1+deb10u2)
- Free Implementation of the Unicode BiDi algorithm (utility)
- libfribidi-dev (1.0.5-3.1+deb10u2)
- Development files for FreeBidi library
- libfribidi0 (1.0.5-3.1+deb10u2)
- Free Implementation of the Unicode BiDi algorithm
- libfribidi0-udeb (1.0.5-3.1+deb10u2)
- Free Implementation of the Unicode BiDi algorithm
- libfrobby-dev (0.9.0-5+b11)
- Computations with monomial ideals (development tools)
- libfrobby-doc (0.9.0-5)
- Computations with monomial ideals (library documentation)
- libfrobby0 (0.9.0-5+b11)
- Computations with monomial ideals (shared library)
- libfrog-dev (0.15-1)
- tagger and parser for natural languages (headers)
- libfrog1 (0.15-1)
- tagger and parser for Dutch language (library)
- libfrontier-rpc-perl (0.07b4-7)
- Perl module to implement RPC calls using XML requests
- libfs-dev (2:1.0.7-1+b2)
- X11 Font Services library (development headers)
- libfs6 (2:1.0.7-1+b2)
- X11 Font Services library
- libfs6-dbg (2:1.0.7-1+b2)
- X11 Font Services library (debug package)
- libfsapfs-dev (20190210-1)
- APFS access library -- development files
- libfsapfs-utils (20190210-1)
- APFS access library -- Utilities
- libfsapfs1 (20190210-1)
- APFS access library
- libfsntfs-dev (20190104-1)
- NTFS access library -- development files
- libfsntfs-utils (20190104-1)
- NTFS access library -- Utilities
- libfsntfs1 (20190104-1)
- NTFS access library
- libfsplib-dev (0.11-2)
- FSP v2 protocol stack library - development files
- libfsplib0 (0.11-2)
- FSP v2 protocol stack library - shared library
- libfst-dev (1.6.3-2)
- weighted finite-state transducers library (development)
- libfst-tools (1.6.3-2)
- weighted finite-state transducers library (tools)
- libfst8 (1.6.3-2)
- weighted finite-state transducers library (runtime)
- libfst8-plugins-base (1.6.3-2)
- weighted finite-state transducers library (base plugins)
- libfstrcmp-dev (0.7.D001-1.1+b2)
- fuzzy string compare library - development files
- libfstrcmp0 (0.7.D001-1.1+b2)
- fuzzy string compare library - runtime library
- libfstrcmp0-dbg (0.7.D001-1.1+b2)
- fuzzy string compare library - debugging symbols
- libfstrm-dev (0.4.0-1)
- Frame Streams (fstrm) library (development files)
- libfstrm0 (0.4.0-1)
- Frame Streams (fstrm) library
- libfswatch-dev (1.14.0+repack-8)
- file change monitor based on inotify (dev-files)
- libfswatch11 (1.14.0+repack-8)
- file change monitor based on inotify (library)
- libftdi-dev (0.20-4)
- Development files for libftdi
- libftdi1 (0.20-4)
- Library to control and program the FTDI USB controller
- libftdi1-2 (1.4-1+b2)
- Library to control and program the FTDI USB controllers
- libftdi1-dbg (0.20-4)
- Debugging symbols for libftdi1
- libftdi1-dev (1.4-1+b2)
- Development files for libftdi1
- libftdi1-doc (1.4-1)
- Documentation for libftdi1
- libftdipp1-3 (1.4-1+b2)
- Library to control and program the FTDI USB controllers
- libftdipp1-dev (1.4-1+b2)
- Development files for libftdipp1
- libftgl-dev (2.4.0-2.1~deb10u1)
- development files for libftgl
- libftgl2 (2.4.0-2.1~deb10u1)
- library to render text in OpenGL using FreeType
- libftp-dev (4.0-1-4)
- Library of callable ftp routines (development)
- libftp4 (4.0-1-4)
- Library of callable ftp routines
- libfunction-fallback-coreorpp-perl (0.08-1)
- set of functions using non-core XS modules with pure-Perl/core fallback
- libfunction-parameters-perl (2.001003-2+b1)
- module for subroutine definitions with parameter lists
- libfuntools-dev (1.4.7-4)
- Minimal buy-in FITS library (development files)
- libfuntools1 (1.4.7-4)
- Minimal buy-in FITS library
- libfurl-perl (3.13-2)
- lightning-fast URL fetcher
- libfuse-dev (2.9.9-1+deb10u1)
- Filesystem in Userspace (development)
- libfuse-perl (0.16.1+20180422git6becd92d7fce3fc411d7c-4+b1)
- Perl bindings for FUSE (Filesystems in USErland
- libfuse2 (2.9.9-1+deb10u1)
- Filesystem in Userspace (library)
- libfuse2-udeb (2.9.9-1+deb10u1)
- Filesystem in Userspace (library)
- libfuse3-3 (3.4.1-1+deb10u1)
- Filesystem in Userspace (library) (3.x version)
- libfuse3-3-udeb (3.4.1-1+deb10u1)
- Filesystem in Userspace (library) (3.x version)
- libfuse3-dev (3.4.1-1+deb10u1)
- Filesystem in Userspace (development) (3.x version)
- libfusioninventory-agent-task-deploy-perl (1:2.4.2-1)
- transitional dummy package
- libfusioninventory-agent-task-esx-perl (1:2.4.2-1)
- transitional dummy package
- libfusioninventory-agent-task-netinventory-perl (1:2.4.2-1)
- transitional dummy package
- libfusioninventory-agent-task-network-perl (1:2.4.2-1)
- transitional dummy package
- libfusioninventory-agent-task-snmpquery-perl (1:2.4.2-1)
- transitional dummy package
- libfuture-perl (0.39-1)
- module for operations awaiting completion
- libfuzzer-11-dev (1:11.0.1-2~deb10u1)
- Library for coverage-guided fuzz testing
- libfuzzer-13-dev (1:13.0.1-6~deb10u4)
- Library for coverage-guided fuzz testing
- libfuzzer-6.0-dev (1:6.0.1-10)
- Library for coverage-guided fuzz testing
- libfuzzer-7-dev (1:7.0.1-8+deb10u2)
- Library for coverage-guided fuzz testing
- libfuzzy-dev (2.14.1+git20180629.57fcfff-1)
- recursive piecewise hashing tool (development headers)
- libfuzzy2 (2.14.1+git20180629.57fcfff-1)
- recursive piecewise hashing tool (library)
- libfuzzylite-dev (6.0+dfsg-2)
- fuzzy logic control development headers
- libfuzzylite6.0 (6.0+dfsg-2)
- fuzzy logic control shared library
- libfvde-dev (20190104-1)
- FileVault Drive Encryption access library -- development files
- libfvde-utils (20190104-1)
- FileVault Drive Encryption access library -- Utilities
- libfvde1 (20190104-1)
- FileVault Drive Encryption access library
- libfwnt-dev (20181227-1)
- Windows NT data type library -- development files
- libfwnt1 (20181227-1)
- Windows NT data type library
- libfwsi-dev (20181227-1)
- Windows Shell Item format access library -- development files
- libfwsi1 (20181227-1)
- Windows Shell Item format access library
- libfwup-dev (12-4+deb10u4)
- Development headers for libfwup
- libfwup1 (12-4+deb10u4)
- Library to manage UEFI firmware updates
- libfwupd-dev (1.2.14-1~deb10u1)
- development files for libfwupd
- libfwupd2 (1.2.14-1~deb10u1)
- Firmware update daemon library
- libfxt-dev (0.3.8-2)
- Multithreaded tracing library
- libfxt0 (0.3.8-2)
- Multithreaded tracing library
- libfyba-dev (4.1.1-6)
- Header files for FYBA library
- libfyba0 (4.1.1-6)
- FYBA library to read and write Norwegian geodata standard format SOSI
- libg2-dev (0.72-8+b1)
- g2 2D graphics library (development files)
- libg20 (0.72-8+b1)
- g2 2D graphics library
- libg20-perl (0.72-8+b1)
- g2 2D graphics library (Perl module)
- libg3d-dev (0.0.8-26)
- LibG3D development package
- libg3d-doc (0.0.8-26)
- LibG3D API documentation in HTML format
- libg3d-plugin-gdkpixbuf (0.0.8-26)
- plugin for the LibG3D library
- libg3d-plugins (0.0.8-26)
- plugins for the LibG3D library
- libg3d0 (0.0.8-26)
- library for loading and manipulating 3D model files
- libg810-led-dev (0.3.3-2+deb10u1) [security]
- Logitech keyboard LED configuration library (development)
- libg810-led0 (0.3.3-2+deb10u1) [security]
- Logitech keyboard LED configuration library (runtime)
- libga-dev (1:2.4.7-4)
- C++ Library of Genetic Algorithm Components
- libga2 (1:2.4.7-4)
- C++ Library of Genetic Algorithm Components
- libgadap-dev (2.0-11)
- Development and static libraries for GADAP
- libgadu-dev (1:1.12.2-3)
- Gadu-Gadu protocol library - development files
- libgadu-doc (1:1.12.2-3)
- Gadu-Gadu protocol library - documentation
- libgadu3 (1:1.12.2-3)
- Gadu-Gadu protocol library - runtime files
- libgail-3-0 (3.24.5-1)
- GNOME Accessibility Implementation Library -- shared libraries
- libgail-3-dev (3.24.5-1)
- GNOME Accessibility Implementation Library -- development files
- libgail-3-doc (3.24.5-1)
- documentation files of the Gail library
- libgail-common (2.24.32-3)
- GNOME Accessibility Implementation Library -- common modules
- libgail-dev (2.24.32-3)
- GNOME Accessibility Implementation Library -- development files
- libgail-doc (2.24.32-3)
- documentation files of the Gail library
- libgail18 (2.24.32-3)
- GNOME Accessibility Implementation Library -- shared libraries
- libgail18-udeb (2.24.32-3)
- GNOME Accessibility Implementation Library -- shared libraries
- libgalax-ocaml-dev (1.1-15+b7 [armhf], 1.1-15+b6 [amd64, arm64, i386])
- XQuery implementation with static typing - OCaml libraries
- libgalax-ocaml-dev-m5k13
- virtueel pakket geboden door libgalax-ocaml-dev
- libgalax-ocaml-dev-nnhc6
- virtueel pakket geboden door libgalax-ocaml-dev
- libgambit4 (4.8.8-3.1)
- Shared libraries for the Gambit interpreter and compiler
- libgambit4-dev (4.8.8-3.1)
- Header file and link for the Gambit shared library
- libgamemode-dev (1.2-6)
- Optimise Linux system performance on demand
- libgamemode0 (1.2-6)
- Optimise Linux system performance on demand
- libgamemodeauto-dev (1.2-6)
- Optimise Linux system performance on demand
- libgamemodeauto0 (1.2-6)
- Optimise Linux system performance on demand
- libgamin-dev (0.1.10-5+b1)
- Development files for the gamin client library
- libgamin0 (0.1.10-5+b1)
- Client library for the gamin file and directory monitoring system
- libgaminggear-common (0.15.1-9)
- Functionalities for gaming input devices, common files
- libgaminggear-dev (0.15.1-9)
- Functionalities for gaming input devices (devel)
- libgaminggear-doc (0.15.1-9)
- Functionalities for gaming input devices (documentation)
- libgaminggear0 (0.15.1-9)
- Functionalities for gaming input devices
- libgammu-dev (1.40.0-1)
- mobile phone management library (development files)
- libgammu-i18n (1.40.0-1)
- mobile phone management library (i18n files)
- libgammu8 (1.40.0-1)
- mobile phone management library
- libganglia1 (3.6.0-7+b2)
- cluster monitoring toolkit - shared libraries
- libganglia1-dev (3.6.0-7+b2)
- cluster monitoring toolkit - development libraries
- libganv-1-1v5 (1.4.2~dfsg0-2)
- canvas widget for graph-based interfaces
- libganv-dev (1.4.2~dfsg0-2)
- canvas widget for graph-based interfaces (development files)
- libganymed-ssh2-java (250-3)
- pure Java implementation of the SSH-2 protocol
- libgarcon-1-0 (0.6.2-1)
- freedesktop.org compliant menu implementation for Xfce
- libgarcon-1-0-dev (0.6.2-1)
- transitional package for libgarcon-1-dev
- libgarcon-1-0-dev
- virtueel pakket geboden door libgarcon-1-dev
- libgarcon-1-dev (0.6.2-1)
- Development files for garcon-1
- libgarcon-common (0.6.2-1)
- common files for libgarcon menu implementation
- libgarcon-gtk3-1-0 (0.6.2-1)
- menu library for Xfce (GTK3 library)
- libgarcon-gtk3-1-dev (0.6.2-1)
- Development files for garcon-gtk3
- libgarmin-dev (0~svn320-6)
- Garmin image format library (development files)
- libgarmin0 (0~svn320-6)
- Garmin image format library (runtime version)
- libgatbcore-dev (1.4.1+git20181225.44d5a44+dfsg-3)
- development library of the Genome Analysis Toolbox
- libgatbcore2 (1.4.1+git20181225.44d5a44+dfsg-3)
- dynamic library of the Genome Analysis Toolbox
- libgatk-native-bindings-java (1.0.0-2)
- library for gatk and picard-tools
- libgatos-dev (0.0.5-19)
- The General ATI TV and Overlay Software(GATOS): Dev Lib and Headers
- libgatos0 (0.0.5-19)
- The General ATI TV and Overlay Software(GATOS): Runtime Libraries
- libgauche-0.9-0 (0.9.6-10)
- Gauche runtime shared library
- libgaviotatb-dev (0.4-2)
- Gaviota chess endgame tablebase probing library
- libgaviotatb1 (0.4-2)
- Gaviota chess endgame tablebase probing library (development package)
- libgavl-dev (1.4.0-5)
- low level audio and video library - development files
- libgavl-doc (1.4.0-5)
- low level audio and video library - documentation files
- libgavl-ocaml (0.1.5-2+b4 [armhf], 0.1.5-2+b3 [amd64, i386], 0.1.5-2+b2 [arm64])
- OCaml interface to the gavl library
- libgavl-ocaml-3sue8
- virtueel pakket geboden door libgavl-ocaml
- libgavl-ocaml-dev (0.1.5-2+b4 [armhf], 0.1.5-2+b3 [amd64, i386], 0.1.5-2+b2 [arm64])
- OCaml interface to the gavl library
- libgavl-ocaml-dev-3sue8
- virtueel pakket geboden door libgavl-ocaml-dev
- libgavl-ocaml-dev-mnma0
- virtueel pakket geboden door libgavl-ocaml-dev
- libgavl-ocaml-mnma0
- virtueel pakket geboden door libgavl-ocaml
- libgavl1 (1.4.0-5)
- low level audio and video library - runtime files
- libgazebo9 (9.6.0-1+b11)
- Open Source Robotics Simulator - shared library
- libgazebo9-dev (9.6.0-1+b11)
- Open Source Robotics Simulator - Development Files
- libgbm-dev (18.3.6-2+deb10u1)
- generic buffer management API -- development files
- libgbm1 (18.3.6-2+deb10u1)
- generic buffer management API -- runtime
- libgbm1
- virtueel pakket geboden door mali-t76x-wayland-driver, mali-t62x-wayland-driver
- libgbtools-dev (4.44.1+dfsg-3)
- library for visualising sequence alignments (devel)
- libgbtools0 (4.44.1+dfsg-3)
- library for visualising sequence alignments
- libgc-dev (1:7.6.4-0.4)
- conservative garbage collector for C (development)
- libgc1c2 (1:7.6.4-0.4)
- conservative garbage collector for C and C++
- libgcab-1.0-0 (1.2-3~deb10u1)
- Microsoft Cabinet file manipulation library
- libgcab-dev (1.2-3~deb10u1)
- Microsoft Cabinet file manipulation library - development files
- libgcab-doc (1.2-3~deb10u1)
- Microsoft Cabinet file manipulation library - documentation
- libgcc-7-dev (7.4.0-6)
- GCC support library (development files)
- libgcc-8-dev (8.3.0-6)
- GCC support library (development files)
- libgcc-8-dev-alpha-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-amd64-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-arm64-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-armel-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-armhf-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-hppa-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-i386-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-m68k-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-mips-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-mips64-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-mips64el-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-mips64r6-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-mipsel-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-mipsr6-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-powerpc-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-powerpcspe-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-ppc64-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-ppc64el-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-riscv64-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-s390x-cross (8.3.0-2cross1)
- GCC support library (development files)
- libgcc-8-dev-sh4-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-sparc64-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc-8-dev-x32-cross (8.3.0-2cross2)
- GCC support library (development files)
- libgcc1 (1:8.3.0-6)
- GCC support bibliotheek
- libgcc1-alpha-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-alpha-dcv1
- virtueel pakket geboden door libgcc1-alpha-cross
- libgcc1-amd64-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-amd64-dcv1
- virtueel pakket geboden door libgcc1-amd64-cross
- libgcc1-arm64-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-arm64-dcv1
- virtueel pakket geboden door libgcc1-arm64-cross
- libgcc1-armel-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-armel-dcv1
- virtueel pakket geboden door libgcc1-armel-cross
- libgcc1-armhf
- virtueel pakket geboden door libgcc1
- libgcc1-armhf-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-armhf-dcv1
- virtueel pakket geboden door libgcc1-armhf-cross
- libgcc1-dbg (1:8.3.0-6)
- GCC support library (debug symbols)
- libgcc1-dbg-armhf
- virtueel pakket geboden door libgcc1-dbg
- libgcc1-i386-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-i386-dcv1
- virtueel pakket geboden door libgcc1-i386-cross
- libgcc1-mips-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-mips-dcv1
- virtueel pakket geboden door libgcc1-mips-cross
- libgcc1-mips64-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-mips64-dcv1
- virtueel pakket geboden door libgcc1-mips64-cross
- libgcc1-mips64el-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-mips64el-dcv1
- virtueel pakket geboden door libgcc1-mips64el-cross
- libgcc1-mips64r6-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-mips64r6-dcv1
- virtueel pakket geboden door libgcc1-mips64r6-cross
- libgcc1-mips64r6el-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-mips64r6el-dcv1
- virtueel pakket geboden door libgcc1-mips64r6el-cross
- libgcc1-mipsel-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-mipsel-dcv1
- virtueel pakket geboden door libgcc1-mipsel-cross
- libgcc1-mipsr6-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-mipsr6-dcv1
- virtueel pakket geboden door libgcc1-mipsr6-cross
- libgcc1-mipsr6el-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-mipsr6el-dcv1
- virtueel pakket geboden door libgcc1-mipsr6el-cross
- libgcc1-powerpc-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-powerpc-dcv1
- virtueel pakket geboden door libgcc1-powerpc-cross
- libgcc1-powerpcspe-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-powerpcspe-dcv1
- virtueel pakket geboden door libgcc1-powerpcspe-cross
- libgcc1-ppc64-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-ppc64-dcv1
- virtueel pakket geboden door libgcc1-ppc64-cross
- libgcc1-ppc64el-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-ppc64el-dcv1
- virtueel pakket geboden door libgcc1-ppc64el-cross
- libgcc1-riscv64-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-riscv64-dcv1
- virtueel pakket geboden door libgcc1-riscv64-cross
- libgcc1-s390x-cross (1:8.3.0-2cross1)
- GCC support library
- libgcc1-s390x-dcv1
- virtueel pakket geboden door libgcc1-s390x-cross
- libgcc1-sh4-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-sh4-dcv1
- virtueel pakket geboden door libgcc1-sh4-cross
- libgcc1-sparc64-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-sparc64-dcv1
- virtueel pakket geboden door libgcc1-sparc64-cross
- libgcc1-x32-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc1-x32-dcv1
- virtueel pakket geboden door libgcc1-x32-cross
- libgcc2-m68k-cross (1:8.3.0-2cross2)
- GCC support library
- libgcc2-m68k-dcv1
- virtueel pakket geboden door libgcc2-m68k-cross
- libgcc4-hppa-cross (1:8.3.0-2cross2)
- GCC support library
- libgccjit-7-dev (7.4.0-6)
- GCC just-in-time compilation (development files)
- libgccjit-7-doc (7.4.0-6)
- GCC just-in-time compilation (documentation)
- libgccjit-8-dev (8.3.0-6)
- GCC just-in-time compilation (development files)
- libgccjit-8-doc (8.3.0-6)
- GCC just-in-time compilation (documentation)
- libgccjit0 (8.3.0-6)
- GCC just-in-time compilation (shared library)
- libgccjit0-dbg (8.3.0-6)
- GCC just-in-time compilation (debug information)
- libgcin (2.8.8+dfsg1-1)
- library for gcin
- libgck-1-0 (3.28.1-1)
- Glib wrapper library for PKCS#11 - runtime
- libgck-1-dev (3.28.1-1)
- GLib wrapper library for PKCS#11 - development
- libgck-1-doc (3.28.1-1)
- GLib wrapper library for PKCS#11 - documentation
- libgconf-2-4 (3.2.6-5)
- GNOME configuration database system (shared libraries)
- libgconf2-dev (3.2.6-5)
- GNOME configuration database system (development)
- libgconf2-doc (3.2.6-5)
- GNOME configuration database system (API reference)
- libgcr-3-dev (3.28.1-1)
- Library for Crypto UI related tasks - development
- libgcr-3-doc (3.28.1-1)
- Library for Crypto UI related tasks - documentation
- libgcr-base-3-1 (3.28.1-1)
- Library for Crypto related tasks
- libgcr-ui-3-1 (3.28.1-1)
- Library for Crypto UI related tasks
- libgcr410 (2.4.0-9.2)
- PC/SC driver for GemPlus GCR410 serial SmartCard interface
- libgcroots-dev (0.9.0-1)
- Development GC library for sigscheme Scheme interpreter
- libgcroots0 (0.9.0-1)
- GC shared library for sigscheme Scheme interpreter
- libgcrypt-dev
- virtueel pakket geboden door libgcrypt20-dev
- libgcrypt-mingw-w64-dev (1.8.4-5+deb10u1)
- LGPL Crypto library - Windows development
- libgcrypt20 (1.8.4-5+deb10u1)
- LGPL Crypto library - runtime library
- libgcrypt20-dev (1.8.4-5+deb10u1)
- LGPL Crypto library - development files
- libgcrypt20-doc (1.8.4-5+deb10u1)
- LGPL Crypto library - documentation
- libgcrypt20-udeb (1.8.4-5+deb10u1)
- LGPL Crypto library - runtime library
- libgctp-2.0.0 (2.0.0-4)
- General Cartographic Transformation Package Library
- libgctp-dev (2.0.0-4)
- General Cartographic Transformation Package - development files
- libgcu0v5 (0.14.17-1.1)
- GNOME chemistry utils (library)
- libgd-barcode-perl (1.15-7)
- Perl module to create barcode images
- libgd-dev (2.2.5-5.2+deb10u1) [security]
- GD Graphics Library (development version)
- libgd-gd2-noxpm-ocaml (1.0~alpha5-8)
- OCaml interface to the GD library -- transitional package
- libgd-gd2-noxpm-ocaml-dev (1.0~alpha5-8)
- OCaml interface to the GD library -- transitional package
- libgd-gd2-noxpm-perl
- virtueel pakket geboden door libgd-perl
- libgd-gd2-perl
- virtueel pakket geboden door libgd-perl
- libgd-graph-perl (1.54~ds-2)
- Graph Plotting Module for Perl 5
- libgd-graph3d-perl (0.63-9)
- Create 3D Graphs with GD and GD::Graph
- libgd-ocaml (1.0~alpha5-8+b7 [armhf], 1.0~alpha5-8+b6 [amd64, i386], 1.0~alpha5-8+b5 [arm64])
- OCaml interface to the GD library -- runtime files
- libgd-ocaml-dev (1.0~alpha5-8+b7 [armhf], 1.0~alpha5-8+b6 [amd64, i386], 1.0~alpha5-8+b5 [arm64])
- OCaml interface to the GD library -- developpement files
- libgd-ocaml-dev-g4lg5
- virtueel pakket geboden door libgd-ocaml-dev
- libgd-ocaml-dev-qr5t7
- virtueel pakket geboden door libgd-ocaml-dev
- libgd-ocaml-g4lg5
- virtueel pakket geboden door libgd-ocaml
- libgd-ocaml-qr5t7
- virtueel pakket geboden door libgd-ocaml
- libgd-perl (2.71-2)
- Perl module wrapper for libgd
- libgd-securityimage-perl (1.75-1)
- security image (captcha) generator
- libgd-svg-perl (0.33-2)
- Seamlessly enable SVG output from scripts written using GD
- libgd-text-perl (0.86-9)
- Text utilities for use with GD
- libgd-tools (2.2.5-5.2+deb10u1) [security]
- GD command line tools and example code
- libgd3 (2.2.5-5.2+deb10u1) [security]
- GD Graphics Library
- libgda-5.0-4 (5.2.8-1)
- data abstraction library based on GLib
- libgda-5.0-bin (5.2.8-1)
- data abstraction library based on GLib -- binary files
- libgda-5.0-common (5.2.8-1)
- data abstraction library based on GLib -- common files
- libgda-5.0-dev (5.2.8-1)
- data abstraction library based on GLib -- development files
- libgda-5.0-doc (5.2.8-1)
- data abstraction library based on GLib -- documentation files
- libgda-5.0-mysql (5.2.8-1)
- MySQL provider for libgda database abstraction library
- libgda-5.0-postgres (5.2.8-1)
- PostgreSQL provider for libgda database abstraction library
- libgdal-dev (2.4.0+dfsg-1+deb10u1) [security]
- Geospatial Data Abstraction Library - Development files
- libgdal-doc (2.4.0+dfsg-1+deb10u1) [security]
- Documentation for the Geospatial Data Abstraction Library
- libgdal-grass (2.4.0-3)
- GRASS extension for the GDAL library
- libgdal-java (2.4.0+dfsg-1+deb10u1) [security]
- Java bindings to the Geospatial Data Abstraction Library
- libgdal-perl (2.4.0+dfsg-1+deb10u1) [security]
- Perl bindings to the Geospatial Data Abstraction Library
- libgdal-perl-doc (2.4.0+dfsg-1+deb10u1) [security]
- Documentation for GDAL Perl bindings
- libgdal20 (2.4.0+dfsg-1+deb10u1) [security]
- Geospatial Data Abstraction Library
- libgdalcpp-dev
- virtueel pakket geboden door libosmium2-dev
- libgdamm-5.0-13 (4.99.11-3)
- C++ wrappers for libgda5
- libgdamm5.0-dev (4.99.11-3)
- C++ wrappers for libgda5 (library headers)
- libgdamm5.0-doc (4.99.11-3)
- C++ wrappers for libgda5 (documentation)
- libgdata-cil-dev (2.2.0.0-2)
- Google GData CLI client library
- libgdata-common (0.17.9-3)
- Library for accessing GData webservices - common data files
- libgdata-dev (0.17.9-3)
- Library for accessing GData webservices - development files
- libgdata-doc (0.17.9-3)
- Library for accessing GData webservices - documentation
- libgdata2.1-cil (2.2.0.0-2)
- Google GData CLI client library
- libgdata22 (0.17.9-3)
- Library for accessing GData webservices - shared libraries
- libgdbm-compat-dev (1.18.1-4)
- GNU dbm database routines (legacy support development files)
- libgdbm-compat4 (1.18.1-4)
- GNU dbm database routines (legacy support runtime version)
- libgdbm-dev (1.18.1-4)
- GNU dbm database routines (development files)
- libgdbm-dietlibc-dev
- virtueel pakket geboden door libgdbm-dev
- libgdbm6 (1.18.1-4)
- GNU dbm database routines (runtime version)
- libgdbussyncevo0 (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (shared gdbus library)
- libgdchart
- virtueel pakket geboden door libgdchart-gd2-xpm, libgdchart-gd2-noxpm
- libgdchart-gd2-dev
- virtueel pakket geboden door libgdchart-gd2-xpm-dev, libgdchart-gd2-noxpm-dev
- libgdchart-gd2-noxpm (0.11.5-10)
- Generate graphs using the GD library
- libgdchart-gd2-noxpm-dev (0.11.5-10)
- Generate graphs using the GD library (development version)
- libgdchart-gd2-xpm (0.11.5-10)
- Generate graphs using the GD library
- libgdchart-gd2-xpm-dev (0.11.5-10)
- Generate graphs using the GD library (development version)
- libgdcm-cil (2.8.8-9)
- Grassroots DICOM CLI bindings
- libgdcm-java (2.8.8-9)
- Grassroots DICOM Java bindings
- libgdcm-tools (2.8.8-9)
- Grassroots DICOM tools and utilities
- libgdcm2-dev (2.8.8-9)
- Grassroots DICOM development libraries and headers
- libgdcm2.8 (2.8.8-9)
- Grassroots DICOM runtime libraries
- libgdf-dev (0.1.2-2.1+b3)
- IO library for the GDF -- development library
- libgdf0 (0.1.2-2.1+b3)
- IO library for the GDF (general dataformat for biosignals)
- libgdf0-dbg (0.1.2-2.1+b3)
- IO library for the GDF -- debug symbols
- libgdiplus (4.2-2)
- interface library for System.Drawing of Mono
- libgdk-pixbuf2.0-0 (2.38.1+dfsg-1)
- GDK Pixbuf library
- libgdk-pixbuf2.0-0-udeb (2.38.1+dfsg-1)
- GDK Pixbuf library - minimal runtime
- libgdk-pixbuf2.0-bin (2.38.1+dfsg-1)
- GDK Pixbuf library (thumbnailer)
- libgdk-pixbuf2.0-common (2.38.1+dfsg-1)
- GDK Pixbuf library - data files
- libgdk-pixbuf2.0-dev (2.38.1+dfsg-1)
- GDK Pixbuf library (development files)
- libgdk-pixbuf2.0-doc (2.38.1+dfsg-1)
- GDK Pixbuf library (documentation)
- libgdk3.0-cil (2.99.3-3)
- CLI binding for GDK 3
- libgdk3.0-cil-dev (2.99.3-3)
- CLI binding for GDK 3
- libgdl-3-5 (3.28.0-2)
- GNOME DevTool libraries
- libgdl-3-common (3.28.0-2)
- GNOME DevTool libraries - common files
- libgdl-3-dev (3.28.0-2)
- GNOME DevTool libraries - development files
- libgdl-3-doc (3.28.0-2)
- GNOME DevTool libraries - documentation
- libgdm-dev (3.30.2-3)
- GNOME Display Manager (development files)
- libgdm1 (3.30.2-3)
- GNOME Display Manager (shared library)
- libgdome2-0 (0.8.1+debian-6)
- DOM level2 library for accessing XML files
- libgdome2-cpp-smart-dev (0.2.6-7+b2 [armhf], 0.2.6-7+b1 [amd64, arm64, i386])
- C++ bindings for GDome2 DOM implementation
- libgdome2-cpp-smart0v5 (0.2.6-7+b2 [armhf], 0.2.6-7+b1 [amd64, arm64, i386])
- C++ bindings for GDome2 DOM implementation
- libgdome2-dev (0.8.1+debian-6)
- Development files for libgdome2
- libgdome2-ocaml (0.2.6-7+b2 [armhf], 0.2.6-7+b1 [amd64, arm64, i386])
- OCaml bindings for GDome2 DOM implementation
- libgdome2-ocaml-ccoa2
- virtueel pakket geboden door libgdome2-ocaml
- libgdome2-ocaml-dev (0.2.6-7+b2 [armhf], 0.2.6-7+b1 [amd64, arm64, i386])
- OCaml bindings for GDome2 DOM implementation
- libgdome2-ocaml-dev-ccoa2
- virtueel pakket geboden door libgdome2-ocaml-dev
- libgdome2-ocaml-dev-u6we8
- virtueel pakket geboden door libgdome2-ocaml-dev
- libgdome2-ocaml-u6we8
- virtueel pakket geboden door libgdome2-ocaml
- libgdraw5 (1:20170731~dfsg-1+deb10u1) [security]
- font editor - runtime graphics and widget library
- libgdsii-dev (0.1+ds.1-1)
- Library for GDSII handling (development files)
- libgdsii0 (0.1+ds.1-1)
- Library for GDSII handling
- libgeant321-2-dev (1:3.21.14.dfsg-11+b6)
- [Physics] Library for GEANT 3.21 (development files)
- libgeant321-2-gfortran (1:3.21.14.dfsg-11+b6)
- [Physics] Library for GEANT 3.21
- libgearman-client-perl (2.004.015-1)
- client for the Gearman distributed job system
- libgearman-dev (1.1.18+ds-3+b3)
- Development files for the Gearman Library
- libgearman-doc (1.1.18+ds-3)
- API Documentation for the Gearman Library
- libgearman8 (1.1.18+ds-3+b3)
- Library providing Gearman client and worker functions
- libgecode-dev (6.1.0-2)
- generic constraint development environment
- libgecode-doc (6.1.0-2)
- generic constraint development environment
- libgecode48 (6.1.0-2)
- generic constraint development environment
- libgecodeflatzinc48 (6.1.0-2)
- Gecode support for FlatZinc modelling language
- libgecodegist48 (6.1.0-2)
- Gecode Interactive Search Tool library
- libgeda-common (1:1.8.2-11)
- GPL EDA -- Electronics design software (data files)
- libgeda-dev (1:1.8.2-11)
- GPL EDA -- Electronics design software (development files)
- libgeda42 (1:1.8.2-11)
- GPL EDA -- Electronics design software (library files)
- libgedcom-perl (1.20-1)
- interface to genealogy GEDCOM files
- libgee-0.8-2 (0.20.1-2)
- GObject based collection and utility library
- libgee-0.8-dev (0.20.1-2)
- GObject based collection and utility library (development files)
- libgegl-0.0-dev
- virtueel pakket geboden door libgegl-dev
- libgegl-0.4-0 (0.4.12-2)
- Generic Graphics Library
- libgegl-common (0.4.12-2)
- Generic Graphics Library - common files
- libgegl-dev (0.4.12-2)
- Generic Graphics Library (development files)
- libgegl-doc (0.4.12-2)
- Generic Graphics Library (documentation)
- libgemmlowp-dev (0.0~git20190128.58825b1-1)
- small self-contained low-precision GEMM library
- libgempc410 (1.0.8-5)
- PC/SC driver for the GemPC 410, 412, 413 and 415 smart card readers
- libgempc430 (1.0.8-5)
- PC/SC driver for the GemPC 430, 432, 435 smart card readers
- libgemrb (0.8.5-1) [contrib]
- Shared libraries and plugins for GemRB
- libgen-ocaml (0.5-1)
- simple, efficient iterators for OCaml (Runtime library)
- libgen-ocaml-dev (0.5-1)
- simple, efficient iterators for OCaml (Development package)
- libgen-ocaml-dev-or1h0
- virtueel pakket geboden door libgen-ocaml-dev
- libgen-ocaml-dev-rjoo8
- virtueel pakket geboden door libgen-ocaml-dev
- libgen-ocaml-or1h0
- virtueel pakket geboden door libgen-ocaml
- libgen-ocaml-rjoo8
- virtueel pakket geboden door libgen-ocaml
- libgen-test-rinci-funcresult-perl (0.05-1)
- Perl module that generates a test function for a function
- libgenders-dev
- virtueel pakket geboden door libgenders0-dev
- libgenders-perl (1.22-1+b1)
- perl interface to the LLNL genders cluster management utilities
- libgenders0 (1.22-1+b1)
- C library for parsing and querying a genders database
- libgenders0-dev (1.22-1+b1)
- development files for parsing and querying a genders database
- libgenht1 (1.0.1-1)
- Generic hash table lib for C
- libgenht1-dev (1.0.1-1)
- Generic hash table lib for C
- libgenome-1.3-0v5
- virtueel pakket geboden door libgenome0
- libgenome-1.3-dev
- virtueel pakket geboden door libgenome-dev
- libgenome-dev (1.3.11+svn20110227.4616-2)
- toolkit for developing bioinformatic related software (devel)
- libgenome-model-tools-music-perl (0.04-4)
- module for finding mutations of significance in cancer
- libgenome-perl (0.06-5)
- pipelines, tools, and data management for genomics
- libgenome0 (1.3.11+svn20110227.4616-2)
- toolkit for developing bioinformatic related software
- libgenometools0 (1.5.10+ds-3)
- versatile genome analysis library
- libgenometools0-dev (1.5.10+ds-3)
- development files for GenomeTools
- libgentlyweb-utils-java (1.5-2)
- java utility library used by josql
- libgentlyweb-utils-java-doc (1.5-2)
- Documentation - java utility library used by josql
- libgeo-coder-googlev3-perl (0.17-1)
- Perl module providing access to Google Maps v3 Geocoding API
- libgeo-coder-osm-perl (0.03-2)
- Geocode addresses with the OpenStreetMap Nominatim API
- libgeo-constants-perl (0.06-1)
- standard constants used by Geo perl packages
- libgeo-coordinates-itm-perl (0.02-2)
- conversion module between lat/lon and Irish Transverse Mercator
- libgeo-coordinates-osgb-perl (2.20-1)
- converting module between Lat/Lon and the British National Grid
- libgeo-coordinates-utm-perl (0.11-2)
- Perl extension for Latitiude Longitude conversions
- libgeo-distance-perl (0.20-4)
- calculate distances and closest locations
- libgeo-distance-xs-perl (0.13-2+b5)
- calculate distances and closest locations (XS version)
- libgeo-ellipsoids-perl (0.16-1)
- standard Geo:: ellipsoid a, b, f and 1/f values
- libgeo-functions-perl (0.07-1)
- standard functions for Geo perl modules
- libgeo-google-mapobject-perl (0.06-3)
- module managing the server side of the Google Maps API
- libgeo-googleearth-pluggable-perl (0.15-2)
- module to generate GoogleEarth Documents
- libgeo-gpx-perl (0.26-4)
- Perl module for creating and parsing GPX files
- libgeo-helmerttransform-perl (1.14-2)
- Transformations between coordinates in different datums
- libgeo-inverse-perl (0.05-1)
- module to calculate geographic distance from a lat & lon pair
- libgeo-ip-perl (1.51-1+b1)
- Perl interface to GeoIP library
- libgeo-ipfree-perl (1.151940-1)
- module to look up the country of an IPv4 address
- libgeo-metar-perl (1.15-2)
- accessing Aviation Weather Information with Perl
- libgeo-osm-tiles-perl (0.04-5)
- module for calculating tile numbers for OpenStreetMap
- libgeo-point-perl (0.98-1)
- module to simplify handling geographic points
- libgeo-postcode-perl (0.17+dfsg1-1)
- UK Postcode validation and location
- libgeo-proj4-perl (1.09-2+b1)
- PROJ library for cartographic projections
- libgeo-shapelib-perl (0.22-3+b1)
- Perl extension for reading and writing shapefiles as defined by ESRI
- libgeoclue-2-0 (2.5.2-1+deb10u1)
- convenience library to interact with geoinformation service
- libgeoclue-2-dev (2.5.2-1+deb10u1)
- convenience library to interact with geoinformation service (devel files)
- libgeoclue-doc (2.5.2-1+deb10u1)
- convenience library to interact with geoinformation service (API documentation)
- libgeocode-glib-dev (3.26.1-1)
- development files for geocode-glib library
- libgeocode-glib-doc (3.26.1-1)
- gtk-doc documentation for geocode-glib library
- libgeocode-glib0 (3.26.1-1)
- geocoding and reverse geocoding GLib library using Nominatim
- libgeocoding7 (7.1.0-5+b4)
- geocoding phone numbers
- libgeographic-dev (1.49-4)
- C++ library to solve some geodesic problems -- development files
- libgeographic17 (1.49-4)
- C++ library to solve some geodesic problems -- library
- libgeography-countries-perl (2009041301-2)
- 2-letter, 3-letter, and numerical codes for countries.
- libgeoip-dev (1.6.12-1)
- Development files for the GeoIP library
- libgeoip1 (1.6.12-1)
- non-DNS IP-to-country resolver library
- libgeoip2-perl (2.006001-2)
- Perl API for MaxMind's GeoIP2 web services and databases
- libgeometric-shapes-dev (0.6.1-1)
- Robot OS geometric_shapes package - development files
- libgeometric-shapes3d (0.6.1-1)
- Robot OS geometric_shapes package
- libgeometry-msgs-dev (1.12.7-1)
- Messages relating to Robot OS geometry, C/C++ interface
- libgeometry-primitive-perl (0.24-2)
- module to represent geometric entities
- libgeomview-1.9.5 (1.9.5-2)
- geomview library runtime
- libgeomview-dev (1.9.5-2)
- geomview library development package
- libgeos++-dev (3.7.1-1)
- Geometry engine for GIS - C++ development files
- libgeos-3.7.1 (3.7.1-1)
- Geometry engine for Geographic Information Systems - C++ Library
- libgeos-c1v5 (3.7.1-1)
- Geometry engine for Geographic Information Systems - C Library
- libgeos-dev (3.7.1-1)
- Geometry engine for GIS - Development files
- libgeos-doc (3.7.1-1)
- Documentation for the GEOS GIS geometry engine library
- libgeos-ruby1.8
- virtueel pakket geboden door ruby-geos
- libgeotiff-dev (1.4.3-1)
- GeoTIFF (geografic enabled TIFF) library -- development files
- libgeotiff-epsg (1.4.3-1) [non-free]
- GeoTIFF library -- EPSG Geodetic Parameter Dataset
- libgeotiff2 (1.4.3-1)
- GeoTIFF (geografic enabled TIFF) library -- run-time files
- libgeotranz-dev
- virtueel pakket geboden door libgeotranz3-dev
- libgeotranz3-dev (3.3-2)
- GEOgraphic coordinates TRANslator (development files)
- libgeotranz3.3 (3.3-2)
- GEOgraphic coordinates TRANslator (runtime library)
- libgepub-0.6-0 (0.6.0-2)
- library to read epub files
- libgepub-0.6-dev (0.6.0-2)
- library to read epub files (development files)
- libgeronimo-annotation-1.3-spec-java (1.0-1)
- Apache Geronimo Common Annotations 1.3 API
- libgeronimo-commonj-spec-java (1.1.1-3)
- Java API for concurrent programming of EJBs and Servlets
- libgeronimo-concurrent-1.0-spec-java (1.0~alpha-1-1)
- Apache Geronimo Concurrency Utilities for Java EE API
- libgeronimo-ejb-3.0-spec-java (1.0.1-1)
- Geronimo API implementation of the EJB 3.0 spec
- libgeronimo-ejb-3.2-spec-java (1.0~alpha-1-2)
- Apache Geronimo EJB 3.2 API
- libgeronimo-interceptor-3.0-spec-java (1.0.1-4)
- Geronimo API implementation of the Interceptor 3.0 spec
- libgeronimo-j2ee-connector-1.5-spec-java (2.0.0-1.1)
- Geronimo API implementation of the J2EE connector 1.5 spec
- libgeronimo-j2ee-management-1.1-spec-java (1.0.1-1)
- Apache Geronimo J2EE Management 1.1 API
- libgeronimo-jacc-1.1-spec-java (1.0.1-2)
- Geronimo API implementation of the JACC 1.1 spec
- libgeronimo-jcache-1.0-spec-java (1.0~alpha-1-1)
- Apache Geronimo JCache 1.0 API
- libgeronimo-jms-1.1-spec-java (1.1-1.2)
- Geronimo API implementation of the JMS 1.1 spec
- libgeronimo-jpa-2.0-spec-java (1.1-3)
- Geronimo JSR-317 Java Persistence (JPA) 2.0 Spec API
- libgeronimo-jpa-2.0-spec-java-doc (1.1-3)
- Documentation for libgeronimo-jpa-2.0-spec-java
- libgeronimo-jta-1.0.1b-spec-java
- virtueel pakket geboden door libgeronimo-jta-1.1-spec-java
- libgeronimo-jta-1.1-spec-java (1.1.1-4)
- Geronimo API implementation of the JTA 1.1 spec
- libgeronimo-jta-1.2-spec-java (1.0~alpha-1-2)
- Apache Geronimo JTA 1.2 API
- libgeronimo-osgi-support-java (1.1-1)
- Java libraries providing OSGi lookup support for Geronimo projects
- libgeronimo-osgi-support-java-doc (1.1-1)
- Documentation for libgeronimo-osgi-support-java
- libgeronimo-validation-1.0-spec-java (1.1-3)
- Geronimo JSR-303 Bean Validation Spec API
- libgeronimo-validation-1.0-spec-java-doc (1.1-3)
- Documentation for libgeronimo-validation-1.0-spec-java
- libgeronimo-validation-1.1-spec-java (1.0-2)
- Geronimo JSR-349 Bean Validation Spec API
- libgeronimo-validation-1.1-spec-java-doc (1.0-2)
- Documentation for libgeronimo-validation-1.1-spec-java
- libges-1.0-0 (1.14.4-1)
- GStreamer editing services (shared library)
- libges-1.0-0-dbg (1.14.4-1)
- GStreamer editing services (debug symbols)
- libges-1.0-dev (1.14.4-1)
- GStreamer editing services (development files)
- libges-1.0-doc (1.14.4-1)
- GStreamer editing services (documentation)
- libgetargs-long-perl (1.1012-2)
- Perl module to parse long function arguments
- libgetdata++7 (0.10.0-5+deb10u1)
- library to read/write dirfile data - C++ bindings
- libgetdata-dev (0.10.0-5+deb10u1)
- library to read/write dirfile data - devel files (C, C++, F77, F95)
- libgetdata-doc (0.10.0-5+deb10u1)
- library to read/write dirfile data - API and standards documents
- libgetdata-perl (0.10.0-5+deb10u1)
- library to read/write dirfile data - perl bindings
- libgetdata-tools (0.10.0-5+deb10u1)
- library to read/write dirfile data - extra tools
- libgetdata8 (0.10.0-5+deb10u1)
- library to read/write dirfile data
- libgetdns-dev (1.5.1-1)
- modern asynchronous DNS API (development)
- libgetdns10 (1.5.1-1)
- modern asynchronous DNS API (shared library)
- libgetfem++-dev (5.2+dfsg1-7+b1)
- Development files for the GETFEM++ generic finite element library
- libgetfem5++ (5.2+dfsg1-7+b1)
- GETFEM++ generic finite element library
- libgetopt-argparse-perl (1.0.6-1)
- module to parse @ARGV with a richer and more user-friendly API
- libgetopt-argvfile-perl (1.11-3)
- Perl module for reading script options and parameters from files
- libgetopt-complete-perl (0.26-2)
- programmable shell completion for Perl apps
- libgetopt-declare-perl (1.14-3)
- module for parsing command line arguments
- libgetopt-euclid-perl (0.4.5-2)
- command line interface dynamically built from the documentation
- libgetopt-java (1.0.14+dfsg-4)
- GNU getopt - Java port
- libgetopt-java-doc (1.0.14+dfsg-4)
- GNU getopt - Java port (doc)
- libgetopt-long-descriptive-perl (0.103-2)
- module that handles command-line arguments with usage text
- libgetopt-lucid-perl (1.09-1)
- module for parsing command line arguments
- libgetopt-mixed-perl (1.008-10.2)
- Perl module for processing options in GNU-style (= long and short)
- libgetopt-ocaml-dev (0.0.20040811-10+b6 [amd64, i386], 0.0.20040811-10+b4 [armhf], 0.0.20040811-10+b2 [arm64])
- command line parsing library for OCaml
- libgetopt-ocaml-dev-c4rc8
- virtueel pakket geboden door libgetopt-ocaml-dev
- libgetopt-ocaml-dev-kybv8
- virtueel pakket geboden door libgetopt-ocaml-dev
- libgetopt-simple-perl (1.52-5)
- provide a simple wrapper around Getopt::Long
- libgetopt-tabular-perl (0.3-2)
- table-driven argument parsing for Perl 5
- libgetopt-usaginator-perl (0.0012-2)
- syntactic sugar for creating a command line usage function
- libgetoptions-dev (2.3+dfsg-3)
- Command line argument processing library for C (development files)
- libgetoptions0 (2.3+dfsg-3)
- Command line argument processing library for C
- libgettext-ant-tasks-java (0.9.7+svn206-1)
- Java classes for internationalization (i18n) - Ant tasks
- libgettext-commons-java (0.9.6-6)
- Java classes for internationalization (i18n)
- libgettext-maven-plugin-java (1.2.9-2)
- plugin to integrate gettext tools into a Maven build
- libgettext-ocaml (0.3.7-1+b3 [armhf], 0.3.7-1+b2 [amd64, arm64, i386])
- OCaml internationalization shared library
- libgettext-ocaml-dev (0.3.7-1+b3 [armhf], 0.3.7-1+b2 [amd64, arm64, i386])
- OCaml internationalization library
- libgettext-ocaml-dev-heid8
- virtueel pakket geboden door libgettext-ocaml-dev
- libgettext-ocaml-dev-z0e55
- virtueel pakket geboden door libgettext-ocaml-dev
- libgettext-ocaml-heid8
- virtueel pakket geboden door libgettext-ocaml
- libgettext-ocaml-z0e55
- virtueel pakket geboden door libgettext-ocaml
- libgettextpo-dev (0.19.8.1-9)
- GNU Internationalization library development files
- libgettextpo0 (0.19.8.1-9)
- GNU Internationalization library
- libgexiv2-2 (0.10.9-1)
- GObject-based wrapper around the Exiv2 library
- libgexiv2-dev (0.10.9-1)
- GObject-based wrapper around the Exiv2 library - development files
- libgexiv2-doc (0.10.9-1)
- GObject-based wrapper around the Exiv2 library - documentation
- libgf-complete-dev (1.0.2+2017.04.10.git.ea75cdf-3)
- Galois Field Arithmetic - development files
- libgf-complete1 (1.0.2+2017.04.10.git.ea75cdf-3)
- Galois Field Arithmetic - shared library
- libgf2x-dev (1.2-5)
- Routines for fast arithmetic in GF(2)[x] (development files)
- libgf2x1 (1.2-5)
- Routines for fast arithmetic in GF(2)[x]
- libgfal-srm-ifce1 (1.24.3-1+b1)
- SRM client side library
- libgfal-transfer2 (2.16.2-1)
- File Transfer logic of gfal2
- libgfal2-2 (2.16.2-1)
- Core of the grid file access library 2.0
- libgfal2-dev (2.16.2-1)
- Development files of gfal2
- libgfapi0 (5.5-3)
- GlusterFS gfapi shared library
- libgfarm-dev (2.7.11+dfsg-1.1)
- Gfarm file system development files
- libgfarm1 (2.7.11+dfsg-1.1)
- Gfarm file system runtime library
- libgfbgraph-0.2-0 (0.2.3-3)
- GObject library for Facebook Graph API
- libgfbgraph-0.2-dev (0.2.3-3)
- GObject library for Facebook Graph API - development files
- libgfbgraph-doc (0.2.3-3)
- GObject library for Facebook Graph API - documentation
- libgfchangelog0 (5.5-3)
- GlusterFS gfchangelog shared library
- libgfdb0 (5.5-3)
- GlusterFS libgfdb shared library
- libgff-dev (1.0-2)
- GFF/GTF parsing from cufflinks as a library
- libgflags-dev (2.2.2-1)
- commandline flags module for C++ (development files)
- libgflags-doc (2.2.2-1)
- documentation of gflags
- libgflags2.2 (2.2.2-1)
- commandline flags module for C++ (shared library)
- libgfortran-7-dev (7.4.0-6)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev (8.3.0-6)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-alpha-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-arm64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-armel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-armhf-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-hppa-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-m68k-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-powerpcspe-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-ppc64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-riscv64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-sh4-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (development files)
- libgfortran4 (7.4.0-6)
- Runtime library for GNU Fortran applications
- libgfortran4-armhf
- virtueel pakket geboden door libgfortran4
- libgfortran4-dbg (7.4.0-6)
- Runtime library for GNU Fortran applications (debug symbols)
- libgfortran4-dbg-armhf
- virtueel pakket geboden door libgfortran4-dbg
- libgfortran5 (8.3.0-6)
- Runtime library for GNU Fortran applications
- libgfortran5-alpha-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-arm64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-armel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-armhf
- virtueel pakket geboden door libgfortran5
- libgfortran5-armhf-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-dbg (8.3.0-6)
- Runtime library for GNU Fortran applications (debug symbols)
- libgfortran5-dbg-armhf
- virtueel pakket geboden door libgfortran5-dbg
- libgfortran5-hppa-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-m68k-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-powerpcspe-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-ppc64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-riscv64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications
- libgfortran5-sh4-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfortran5-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications
- libgfrpc0 (5.5-3)
- GlusterFS libgfrpc shared library
- libgfs-1.3-2 (20131206+dfsg-18+b2)
- Fluid Flow Solver -- shared libraries
- libgfs-dev (20131206+dfsg-18+b2)
- Fluid Flow Solver -- development libraries and headers
- libgfsgl-dev (20121130+dfsg-6)
- graphical viewer for Gerris simulation files. Development files
- libgfsgl0 (20121130+dfsg-6)
- graphical viewer for Gerris simulation files. Shared library
- libgfshare-bin (2.0.0-4)
- utilities for multi-way secret-sharing
- libgfshare-dev (2.0.0-4)
- library for multi-way secret-sharing (headers)
- libgfshare2 (2.0.0-4)
- library for multi-way secret-sharing
- libgfxdr0 (5.5-3)
- GlusterFS libgfxdr shared library
- libgg-dev (1.3.1-2)
- Computing gaussians on a grid (development files)
- libgg1 (1.3.1-2)
- Computing gaussians on a grid
- libghc-abstract-deque-dev (0.3-9+b2)
- abstract, parameterized interface to mutable Deques
- libghc-abstract-deque-dev-0.3-4951e
- virtueel pakket geboden door libghc-abstract-deque-dev
- libghc-abstract-deque-dev-0.3-8e2a2
- virtueel pakket geboden door libghc-abstract-deque-dev
- libghc-abstract-deque-dev-0.3-ea3af
- virtueel pakket geboden door libghc-abstract-deque-dev
- libghc-abstract-deque-dev-0.3-f7a80
- virtueel pakket geboden door libghc-abstract-deque-dev
- libghc-abstract-deque-doc (0.3-9)
- abstract, parameterized interface to mutable Deques; documentation
- libghc-abstract-deque-prof (0.3-9+b2)
- abstract, parameterized interface to mutable Deques; profiling libraries
- libghc-abstract-deque-prof-0.3-4951e
- virtueel pakket geboden door libghc-abstract-deque-prof
- libghc-abstract-deque-prof-0.3-8e2a2
- virtueel pakket geboden door libghc-abstract-deque-prof
- libghc-abstract-deque-prof-0.3-ea3af
- virtueel pakket geboden door libghc-abstract-deque-prof
- libghc-abstract-deque-prof-0.3-f7a80
- virtueel pakket geboden door libghc-abstract-deque-prof
- libghc-abstract-par-dev (0.3.3-9+b2)
- abstract parallelization interface
- libghc-abstract-par-dev-0.3.3-6c388
- virtueel pakket geboden door libghc-abstract-par-dev
- libghc-abstract-par-dev-0.3.3-70cca
- virtueel pakket geboden door libghc-abstract-par-dev
- libghc-abstract-par-dev-0.3.3-84f5d
- virtueel pakket geboden door libghc-abstract-par-dev
- libghc-abstract-par-dev-0.3.3-b31dc
- virtueel pakket geboden door libghc-abstract-par-dev
- libghc-abstract-par-doc (0.3.3-9)
- abstract parallelization interface; documentation
- libghc-abstract-par-prof (0.3.3-9+b2)
- abstract parallelization interface; profiling libraries
- libghc-abstract-par-prof-0.3.3-6c388
- virtueel pakket geboden door libghc-abstract-par-prof
- libghc-abstract-par-prof-0.3.3-70cca
- virtueel pakket geboden door libghc-abstract-par-prof
- libghc-abstract-par-prof-0.3.3-84f5d
- virtueel pakket geboden door libghc-abstract-par-prof
- libghc-abstract-par-prof-0.3.3-b31dc
- virtueel pakket geboden door libghc-abstract-par-prof
- libghc-acid-state-dev (0.14.3-3+b3 [amd64, arm64], 0.14.3-3+b2 [armhf], 0.14.3-3+b1 [i386])
- Haskell database library with ACID guarantees - GHC libraries
- libghc-acid-state-dev-0.14.3-4d918
- virtueel pakket geboden door libghc-acid-state-dev
- libghc-acid-state-dev-0.14.3-af80c
- virtueel pakket geboden door libghc-acid-state-dev
- libghc-acid-state-dev-0.14.3-bf21f
- virtueel pakket geboden door libghc-acid-state-dev
- libghc-acid-state-dev-0.14.3-cde77
- virtueel pakket geboden door libghc-acid-state-dev
- libghc-acid-state-doc (0.14.3-3)
- Haskell database library with ACID guarantees - documentation; documentation
- libghc-acid-state-prof (0.14.3-3+b3 [amd64, arm64], 0.14.3-3+b2 [armhf], 0.14.3-3+b1 [i386])
- Haskell database library with ACID guarantees - GHC profiling libraries; profiling libraries
- libghc-acid-state-prof-0.14.3-4d918
- virtueel pakket geboden door libghc-acid-state-prof
- libghc-acid-state-prof-0.14.3-af80c
- virtueel pakket geboden door libghc-acid-state-prof
- libghc-acid-state-prof-0.14.3-bf21f
- virtueel pakket geboden door libghc-acid-state-prof
- libghc-acid-state-prof-0.14.3-cde77
- virtueel pakket geboden door libghc-acid-state-prof
- libghc-active-dev (0.2.0.13-6+b1)
- Abstractions for animation
- libghc-active-dev-0.2.0.13-3e127
- virtueel pakket geboden door libghc-active-dev
- libghc-active-dev-0.2.0.13-695c1
- virtueel pakket geboden door libghc-active-dev
- libghc-active-dev-0.2.0.13-6d7f1
- virtueel pakket geboden door libghc-active-dev
- libghc-active-dev-0.2.0.13-8c56a
- virtueel pakket geboden door libghc-active-dev
- libghc-active-doc (0.2.0.13-6)
- Abstractions for animation; documentation
- libghc-active-prof (0.2.0.13-6+b1)
- Abstractions for animation; profiling libraries
- libghc-active-prof-0.2.0.13-3e127
- virtueel pakket geboden door libghc-active-prof
- libghc-active-prof-0.2.0.13-695c1
- virtueel pakket geboden door libghc-active-prof
- libghc-active-prof-0.2.0.13-6d7f1
- virtueel pakket geboden door libghc-active-prof
- libghc-active-prof-0.2.0.13-8c56a
- virtueel pakket geboden door libghc-active-prof
- libghc-adjunctions-dev (4.4-4+b1)
- adjunctions and representable functors for Haskell
- libghc-adjunctions-dev-4.4-1c770
- virtueel pakket geboden door libghc-adjunctions-dev
- libghc-adjunctions-dev-4.4-3c0b9
- virtueel pakket geboden door libghc-adjunctions-dev
- libghc-adjunctions-dev-4.4-8ad5f
- virtueel pakket geboden door libghc-adjunctions-dev
- libghc-adjunctions-dev-4.4-f193f
- virtueel pakket geboden door libghc-adjunctions-dev
- libghc-adjunctions-doc (4.4-4)
- adjunctions and representable functors for Haskell; documentation
- libghc-adjunctions-prof (4.4-4+b1)
- adjunctions and representable functors for Haskell; profiling libraries
- libghc-adjunctions-prof-4.4-1c770
- virtueel pakket geboden door libghc-adjunctions-prof
- libghc-adjunctions-prof-4.4-3c0b9
- virtueel pakket geboden door libghc-adjunctions-prof
- libghc-adjunctions-prof-4.4-8ad5f
- virtueel pakket geboden door libghc-adjunctions-prof
- libghc-adjunctions-prof-4.4-f193f
- virtueel pakket geboden door libghc-adjunctions-prof
- libghc-aeson-compat-dev (0.3.8-3+b1)
- compatibility layer for aeson
- libghc-aeson-compat-dev-0.3.8-2186c
- virtueel pakket geboden door libghc-aeson-compat-dev
- libghc-aeson-compat-dev-0.3.8-42a2c
- virtueel pakket geboden door libghc-aeson-compat-dev
- libghc-aeson-compat-dev-0.3.8-42f9a
- virtueel pakket geboden door libghc-aeson-compat-dev
- libghc-aeson-compat-dev-0.3.8-c5d7e
- virtueel pakket geboden door libghc-aeson-compat-dev
- libghc-aeson-compat-doc (0.3.8-3)
- compatibility layer for aeson; documentation
- libghc-aeson-compat-prof (0.3.8-3+b1)
- compatibility layer for aeson; profiling libraries
- libghc-aeson-compat-prof-0.3.8-2186c
- virtueel pakket geboden door libghc-aeson-compat-prof
- libghc-aeson-compat-prof-0.3.8-42a2c
- virtueel pakket geboden door libghc-aeson-compat-prof
- libghc-aeson-compat-prof-0.3.8-42f9a
- virtueel pakket geboden door libghc-aeson-compat-prof
- libghc-aeson-compat-prof-0.3.8-c5d7e
- virtueel pakket geboden door libghc-aeson-compat-prof
- libghc-aeson-dev (1.3.1.1-3+b1)
- Fast JSON parsing and encoding library
- libghc-aeson-dev-1.3.1.1-26cd1
- virtueel pakket geboden door libghc-aeson-dev
- libghc-aeson-dev-1.3.1.1-2bb87
- virtueel pakket geboden door libghc-aeson-dev
- libghc-aeson-dev-1.3.1.1-408e9
- virtueel pakket geboden door libghc-aeson-dev
- libghc-aeson-dev-1.3.1.1-5ffb4
- virtueel pakket geboden door libghc-aeson-dev
- libghc-aeson-doc (1.3.1.1-3)
- Fast JSON parsing and encoding library; documentation
- (0.4.1.1-4+b1)
- Extra goodies for aeson
- virtueel pakket geboden door libghc-aeson-extra-dev
- virtueel pakket geboden door libghc-aeson-extra-dev
- virtueel pakket geboden door libghc-aeson-extra-dev
- virtueel pakket geboden door libghc-aeson-extra-dev
- (0.4.1.1-4)
- Extra goodies for aeson; documentation
- (0.4.1.1-4+b1)
- Extra goodies for aeson; profiling libraries
- virtueel pakket geboden door libghc-aeson-extra-prof
- virtueel pakket geboden door libghc-aeson-extra-prof
- virtueel pakket geboden door libghc-aeson-extra-prof
- virtueel pakket geboden door libghc-aeson-extra-prof
- libghc-aeson-pretty-dev (0.8.7-3+b1)
- JSON pretty-printing library
- libghc-aeson-pretty-dev-0.8.7-09f6c
- virtueel pakket geboden door libghc-aeson-pretty-dev
- libghc-aeson-pretty-dev-0.8.7-43611
- virtueel pakket geboden door libghc-aeson-pretty-dev
- libghc-aeson-pretty-dev-0.8.7-852e0
- virtueel pakket geboden door libghc-aeson-pretty-dev
- libghc-aeson-pretty-dev-0.8.7-fba57
- virtueel pakket geboden door libghc-aeson-pretty-dev
- libghc-aeson-pretty-doc (0.8.7-3)
- JSON pretty-printing library; documentation
- libghc-aeson-pretty-prof (0.8.7-3+b1)
- JSON pretty-printing library; profiling libraries
- libghc-aeson-pretty-prof-0.8.7-09f6c
- virtueel pakket geboden door libghc-aeson-pretty-prof
- libghc-aeson-pretty-prof-0.8.7-43611
- virtueel pakket geboden door libghc-aeson-pretty-prof
- libghc-aeson-pretty-prof-0.8.7-852e0
- virtueel pakket geboden door libghc-aeson-pretty-prof
- libghc-aeson-pretty-prof-0.8.7-fba57
- virtueel pakket geboden door libghc-aeson-pretty-prof
- libghc-aeson-prof (1.3.1.1-3+b1)
- Fast JSON parsing and encoding library; profiling libraries
- libghc-aeson-prof-1.3.1.1-26cd1
- virtueel pakket geboden door libghc-aeson-prof
- libghc-aeson-prof-1.3.1.1-2bb87
- virtueel pakket geboden door libghc-aeson-prof
- libghc-aeson-prof-1.3.1.1-408e9
- virtueel pakket geboden door libghc-aeson-prof
- libghc-aeson-prof-1.3.1.1-5ffb4
- virtueel pakket geboden door libghc-aeson-prof
- libghc-aeson-qq-dev (0.8.2-5+b1)
- JSON quasiquoter for Haskell
- libghc-aeson-qq-dev-0.8.2-3645b
- virtueel pakket geboden door libghc-aeson-qq-dev
- libghc-aeson-qq-dev-0.8.2-90e48
- virtueel pakket geboden door libghc-aeson-qq-dev
- libghc-aeson-qq-dev-0.8.2-a7246
- virtueel pakket geboden door libghc-aeson-qq-dev
- libghc-aeson-qq-dev-0.8.2-f3983
- virtueel pakket geboden door libghc-aeson-qq-dev
- libghc-aeson-qq-doc (0.8.2-5)
- JSON quasiquoter for Haskell; documentation
- libghc-aeson-qq-prof (0.8.2-5+b1)
- JSON quasiquoter for Haskell; profiling libraries
- libghc-aeson-qq-prof-0.8.2-3645b
- virtueel pakket geboden door libghc-aeson-qq-prof
- libghc-aeson-qq-prof-0.8.2-90e48
- virtueel pakket geboden door libghc-aeson-qq-prof
- libghc-aeson-qq-prof-0.8.2-a7246
- virtueel pakket geboden door libghc-aeson-qq-prof
- libghc-aeson-qq-prof-0.8.2-f3983
- virtueel pakket geboden door libghc-aeson-qq-prof
- libghc-agda-dev (2.5.4.1-3+b1)
- dependently typed functional programming language
- libghc-agda-dev-2.5.4.1-2f72a
- virtueel pakket geboden door libghc-agda-dev
- libghc-agda-dev-2.5.4.1-a3829
- virtueel pakket geboden door libghc-agda-dev
- libghc-agda-dev-2.5.4.1-c57dd
- virtueel pakket geboden door libghc-agda-dev
- libghc-agda-doc (2.5.4.1-3)
- dependently typed functional programming language; documentation
- libghc-alsa-core-dev (0.5.0.1-5+b2)
- binding to the ALSA Library API (Exceptions)
- libghc-alsa-core-dev-0.5.0.1-152c5
- virtueel pakket geboden door libghc-alsa-core-dev
- libghc-alsa-core-dev-0.5.0.1-5a56f
- virtueel pakket geboden door libghc-alsa-core-dev
- libghc-alsa-core-dev-0.5.0.1-c970e
- virtueel pakket geboden door libghc-alsa-core-dev
- libghc-alsa-core-dev-0.5.0.1-f97b6
- virtueel pakket geboden door libghc-alsa-core-dev
- libghc-alsa-core-doc (0.5.0.1-5)
- binding to the ALSA Library API (Exceptions); documentation
- libghc-alsa-core-prof (0.5.0.1-5+b2)
- binding to the ALSA Library API (Exceptions); profiling libraries
- libghc-alsa-core-prof-0.5.0.1-152c5
- virtueel pakket geboden door libghc-alsa-core-prof
- libghc-alsa-core-prof-0.5.0.1-5a56f
- virtueel pakket geboden door libghc-alsa-core-prof
- libghc-alsa-core-prof-0.5.0.1-c970e
- virtueel pakket geboden door libghc-alsa-core-prof
- libghc-alsa-core-prof-0.5.0.1-f97b6
- virtueel pakket geboden door libghc-alsa-core-prof
- libghc-alsa-mixer-dev (0.2.0.3-3+b2)
- bindings to the ALSA simple mixer API
- libghc-alsa-mixer-dev-0.2.0.3-064a3
- virtueel pakket geboden door libghc-alsa-mixer-dev
- libghc-alsa-mixer-dev-0.2.0.3-a02ef
- virtueel pakket geboden door libghc-alsa-mixer-dev
- libghc-alsa-mixer-doc (0.2.0.3-3)
- bindings to the ALSA simple mixer API; documentation
- libghc-alsa-mixer-prof (0.2.0.3-3+b2)
- bindings to the ALSA simple mixer API; profiling libraries
- libghc-alsa-mixer-prof-0.2.0.3-064a3
- virtueel pakket geboden door libghc-alsa-mixer-prof
- libghc-alsa-mixer-prof-0.2.0.3-a02ef
- virtueel pakket geboden door libghc-alsa-mixer-prof
- libghc-annotated-wl-pprint-dev (0.7.0-3+b2)
- Wadler/Leijen Pretty Printer, with annotation support
- libghc-annotated-wl-pprint-dev-0.7.0-04075
- virtueel pakket geboden door libghc-annotated-wl-pprint-dev
- libghc-annotated-wl-pprint-dev-0.7.0-3461c
- virtueel pakket geboden door libghc-annotated-wl-pprint-dev
- libghc-annotated-wl-pprint-dev-0.7.0-4b827
- virtueel pakket geboden door libghc-annotated-wl-pprint-dev
- libghc-annotated-wl-pprint-doc (0.7.0-3)
- Wadler/Leijen Pretty Printer, with annotation support; documentation
- libghc-annotated-wl-pprint-prof (0.7.0-3+b2)
- Wadler/Leijen Pretty Printer, with annotation support; profiling libraries
- libghc-annotated-wl-pprint-prof-0.7.0-04075
- virtueel pakket geboden door libghc-annotated-wl-pprint-prof
- libghc-annotated-wl-pprint-prof-0.7.0-3461c
- virtueel pakket geboden door libghc-annotated-wl-pprint-prof
- libghc-annotated-wl-pprint-prof-0.7.0-4b827
- virtueel pakket geboden door libghc-annotated-wl-pprint-prof
- libghc-ansi-terminal-dev (0.8.1-1+b2)
- Simple ANSI terminal support, with Windows compatibility
- libghc-ansi-terminal-dev-0.8.1-9a396
- virtueel pakket geboden door libghc-ansi-terminal-dev
- libghc-ansi-terminal-dev-0.8.1-cd08b
- virtueel pakket geboden door libghc-ansi-terminal-dev
- libghc-ansi-terminal-doc (0.8.1-1)
- Simple ANSI terminal support, with Windows compatibility; documentation
- libghc-ansi-terminal-prof (0.8.1-1+b2)
- Simple ANSI terminal support, with Windows compatibility; profiling libraries
- libghc-ansi-terminal-prof-0.8.1-9a396
- virtueel pakket geboden door libghc-ansi-terminal-prof
- libghc-ansi-terminal-prof-0.8.1-cd08b
- virtueel pakket geboden door libghc-ansi-terminal-prof
- libghc-ansi-wl-pprint-dev (0.6.8.2-2+b2)
- Wadler/Leijen Pretty Printer for colored ANSI terminal output
- libghc-ansi-wl-pprint-dev-0.6.8.2-09ec8
- virtueel pakket geboden door libghc-ansi-wl-pprint-dev
- libghc-ansi-wl-pprint-dev-0.6.8.2-a927d
- virtueel pakket geboden door libghc-ansi-wl-pprint-dev
- libghc-ansi-wl-pprint-dev-0.6.8.2-d222d
- virtueel pakket geboden door libghc-ansi-wl-pprint-dev
- libghc-ansi-wl-pprint-doc (0.6.8.2-2)
- Wadler/Leijen Pretty Printer for colored ANSI terminal output; documentation
- libghc-ansi-wl-pprint-prof (0.6.8.2-2+b2)
- Wadler/Leijen Pretty Printer for colored ANSI terminal output; profiling lib
- libghc-ansi-wl-pprint-prof-0.6.8.2-09ec8
- virtueel pakket geboden door libghc-ansi-wl-pprint-prof
- libghc-ansi-wl-pprint-prof-0.6.8.2-a927d
- virtueel pakket geboden door libghc-ansi-wl-pprint-prof
- libghc-ansi-wl-pprint-prof-0.6.8.2-d222d
- virtueel pakket geboden door libghc-ansi-wl-pprint-prof
- libghc-appar-dev (0.1.4-9+b2)
- A simple applicative parser in Parsec style
- libghc-appar-dev-0.1.4-21356
- virtueel pakket geboden door libghc-appar-dev
- libghc-appar-dev-0.1.4-308d9
- virtueel pakket geboden door libghc-appar-dev
- libghc-appar-dev-0.1.4-59de7
- virtueel pakket geboden door libghc-appar-dev
- libghc-appar-dev-0.1.4-6b7e9
- virtueel pakket geboden door libghc-appar-dev
- libghc-appar-doc (0.1.4-9)
- A simple applicative parser in Parsec style; documentation
- libghc-appar-prof (0.1.4-9+b2)
- A simple applicative parser in Parsec style; profiling libraries
- libghc-appar-prof-0.1.4-21356
- virtueel pakket geboden door libghc-appar-prof
- libghc-appar-prof-0.1.4-308d9
- virtueel pakket geboden door libghc-appar-prof
- libghc-appar-prof-0.1.4-59de7
- virtueel pakket geboden door libghc-appar-prof
- libghc-appar-prof-0.1.4-6b7e9
- virtueel pakket geboden door libghc-appar-prof
- libghc-argon2-dev (1.3.0.1-5)
- Haskell bindings to the Argon2 password-hashing function
- libghc-argon2-dev-1.3.0.1-020b3
- virtueel pakket geboden door libghc-argon2-dev
- libghc-argon2-dev-1.3.0.1-51ba2
- virtueel pakket geboden door libghc-argon2-dev
- libghc-argon2-dev-1.3.0.1-cac40
- virtueel pakket geboden door libghc-argon2-dev
- libghc-argon2-dev-1.3.0.1-d9424
- virtueel pakket geboden door libghc-argon2-dev
- libghc-argon2-doc (1.3.0.1-5)
- Haskell bindings to the Argon2 password-hashing function; documentation
- libghc-argon2-prof (1.3.0.1-5)
- Haskell bindings to the Argon2 password-hashing function; profiling libraries
- libghc-argon2-prof-1.3.0.1-020b3
- virtueel pakket geboden door libghc-argon2-prof
- libghc-argon2-prof-1.3.0.1-51ba2
- virtueel pakket geboden door libghc-argon2-prof
- libghc-argon2-prof-1.3.0.1-cac40
- virtueel pakket geboden door libghc-argon2-prof
- libghc-argon2-prof-1.3.0.1-d9424
- virtueel pakket geboden door libghc-argon2-prof
- libghc-array-dev (= 0.5.2.0)
- virtueel pakket geboden door ghc
- libghc-array-dev-0.5.2.0-03a64
- virtueel pakket geboden door ghc
- libghc-array-dev-0.5.2.0-5d6d8
- virtueel pakket geboden door ghc
- libghc-array-dev-0.5.2.0-ff492
- virtueel pakket geboden door ghc
- libghc-array-doc (= 0.5.2.0)
- virtueel pakket geboden door ghc-doc
- libghc-array-prof (= 0.5.2.0)
- virtueel pakket geboden door ghc-prof
- libghc-array-prof-0.5.2.0-03a64
- virtueel pakket geboden door ghc-prof
- libghc-array-prof-0.5.2.0-5d6d8
- virtueel pakket geboden door ghc-prof
- libghc-array-prof-0.5.2.0-ff492
- virtueel pakket geboden door ghc-prof
- libghc-arrows-dev (0.4.4.2-2+b2)
- Haskell arrow classes and transformers for GHC
- libghc-arrows-dev-0.4.4.2-481d4
- virtueel pakket geboden door libghc-arrows-dev
- libghc-arrows-dev-0.4.4.2-94006
- virtueel pakket geboden door libghc-arrows-dev
- libghc-arrows-dev-0.4.4.2-b2819
- virtueel pakket geboden door libghc-arrows-dev
- libghc-arrows-dev-0.4.4.2-f09b9
- virtueel pakket geboden door libghc-arrows-dev
- libghc-arrows-doc (0.4.4.2-2)
- Haskell arrow classes and transformers for GHC; documentation
- libghc-arrows-prof (0.4.4.2-2+b2)
- Haskell arrow classes and transformers for GHC; profiling libraries
- libghc-arrows-prof-0.4.4.2-481d4
- virtueel pakket geboden door libghc-arrows-prof
- libghc-arrows-prof-0.4.4.2-94006
- virtueel pakket geboden door libghc-arrows-prof
- libghc-arrows-prof-0.4.4.2-b2819
- virtueel pakket geboden door libghc-arrows-prof
- libghc-arrows-prof-0.4.4.2-f09b9
- virtueel pakket geboden door libghc-arrows-prof
- libghc-asn1-encoding-dev (0.9.5-3+b1)
- ASN1 data reader/writer in RAW, BER, and DER forms
- libghc-asn1-encoding-dev-0.9.5-221a5
- virtueel pakket geboden door libghc-asn1-encoding-dev
- libghc-asn1-encoding-dev-0.9.5-5f7ea
- virtueel pakket geboden door libghc-asn1-encoding-dev
- libghc-asn1-encoding-dev-0.9.5-68a52
- virtueel pakket geboden door libghc-asn1-encoding-dev
- libghc-asn1-encoding-dev-0.9.5-fe103
- virtueel pakket geboden door libghc-asn1-encoding-dev
- libghc-asn1-encoding-doc (0.9.5-3)
- ASN1 data reader/writer in RAW, BER, and DER forms; documentation
- libghc-asn1-encoding-prof (0.9.5-3+b1)
- ASN1 data reader/writer in RAW, BER, and DER forms; profiling libraries
- libghc-asn1-encoding-prof-0.9.5-221a5
- virtueel pakket geboden door libghc-asn1-encoding-prof
- libghc-asn1-encoding-prof-0.9.5-5f7ea
- virtueel pakket geboden door libghc-asn1-encoding-prof
- libghc-asn1-encoding-prof-0.9.5-68a52
- virtueel pakket geboden door libghc-asn1-encoding-prof
- libghc-asn1-encoding-prof-0.9.5-fe103
- virtueel pakket geboden door libghc-asn1-encoding-prof
- libghc-asn1-parse-dev (0.9.4-5+b1)
- simple monadic parser for ASN1 stream types
- libghc-asn1-parse-dev-0.9.4-17d5c
- virtueel pakket geboden door libghc-asn1-parse-dev
- libghc-asn1-parse-dev-0.9.4-546a2
- virtueel pakket geboden door libghc-asn1-parse-dev
- libghc-asn1-parse-dev-0.9.4-85194
- virtueel pakket geboden door libghc-asn1-parse-dev
- libghc-asn1-parse-dev-0.9.4-988d7
- virtueel pakket geboden door libghc-asn1-parse-dev
- libghc-asn1-parse-doc (0.9.4-5)
- simple monadic parser for ASN1 stream types; documentation
- libghc-asn1-parse-prof (0.9.4-5+b1)
- simple monadic parser for ASN1 stream types; profiling libraries
- libghc-asn1-parse-prof-0.9.4-17d5c
- virtueel pakket geboden door libghc-asn1-parse-prof
- libghc-asn1-parse-prof-0.9.4-546a2
- virtueel pakket geboden door libghc-asn1-parse-prof
- libghc-asn1-parse-prof-0.9.4-85194
- virtueel pakket geboden door libghc-asn1-parse-prof
- libghc-asn1-parse-prof-0.9.4-988d7
- virtueel pakket geboden door libghc-asn1-parse-prof
- libghc-asn1-types-dev (0.3.2-5+b1)
- ASN.1 types
- libghc-asn1-types-dev-0.3.2-2fc86
- virtueel pakket geboden door libghc-asn1-types-dev
- libghc-asn1-types-dev-0.3.2-7031b
- virtueel pakket geboden door libghc-asn1-types-dev
- libghc-asn1-types-dev-0.3.2-b3eab
- virtueel pakket geboden door libghc-asn1-types-dev
- libghc-asn1-types-dev-0.3.2-f440e
- virtueel pakket geboden door libghc-asn1-types-dev
- libghc-asn1-types-doc (0.3.2-5)
- ASN.1 types; documentation
- libghc-asn1-types-prof (0.3.2-5+b1)
- ASN.1 types; profiling libraries
- libghc-asn1-types-prof-0.3.2-2fc86
- virtueel pakket geboden door libghc-asn1-types-prof
- libghc-asn1-types-prof-0.3.2-7031b
- virtueel pakket geboden door libghc-asn1-types-prof
- libghc-asn1-types-prof-0.3.2-b3eab
- virtueel pakket geboden door libghc-asn1-types-prof
- libghc-asn1-types-prof-0.3.2-f440e
- virtueel pakket geboden door libghc-asn1-types-prof
- libghc-assert-failure-dev (0.1.2.2-3+b2)
- syntactic sugar improving 'assert' and 'error'
- libghc-assert-failure-dev-0.1.2.2-49b5f
- virtueel pakket geboden door libghc-assert-failure-dev
- libghc-assert-failure-dev-0.1.2.2-6fc39
- virtueel pakket geboden door libghc-assert-failure-dev
- libghc-assert-failure-dev-0.1.2.2-f3bc7
- virtueel pakket geboden door libghc-assert-failure-dev
- libghc-assert-failure-dev-0.1.2.2-f930e
- virtueel pakket geboden door libghc-assert-failure-dev
- libghc-assert-failure-doc (0.1.2.2-3)
- syntactic sugar improving 'assert' and 'error'; documentation
- libghc-assert-failure-prof (0.1.2.2-3+b2)
- syntactic sugar improving 'assert' and 'error'; profiling libraries
- libghc-assert-failure-prof-0.1.2.2-49b5f
- virtueel pakket geboden door libghc-assert-failure-prof
- libghc-assert-failure-prof-0.1.2.2-6fc39
- virtueel pakket geboden door libghc-assert-failure-prof
- libghc-assert-failure-prof-0.1.2.2-f3bc7
- virtueel pakket geboden door libghc-assert-failure-prof
- libghc-assert-failure-prof-0.1.2.2-f930e
- virtueel pakket geboden door libghc-assert-failure-prof
- libghc-async-dev (2.2.1-2+b2)
- run IO operations asynchronously and wait for their results
- libghc-async-dev-2.2.1-34a97
- virtueel pakket geboden door libghc-async-dev
- libghc-async-dev-2.2.1-7a556
- virtueel pakket geboden door libghc-async-dev
- libghc-async-dev-2.2.1-a7481
- virtueel pakket geboden door libghc-async-dev
- libghc-async-dev-2.2.1-e4f49
- virtueel pakket geboden door libghc-async-dev
- libghc-async-doc (2.2.1-2)
- run IO operations asynchronously and wait for their results; documentation
- libghc-async-prof (2.2.1-2+b2)
- run IO operations asynchronously and wait for their results; profiling libs
- libghc-async-prof-2.2.1-34a97
- virtueel pakket geboden door libghc-async-prof
- libghc-async-prof-2.2.1-7a556
- virtueel pakket geboden door libghc-async-prof
- libghc-async-prof-2.2.1-a7481
- virtueel pakket geboden door libghc-async-prof
- libghc-async-prof-2.2.1-e4f49
- virtueel pakket geboden door libghc-async-prof
- libghc-attoparsec-dev (0.13.2.2-6+b1)
- Fast combinator parsing for bytestrings
- libghc-attoparsec-dev-0.13.2.2-25c6f
- virtueel pakket geboden door libghc-attoparsec-dev
- libghc-attoparsec-dev-0.13.2.2-2bcfd
- virtueel pakket geboden door libghc-attoparsec-dev
- libghc-attoparsec-dev-0.13.2.2-520e1
- virtueel pakket geboden door libghc-attoparsec-dev
- libghc-attoparsec-dev-0.13.2.2-a619b
- virtueel pakket geboden door libghc-attoparsec-dev
- libghc-attoparsec-doc (0.13.2.2-6)
- Fast combinator parsing for bytestrings; documentation
- libghc-attoparsec-iso8601-dev (1.0.0.0-5+b1)
- parsing of ISO 8601 dates
- libghc-attoparsec-iso8601-dev-1.0.0.0-2a407
- virtueel pakket geboden door libghc-attoparsec-iso8601-dev
- libghc-attoparsec-iso8601-dev-1.0.0.0-5411c
- virtueel pakket geboden door libghc-attoparsec-iso8601-dev
- libghc-attoparsec-iso8601-dev-1.0.0.0-9cb0d
- virtueel pakket geboden door libghc-attoparsec-iso8601-dev
- libghc-attoparsec-iso8601-dev-1.0.0.0-fd691
- virtueel pakket geboden door libghc-attoparsec-iso8601-dev
- libghc-attoparsec-iso8601-doc (1.0.0.0-5)
- parsing of ISO 8601 dates; documentation
- libghc-attoparsec-iso8601-prof (1.0.0.0-5+b1)
- parsing of ISO 8601 dates; profiling libraries
- libghc-attoparsec-iso8601-prof-1.0.0.0-2a407
- virtueel pakket geboden door libghc-attoparsec-iso8601-prof
- libghc-attoparsec-iso8601-prof-1.0.0.0-5411c
- virtueel pakket geboden door libghc-attoparsec-iso8601-prof
- libghc-attoparsec-iso8601-prof-1.0.0.0-9cb0d
- virtueel pakket geboden door libghc-attoparsec-iso8601-prof
- libghc-attoparsec-iso8601-prof-1.0.0.0-fd691
- virtueel pakket geboden door libghc-attoparsec-iso8601-prof
- libghc-attoparsec-prof (0.13.2.2-6+b1)
- Fast combinator parsing for bytestrings; profiling libraries
- libghc-attoparsec-prof-0.13.2.2-25c6f
- virtueel pakket geboden door libghc-attoparsec-prof
- libghc-attoparsec-prof-0.13.2.2-2bcfd
- virtueel pakket geboden door libghc-attoparsec-prof
- libghc-attoparsec-prof-0.13.2.2-520e1
- virtueel pakket geboden door libghc-attoparsec-prof
- libghc-attoparsec-prof-0.13.2.2-a619b
- virtueel pakket geboden door libghc-attoparsec-prof
- libghc-authenticate-dev (1.3.4-4+b1)
- authentication methods for Haskell web applications
- libghc-authenticate-dev-1.3.4-2bc59
- virtueel pakket geboden door libghc-authenticate-dev
- libghc-authenticate-dev-1.3.4-56a82
- virtueel pakket geboden door libghc-authenticate-dev
- libghc-authenticate-dev-1.3.4-a7f22
- virtueel pakket geboden door libghc-authenticate-dev
- libghc-authenticate-dev-1.3.4-db831
- virtueel pakket geboden door libghc-authenticate-dev
- libghc-authenticate-doc (1.3.4-4)
- authentication methods for Haskell web applications; documentation
- libghc-authenticate-oauth-dev (1.6-4+b1)
- authenticate with OAuth for Haskell web applications
- libghc-authenticate-oauth-dev-1.6-267ca
- virtueel pakket geboden door libghc-authenticate-oauth-dev
- libghc-authenticate-oauth-dev-1.6-58f22
- virtueel pakket geboden door libghc-authenticate-oauth-dev
- libghc-authenticate-oauth-dev-1.6-da829
- virtueel pakket geboden door libghc-authenticate-oauth-dev
- libghc-authenticate-oauth-dev-1.6-dccc0
- virtueel pakket geboden door libghc-authenticate-oauth-dev
- libghc-authenticate-oauth-doc (1.6-4)
- authenticate with OAuth for Haskell web applications; documentation
- libghc-authenticate-oauth-prof (1.6-4+b1)
- authenticate with OAuth for Haskell web applications; profiling libraries
- libghc-authenticate-oauth-prof-1.6-267ca
- virtueel pakket geboden door libghc-authenticate-oauth-prof
- libghc-authenticate-oauth-prof-1.6-58f22
- virtueel pakket geboden door libghc-authenticate-oauth-prof
- libghc-authenticate-oauth-prof-1.6-da829
- virtueel pakket geboden door libghc-authenticate-oauth-prof
- libghc-authenticate-oauth-prof-1.6-dccc0
- virtueel pakket geboden door libghc-authenticate-oauth-prof
- libghc-authenticate-prof (1.3.4-4+b1)
- authentication methods for Haskell web applications; profiling libraries
- libghc-authenticate-prof-1.3.4-2bc59
- virtueel pakket geboden door libghc-authenticate-prof
- libghc-authenticate-prof-1.3.4-56a82
- virtueel pakket geboden door libghc-authenticate-prof
- libghc-authenticate-prof-1.3.4-a7f22
- virtueel pakket geboden door libghc-authenticate-prof
- libghc-authenticate-prof-1.3.4-db831
- virtueel pakket geboden door libghc-authenticate-prof
- libghc-auto-update-dev (0.1.4-5+b2)
- efficiently run periodic, on-demand actions
- libghc-auto-update-dev-0.1.4-40006
- virtueel pakket geboden door libghc-auto-update-dev
- libghc-auto-update-dev-0.1.4-66410
- virtueel pakket geboden door libghc-auto-update-dev
- libghc-auto-update-dev-0.1.4-854ec
- virtueel pakket geboden door libghc-auto-update-dev
- libghc-auto-update-doc (0.1.4-5)
- efficiently run periodic, on-demand actions; documentation
- libghc-auto-update-prof (0.1.4-5+b2)
- efficiently run periodic, on-demand actions; profiling libraries
- libghc-auto-update-prof-0.1.4-40006
- virtueel pakket geboden door libghc-auto-update-prof
- libghc-auto-update-prof-0.1.4-66410
- virtueel pakket geboden door libghc-auto-update-prof
- libghc-auto-update-prof-0.1.4-854ec
- virtueel pakket geboden door libghc-auto-update-prof
- libghc-aws-dev (0.20-2+b1)
- Amazon Web Services for Haskell
- libghc-aws-dev-0.20-56710
- virtueel pakket geboden door libghc-aws-dev
- libghc-aws-dev-0.20-67b4d
- virtueel pakket geboden door libghc-aws-dev
- libghc-aws-dev-0.20-cd9fd
- virtueel pakket geboden door libghc-aws-dev
- libghc-aws-dev-0.20-ec4fd
- virtueel pakket geboden door libghc-aws-dev
- libghc-aws-doc (0.20-2)
- Amazon Web Services for Haskell; documentation
- libghc-aws-prof (0.20-2+b1)
- Amazon Web Services for Haskell; profiling libraries
- libghc-aws-prof-0.20-56710
- virtueel pakket geboden door libghc-aws-prof
- libghc-aws-prof-0.20-67b4d
- virtueel pakket geboden door libghc-aws-prof
- libghc-aws-prof-0.20-cd9fd
- virtueel pakket geboden door libghc-aws-prof
- libghc-aws-prof-0.20-ec4fd
- virtueel pakket geboden door libghc-aws-prof
- libghc-base-compat-batteries-dev (0.10.1-1+b1)
- base-compat with extra batteries
- libghc-base-compat-batteries-dev-0.10.1-9b8df
- virtueel pakket geboden door libghc-base-compat-batteries-dev
- libghc-base-compat-batteries-dev-0.10.1-e622d
- virtueel pakket geboden door libghc-base-compat-batteries-dev
- libghc-base-compat-batteries-doc (0.10.1-1)
- base-compat with extra batteries; documentation
- libghc-base-compat-batteries-prof (0.10.1-1+b1)
- base-compat with extra batteries; profiling libraries
- libghc-base-compat-batteries-prof-0.10.1-9b8df
- virtueel pakket geboden door libghc-base-compat-batteries-prof
- libghc-base-compat-batteries-prof-0.10.1-e622d
- virtueel pakket geboden door libghc-base-compat-batteries-prof
- libghc-base-compat-dev (0.10.4-1+b2)
- compatibility layer for base
- libghc-base-compat-dev-0.10.4-67496
- virtueel pakket geboden door libghc-base-compat-dev
- libghc-base-compat-dev-0.10.4-f7a27
- virtueel pakket geboden door libghc-base-compat-dev
- libghc-base-compat-doc (0.10.4-1)
- compatibility layer for base; documentation
- libghc-base-compat-prof (0.10.4-1+b2)
- compatibility layer for base; profiling libraries
- libghc-base-compat-prof-0.10.4-67496
- virtueel pakket geboden door libghc-base-compat-prof
- libghc-base-compat-prof-0.10.4-f7a27
- virtueel pakket geboden door libghc-base-compat-prof
- libghc-base-dev (= 4.11.1.0)
- virtueel pakket geboden door ghc
- libghc-base-dev-4.11.1.0-3abbc
- virtueel pakket geboden door ghc
- libghc-base-dev-4.11.1.0-5dd74
- virtueel pakket geboden door ghc
- libghc-base-dev-4.11.1.0-7db1a
- virtueel pakket geboden door ghc
- libghc-base-dev-4.11.1.0-b951c
- virtueel pakket geboden door ghc
- libghc-base-doc (= 4.11.1.0)
- virtueel pakket geboden door ghc-doc
- libghc-base-orphans-dev (0.7-2+b2)
- Backwards-compatible orphan instances for base
- libghc-base-orphans-dev-0.7-66f93
- virtueel pakket geboden door libghc-base-orphans-dev
- libghc-base-orphans-dev-0.7-c5255
- virtueel pakket geboden door libghc-base-orphans-dev
- libghc-base-orphans-doc (0.7-2)
- Backwards-compatible orphan instances for base; documentation
- libghc-base-orphans-prof (0.7-2+b2)
- Backwards-compatible orphan instances for base; profiling libraries
- libghc-base-orphans-prof-0.7-66f93
- virtueel pakket geboden door libghc-base-orphans-prof
- libghc-base-orphans-prof-0.7-c5255
- virtueel pakket geboden door libghc-base-orphans-prof
- libghc-base-prelude-dev (1.3-1+b2)
- most complete prelude formed solely from the "base" package
- libghc-base-prelude-dev-1.3-17e2c
- virtueel pakket geboden door libghc-base-prelude-dev
- libghc-base-prelude-dev-1.3-b4466
- virtueel pakket geboden door libghc-base-prelude-dev
- libghc-base-prelude-doc (1.3-1)
- most complete prelude formed solely from the "base" package; documentation
- libghc-base-prelude-prof (1.3-1+b2)
- most complete prelude formed solely from the "base" package; profiling libraries
- libghc-base-prelude-prof-1.3-17e2c
- virtueel pakket geboden door libghc-base-prelude-prof
- libghc-base-prelude-prof-1.3-b4466
- virtueel pakket geboden door libghc-base-prelude-prof
- libghc-base-prof (= 4.11.1.0)
- virtueel pakket geboden door ghc-prof
- libghc-base-prof-4.11.1.0-3abbc
- virtueel pakket geboden door ghc-prof
- libghc-base-prof-4.11.1.0-5dd74
- virtueel pakket geboden door ghc-prof
- libghc-base-prof-4.11.1.0-7db1a
- virtueel pakket geboden door ghc-prof
- libghc-base-prof-4.11.1.0-b951c
- virtueel pakket geboden door ghc-prof
- libghc-base-unicode-symbols-dev (0.2.2.4-11+b2)
- Unicode alternatives for common functions and operators
- libghc-base-unicode-symbols-dev-0.2.2.4-6597f
- virtueel pakket geboden door libghc-base-unicode-symbols-dev
- libghc-base-unicode-symbols-dev-0.2.2.4-7a4a2
- virtueel pakket geboden door libghc-base-unicode-symbols-dev
- libghc-base-unicode-symbols-doc (0.2.2.4-11)
- Unicode alternatives for common functions and operators; documentation
- libghc-base-unicode-symbols-prof (0.2.2.4-11+b2)
- Unicode alternatives for common functions and operators; profiling libraries
- libghc-base-unicode-symbols-prof-0.2.2.4-6597f
- virtueel pakket geboden door libghc-base-unicode-symbols-prof
- libghc-base-unicode-symbols-prof-0.2.2.4-7a4a2
- virtueel pakket geboden door libghc-base-unicode-symbols-prof
- libghc-base16-bytestring-dev (0.1.1.6-9+b2)
- Fast base16 (hex) encoding and decoding for ByteStrings
- libghc-base16-bytestring-dev-0.1.1.6-0a14e
- virtueel pakket geboden door libghc-base16-bytestring-dev
- libghc-base16-bytestring-dev-0.1.1.6-9bb38
- virtueel pakket geboden door libghc-base16-bytestring-dev
- libghc-base16-bytestring-dev-0.1.1.6-d35f1
- virtueel pakket geboden door libghc-base16-bytestring-dev
- libghc-base16-bytestring-dev-0.1.1.6-dad61
- virtueel pakket geboden door libghc-base16-bytestring-dev
- libghc-base16-bytestring-doc (0.1.1.6-9)
- Fast base16 (hex) encoding and decoding for ByteStrings; documentation
- libghc-base16-bytestring-prof (0.1.1.6-9+b2)
- Fast base16 (hex) encoding and decoding for ByteStrings; profiling libraries
- libghc-base16-bytestring-prof-0.1.1.6-0a14e
- virtueel pakket geboden door libghc-base16-bytestring-prof
- libghc-base16-bytestring-prof-0.1.1.6-9bb38
- virtueel pakket geboden door libghc-base16-bytestring-prof
- libghc-base16-bytestring-prof-0.1.1.6-d35f1
- virtueel pakket geboden door libghc-base16-bytestring-prof
- libghc-base16-bytestring-prof-0.1.1.6-dad61
- virtueel pakket geboden door libghc-base16-bytestring-prof
- libghc-base64-bytestring-dev (1.0.0.1-10+b2)
- fast base64 encoding/deconding for ByteStrings
- libghc-base64-bytestring-dev-1.0.0.1-2d947
- virtueel pakket geboden door libghc-base64-bytestring-dev
- libghc-base64-bytestring-dev-1.0.0.1-4eea0
- virtueel pakket geboden door libghc-base64-bytestring-dev
- libghc-base64-bytestring-dev-1.0.0.1-5b43c
- virtueel pakket geboden door libghc-base64-bytestring-dev
- libghc-base64-bytestring-dev-1.0.0.1-92ea5
- virtueel pakket geboden door libghc-base64-bytestring-dev
- libghc-base64-bytestring-doc (1.0.0.1-10)
- fast base64 encoding/deconding for ByteStrings; documentation
- libghc-base64-bytestring-prof (1.0.0.1-10+b2)
- fast base64 encoding/deconding for ByteStrings; profiling libraries
- libghc-base64-bytestring-prof-1.0.0.1-2d947
- virtueel pakket geboden door libghc-base64-bytestring-prof
- libghc-base64-bytestring-prof-1.0.0.1-4eea0
- virtueel pakket geboden door libghc-base64-bytestring-prof
- libghc-base64-bytestring-prof-1.0.0.1-5b43c
- virtueel pakket geboden door libghc-base64-bytestring-prof
- libghc-base64-bytestring-prof-1.0.0.1-92ea5
- virtueel pakket geboden door libghc-base64-bytestring-prof
- libghc-basement-dev (0.0.8-1+b2)
- Foundation scrap box of array & string
- libghc-basement-dev-0.0.8-099cc
- virtueel pakket geboden door libghc-basement-dev
- libghc-basement-dev-0.0.8-125e8
- virtueel pakket geboden door libghc-basement-dev
- libghc-basement-dev-0.0.8-228c7
- virtueel pakket geboden door libghc-basement-dev
- libghc-basement-dev-0.0.8-99f4c
- virtueel pakket geboden door libghc-basement-dev
- libghc-basement-doc (0.0.8-1)
- Foundation scrap box of array & string; documentation
- libghc-basement-prof (0.0.8-1+b2)
- Foundation scrap box of array & string; profiling libraries
- libghc-basement-prof-0.0.8-099cc
- virtueel pakket geboden door libghc-basement-prof
- libghc-basement-prof-0.0.8-125e8
- virtueel pakket geboden door libghc-basement-prof
- libghc-basement-prof-0.0.8-228c7
- virtueel pakket geboden door libghc-basement-prof
- libghc-basement-prof-0.0.8-99f4c
- virtueel pakket geboden door libghc-basement-prof
- libghc-basic-prelude-dev (0.7.0-3+b3 [amd64, arm64], 0.7.0-3+b2 [armhf], 0.7.0-3+b1 [i386])
- enhanced core prelude
- libghc-basic-prelude-dev-0.7.0-33e31
- virtueel pakket geboden door libghc-basic-prelude-dev
- libghc-basic-prelude-dev-0.7.0-8fd03
- virtueel pakket geboden door libghc-basic-prelude-dev
- libghc-basic-prelude-dev-0.7.0-e7e39
- virtueel pakket geboden door libghc-basic-prelude-dev
- libghc-basic-prelude-dev-0.7.0-ecab3
- virtueel pakket geboden door libghc-basic-prelude-dev
- libghc-basic-prelude-doc (0.7.0-3)
- enhanced core prelude; documentation
- libghc-basic-prelude-prof (0.7.0-3+b3 [amd64, arm64], 0.7.0-3+b2 [armhf], 0.7.0-3+b1 [i386])
- enhanced core prelude; profiling libraries
- libghc-basic-prelude-prof-0.7.0-33e31
- virtueel pakket geboden door libghc-basic-prelude-prof
- libghc-basic-prelude-prof-0.7.0-8fd03
- virtueel pakket geboden door libghc-basic-prelude-prof
- libghc-basic-prelude-prof-0.7.0-e7e39
- virtueel pakket geboden door libghc-basic-prelude-prof
- libghc-basic-prelude-prof-0.7.0-ecab3
- virtueel pakket geboden door libghc-basic-prelude-prof
- libghc-bencode-dev (0.6.0.0-7+b2)
- Parser and printer for bencoded data
- libghc-bencode-dev-0.6.0.0-52be6
- virtueel pakket geboden door libghc-bencode-dev
- libghc-bencode-dev-0.6.0.0-b147a
- virtueel pakket geboden door libghc-bencode-dev
- libghc-bencode-dev-0.6.0.0-c415b
- virtueel pakket geboden door libghc-bencode-dev
- libghc-bencode-dev-0.6.0.0-fcf0d
- virtueel pakket geboden door libghc-bencode-dev
- libghc-bencode-doc (0.6.0.0-7)
- Parser and printer for bencoded data; documentation
- libghc-bencode-prof (0.6.0.0-7+b2)
- Parser and printer for bencoded data; profiling libraries
- libghc-bencode-prof-0.6.0.0-52be6
- virtueel pakket geboden door libghc-bencode-prof
- libghc-bencode-prof-0.6.0.0-b147a
- virtueel pakket geboden door libghc-bencode-prof
- libghc-bencode-prof-0.6.0.0-c415b
- virtueel pakket geboden door libghc-bencode-prof
- libghc-bencode-prof-0.6.0.0-fcf0d
- virtueel pakket geboden door libghc-bencode-prof
- libghc-bifunctors-dev (5.5.3-1+b1)
- Haskell 98 bifunctors
- libghc-bifunctors-dev-5.5.3-2e696
- virtueel pakket geboden door libghc-bifunctors-dev
- libghc-bifunctors-dev-5.5.3-328ce
- virtueel pakket geboden door libghc-bifunctors-dev
- libghc-bifunctors-dev-5.5.3-34e6a
- virtueel pakket geboden door libghc-bifunctors-dev
- libghc-bifunctors-dev-5.5.3-42456
- virtueel pakket geboden door libghc-bifunctors-dev
- libghc-bifunctors-doc (5.5.3-1)
- Haskell 98 bifunctors; documentation
- libghc-bifunctors-prof (5.5.3-1+b1)
- Haskell 98 bifunctors; profiling libraries
- libghc-bifunctors-prof-5.5.3-2e696
- virtueel pakket geboden door libghc-bifunctors-prof
- libghc-bifunctors-prof-5.5.3-328ce
- virtueel pakket geboden door libghc-bifunctors-prof
- libghc-bifunctors-prof-5.5.3-34e6a
- virtueel pakket geboden door libghc-bifunctors-prof
- libghc-bifunctors-prof-5.5.3-42456
- virtueel pakket geboden door libghc-bifunctors-prof
- libghc-binary-conduit-dev (1.3-2+b2 [amd64, arm64], 1.3-2+b1 [armhf, i386])
- data serialization/deserialization conduit library
- libghc-binary-conduit-dev-1.3-05d9c
- virtueel pakket geboden door libghc-binary-conduit-dev
- libghc-binary-conduit-dev-1.3-5a827
- virtueel pakket geboden door libghc-binary-conduit-dev
- libghc-binary-conduit-dev-1.3-5f336
- virtueel pakket geboden door libghc-binary-conduit-dev
- libghc-binary-conduit-dev-1.3-f90d1
- virtueel pakket geboden door libghc-binary-conduit-dev
- libghc-binary-conduit-doc (1.3-2)
- data serialization/deserialization conduit library; documentation
- libghc-binary-conduit-prof (1.3-2+b2 [amd64, arm64], 1.3-2+b1 [armhf, i386])
- data serialization/deserialization conduit library; profiling libraries
- libghc-binary-conduit-prof-1.3-05d9c
- virtueel pakket geboden door libghc-binary-conduit-prof
- libghc-binary-conduit-prof-1.3-5a827
- virtueel pakket geboden door libghc-binary-conduit-prof
- libghc-binary-conduit-prof-1.3-5f336
- virtueel pakket geboden door libghc-binary-conduit-prof
- libghc-binary-conduit-prof-1.3-f90d1
- virtueel pakket geboden door libghc-binary-conduit-prof
- libghc-binary-dev (= 0.8.5.1)
- virtueel pakket geboden door ghc
- libghc-binary-dev-0.8.5.1-039e6
- virtueel pakket geboden door ghc
- libghc-binary-dev-0.8.5.1-24ea6
- virtueel pakket geboden door ghc
- libghc-binary-dev-0.8.5.1-45c53
- virtueel pakket geboden door ghc
- libghc-binary-dev-0.8.5.1-88402
- virtueel pakket geboden door ghc
- libghc-binary-doc (= 0.8.5.1)
- virtueel pakket geboden door ghc-doc
- libghc-binary-orphans-dev (0.1.8.0-6+b1)
- orphan instances for binary
- libghc-binary-orphans-dev-0.1.8.0-5f57d
- virtueel pakket geboden door libghc-binary-orphans-dev
- libghc-binary-orphans-dev-0.1.8.0-700bb
- virtueel pakket geboden door libghc-binary-orphans-dev
- libghc-binary-orphans-dev-0.1.8.0-9d1be
- virtueel pakket geboden door libghc-binary-orphans-dev
- libghc-binary-orphans-dev-0.1.8.0-a5259
- virtueel pakket geboden door libghc-binary-orphans-dev
- libghc-binary-orphans-doc (0.1.8.0-6)
- orphan instances for binary; documentation
- libghc-binary-orphans-prof (0.1.8.0-6+b1)
- orphan instances for binary; profiling libraries
- libghc-binary-orphans-prof-0.1.8.0-5f57d
- virtueel pakket geboden door libghc-binary-orphans-prof
- libghc-binary-orphans-prof-0.1.8.0-700bb
- virtueel pakket geboden door libghc-binary-orphans-prof
- libghc-binary-orphans-prof-0.1.8.0-9d1be
- virtueel pakket geboden door libghc-binary-orphans-prof
- libghc-binary-orphans-prof-0.1.8.0-a5259
- virtueel pakket geboden door libghc-binary-orphans-prof
- libghc-binary-parsers-dev (0.2.3.0-8+b1)
- parsec-/attoparsec-style parsing combinators
- libghc-binary-parsers-dev-0.2.3.0-2335c
- virtueel pakket geboden door libghc-binary-parsers-dev
- libghc-binary-parsers-dev-0.2.3.0-7eb50
- virtueel pakket geboden door libghc-binary-parsers-dev
- libghc-binary-parsers-dev-0.2.3.0-bb7ff
- virtueel pakket geboden door libghc-binary-parsers-dev
- libghc-binary-parsers-dev-0.2.3.0-d61ad
- virtueel pakket geboden door libghc-binary-parsers-dev
- libghc-binary-parsers-doc (0.2.3.0-8)
- parsec-/attoparsec-style parsing combinators; documentation
- libghc-binary-parsers-prof (0.2.3.0-8+b1)
- parsec-/attoparsec-style parsing combinators; profiling libraries
- libghc-binary-parsers-prof-0.2.3.0-2335c
- virtueel pakket geboden door libghc-binary-parsers-prof
- libghc-binary-parsers-prof-0.2.3.0-7eb50
- virtueel pakket geboden door libghc-binary-parsers-prof
- libghc-binary-parsers-prof-0.2.3.0-bb7ff
- virtueel pakket geboden door libghc-binary-parsers-prof
- libghc-binary-parsers-prof-0.2.3.0-d61ad
- virtueel pakket geboden door libghc-binary-parsers-prof
- libghc-binary-prof (= 0.8.5.1)
- virtueel pakket geboden door ghc-prof
- libghc-binary-prof-0.8.5.1-039e6
- virtueel pakket geboden door ghc-prof
- libghc-binary-prof-0.8.5.1-24ea6
- virtueel pakket geboden door ghc-prof
- libghc-binary-prof-0.8.5.1-45c53
- virtueel pakket geboden door ghc-prof
- libghc-binary-prof-0.8.5.1-88402
- virtueel pakket geboden door ghc-prof
- libghc-binary-tagged-dev (0.1.5-3+b1)
- tagged binary serialisation
- libghc-binary-tagged-dev-0.1.5-32d5c
- virtueel pakket geboden door libghc-binary-tagged-dev
- libghc-binary-tagged-dev-0.1.5-4f7be
- virtueel pakket geboden door libghc-binary-tagged-dev
- libghc-binary-tagged-dev-0.1.5-528bb
- virtueel pakket geboden door libghc-binary-tagged-dev
- libghc-binary-tagged-dev-0.1.5-9b3bb
- virtueel pakket geboden door libghc-binary-tagged-dev
- libghc-binary-tagged-doc (0.1.5-3)
- tagged binary serialisation; documentation
- libghc-binary-tagged-prof (0.1.5-3+b1)
- tagged binary serialisation; profiling libraries
- libghc-binary-tagged-prof-0.1.5-32d5c
- virtueel pakket geboden door libghc-binary-tagged-prof
- libghc-binary-tagged-prof-0.1.5-4f7be
- virtueel pakket geboden door libghc-binary-tagged-prof
- libghc-binary-tagged-prof-0.1.5-528bb
- virtueel pakket geboden door libghc-binary-tagged-prof
- libghc-binary-tagged-prof-0.1.5-9b3bb
- virtueel pakket geboden door libghc-binary-tagged-prof
- libghc-bindings-dsl-dev (1.0.25-2+b2)
- FFI domain specific language, on top of hsc2hs
- libghc-bindings-dsl-dev-1.0.25-6706e
- virtueel pakket geboden door libghc-bindings-dsl-dev
- libghc-bindings-dsl-dev-1.0.25-91336
- virtueel pakket geboden door libghc-bindings-dsl-dev
- libghc-bindings-dsl-dev-1.0.25-e776d
- virtueel pakket geboden door libghc-bindings-dsl-dev
- libghc-bindings-dsl-doc (1.0.25-2)
- FFI domain specific language, on top of hsc2hs; documentation
- libghc-bindings-dsl-prof (1.0.25-2+b2)
- FFI domain specific language, on top of hsc2hs; profiling libraries
- libghc-bindings-dsl-prof-1.0.25-6706e
- virtueel pakket geboden door libghc-bindings-dsl-prof
- libghc-bindings-dsl-prof-1.0.25-91336
- virtueel pakket geboden door libghc-bindings-dsl-prof
- libghc-bindings-dsl-prof-1.0.25-e776d
- virtueel pakket geboden door libghc-bindings-dsl-prof
- libghc-bindings-nettle-dev (0.4-2+b2)
- Haskell bindings to nettle crypto library
- libghc-bindings-nettle-dev-0.4-54a1f
- virtueel pakket geboden door libghc-bindings-nettle-dev
- libghc-bindings-nettle-dev-0.4-5bd06
- virtueel pakket geboden door libghc-bindings-nettle-dev
- libghc-bindings-nettle-dev-0.4-b20fd
- virtueel pakket geboden door libghc-bindings-nettle-dev
- libghc-bindings-nettle-doc (0.4-2)
- Haskell bindings to nettle crypto library; documentation
- libghc-bindings-nettle-prof (0.4-2+b2)
- Haskell bindings to nettle crypto library; profiling libraries
- libghc-bindings-nettle-prof-0.4-54a1f
- virtueel pakket geboden door libghc-bindings-nettle-prof
- libghc-bindings-nettle-prof-0.4-5bd06
- virtueel pakket geboden door libghc-bindings-nettle-prof
- libghc-bindings-nettle-prof-0.4-b20fd
- virtueel pakket geboden door libghc-bindings-nettle-prof
- libghc-bindings-sane-dev (0.0.1-12+b3)
- FFI bindings to libsane
- libghc-bindings-sane-dev-0.0.1-7488b
- virtueel pakket geboden door libghc-bindings-sane-dev
- libghc-bindings-sane-dev-0.0.1-8c082
- virtueel pakket geboden door libghc-bindings-sane-dev
- libghc-bindings-sane-dev-0.0.1-c1727
- virtueel pakket geboden door libghc-bindings-sane-dev
- libghc-bindings-sane-dev-0.0.1-db0e1
- virtueel pakket geboden door libghc-bindings-sane-dev
- libghc-bindings-sane-doc (0.0.1-12)
- FFI bindings to libsane
- libghc-bindings-sane-prof (0.0.1-12+b3)
- FFI bindings to libsane
- libghc-bindings-sane-prof-0.0.1-7488b
- virtueel pakket geboden door libghc-bindings-sane-prof
- libghc-bindings-sane-prof-0.0.1-8c082
- virtueel pakket geboden door libghc-bindings-sane-prof
- libghc-bindings-sane-prof-0.0.1-c1727
- virtueel pakket geboden door libghc-bindings-sane-prof
- libghc-bindings-sane-prof-0.0.1-db0e1
- virtueel pakket geboden door libghc-bindings-sane-prof
- libghc-bindings-uname-dev (0.1-3+b2)
- Low-level binding to POSIX uname(3)
- libghc-bindings-uname-dev-0.1-19e58
- virtueel pakket geboden door libghc-bindings-uname-dev
- libghc-bindings-uname-dev-0.1-47041
- virtueel pakket geboden door libghc-bindings-uname-dev
- libghc-bindings-uname-dev-0.1-c9a99
- virtueel pakket geboden door libghc-bindings-uname-dev
- libghc-bindings-uname-dev-0.1-fe2aa
- virtueel pakket geboden door libghc-bindings-uname-dev
- libghc-bindings-uname-doc (0.1-3)
- Low-level binding to POSIX uname(3); documentation
- libghc-bindings-uname-prof (0.1-3+b2)
- Low-level binding to POSIX uname(3); profiling libraries
- libghc-bindings-uname-prof-0.1-19e58
- virtueel pakket geboden door libghc-bindings-uname-prof
- libghc-bindings-uname-prof-0.1-47041
- virtueel pakket geboden door libghc-bindings-uname-prof
- libghc-bindings-uname-prof-0.1-c9a99
- virtueel pakket geboden door libghc-bindings-uname-prof
- libghc-bindings-uname-prof-0.1-fe2aa
- virtueel pakket geboden door libghc-bindings-uname-prof
- libghc-bitarray-dev (0.0.1.1-5+b2)
- Mutable and immutable bit arrays
- libghc-bitarray-dev-0.0.1.1-044ce
- virtueel pakket geboden door libghc-bitarray-dev
- libghc-bitarray-dev-0.0.1.1-9d81d
- virtueel pakket geboden door libghc-bitarray-dev
- libghc-bitarray-doc (0.0.1.1-5)
- Mutable and immutable bit arrays; documentation
- libghc-bitarray-prof (0.0.1.1-5+b2)
- Mutable and immutable bit arrays; profiling libraries
- libghc-bitarray-prof-0.0.1.1-044ce
- virtueel pakket geboden door libghc-bitarray-prof
- libghc-bitarray-prof-0.0.1.1-9d81d
- virtueel pakket geboden door libghc-bitarray-prof
- libghc-blaze-builder-dev (0.4.1.0-3+b2)
- abstraction of buffered output of byte streams
- libghc-blaze-builder-dev-0.4.1.0-56cb8
- virtueel pakket geboden door libghc-blaze-builder-dev
- libghc-blaze-builder-dev-0.4.1.0-75fbe
- virtueel pakket geboden door libghc-blaze-builder-dev
- libghc-blaze-builder-dev-0.4.1.0-7f132
- virtueel pakket geboden door libghc-blaze-builder-dev
- libghc-blaze-builder-dev-0.4.1.0-a29d6
- virtueel pakket geboden door libghc-blaze-builder-dev
- libghc-blaze-builder-doc (0.4.1.0-3)
- abstraction of buffered output of byte streams; documentation
- libghc-blaze-builder-prof (0.4.1.0-3+b2)
- abstraction of buffered output of byte streams; profiling libraries
- libghc-blaze-builder-prof-0.4.1.0-56cb8
- virtueel pakket geboden door libghc-blaze-builder-prof
- libghc-blaze-builder-prof-0.4.1.0-75fbe
- virtueel pakket geboden door libghc-blaze-builder-prof
- libghc-blaze-builder-prof-0.4.1.0-7f132
- virtueel pakket geboden door libghc-blaze-builder-prof
- libghc-blaze-builder-prof-0.4.1.0-a29d6
- virtueel pakket geboden door libghc-blaze-builder-prof
- libghc-blaze-html-dev (0.9.1.1-3+b1)
- HTML combinator library for Haskell
- libghc-blaze-html-dev-0.9.1.1-17b82
- virtueel pakket geboden door libghc-blaze-html-dev
- libghc-blaze-html-dev-0.9.1.1-56c73
- virtueel pakket geboden door libghc-blaze-html-dev
- libghc-blaze-html-dev-0.9.1.1-584e8
- virtueel pakket geboden door libghc-blaze-html-dev
- libghc-blaze-html-dev-0.9.1.1-b095e
- virtueel pakket geboden door libghc-blaze-html-dev
- libghc-blaze-html-doc (0.9.1.1-3)
- HTML combinator library for Haskell; documentation
- libghc-blaze-html-prof (0.9.1.1-3+b1)
- HTML combinator library for Haskell; profiling libraries
- libghc-blaze-html-prof-0.9.1.1-17b82
- virtueel pakket geboden door libghc-blaze-html-prof
- libghc-blaze-html-prof-0.9.1.1-56c73
- virtueel pakket geboden door libghc-blaze-html-prof
- libghc-blaze-html-prof-0.9.1.1-584e8
- virtueel pakket geboden door libghc-blaze-html-prof
- libghc-blaze-html-prof-0.9.1.1-b095e
- virtueel pakket geboden door libghc-blaze-html-prof
- libghc-blaze-markup-dev (0.8.2.1-4+b1)
- blazingly-fast markup combinator library
- libghc-blaze-markup-dev-0.8.2.1-39504
- virtueel pakket geboden door libghc-blaze-markup-dev
- libghc-blaze-markup-dev-0.8.2.1-6e196
- virtueel pakket geboden door libghc-blaze-markup-dev
- libghc-blaze-markup-dev-0.8.2.1-a5307
- virtueel pakket geboden door libghc-blaze-markup-dev
- libghc-blaze-markup-dev-0.8.2.1-d0e53
- virtueel pakket geboden door libghc-blaze-markup-dev
- libghc-blaze-markup-doc (0.8.2.1-4)
- blazingly-fast markup combinator library; documentation
- libghc-blaze-markup-prof (0.8.2.1-4+b1)
- blazingly-fast markup combinator library; profiling libraries
- libghc-blaze-markup-prof-0.8.2.1-39504
- virtueel pakket geboden door libghc-blaze-markup-prof
- libghc-blaze-markup-prof-0.8.2.1-6e196
- virtueel pakket geboden door libghc-blaze-markup-prof
- libghc-blaze-markup-prof-0.8.2.1-a5307
- virtueel pakket geboden door libghc-blaze-markup-prof
- libghc-blaze-markup-prof-0.8.2.1-d0e53
- virtueel pakket geboden door libghc-blaze-markup-prof
- libghc-blaze-svg-dev (0.3.6.1-4+b1)
- SVG combinator library
- libghc-blaze-svg-dev-0.3.6.1-26b33
- virtueel pakket geboden door libghc-blaze-svg-dev
- libghc-blaze-svg-dev-0.3.6.1-3f301
- virtueel pakket geboden door libghc-blaze-svg-dev
- libghc-blaze-svg-dev-0.3.6.1-5c11e
- virtueel pakket geboden door libghc-blaze-svg-dev
- libghc-blaze-svg-dev-0.3.6.1-d60fc
- virtueel pakket geboden door libghc-blaze-svg-dev
- libghc-blaze-svg-doc (0.3.6.1-4)
- SVG combinator library; documentation
- libghc-blaze-svg-prof (0.3.6.1-4+b1)
- SVG combinator library; profiling libraries
- libghc-blaze-svg-prof-0.3.6.1-26b33
- virtueel pakket geboden door libghc-blaze-svg-prof
- libghc-blaze-svg-prof-0.3.6.1-3f301
- virtueel pakket geboden door libghc-blaze-svg-prof
- libghc-blaze-svg-prof-0.3.6.1-5c11e
- virtueel pakket geboden door libghc-blaze-svg-prof
- libghc-blaze-svg-prof-0.3.6.1-d60fc
- virtueel pakket geboden door libghc-blaze-svg-prof
- libghc-blaze-textual-dev (0.2.1.0-8+b3 [amd64, arm64], 0.2.1.0-8+b2 [armhf], 0.2.1.0-8+b1 [i386])
- Fast rendering of common datatypes
- libghc-blaze-textual-dev-0.2.1.0-22140
- virtueel pakket geboden door libghc-blaze-textual-dev
- libghc-blaze-textual-dev-0.2.1.0-22559
- virtueel pakket geboden door libghc-blaze-textual-dev
- libghc-blaze-textual-dev-0.2.1.0-56a8d
- virtueel pakket geboden door libghc-blaze-textual-dev
- libghc-blaze-textual-dev-0.2.1.0-a2e00
- virtueel pakket geboden door libghc-blaze-textual-dev
- libghc-blaze-textual-doc (0.2.1.0-8)
- Fast rendering of common datatypes; documentation
- libghc-blaze-textual-prof (0.2.1.0-8+b3 [amd64, arm64], 0.2.1.0-8+b2 [armhf], 0.2.1.0-8+b1 [i386])
- Fast rendering of common datatypes; profiling libraries
- libghc-blaze-textual-prof-0.2.1.0-22140
- virtueel pakket geboden door libghc-blaze-textual-prof
- libghc-blaze-textual-prof-0.2.1.0-22559
- virtueel pakket geboden door libghc-blaze-textual-prof
- libghc-blaze-textual-prof-0.2.1.0-56a8d
- virtueel pakket geboden door libghc-blaze-textual-prof
- libghc-blaze-textual-prof-0.2.1.0-a2e00
- virtueel pakket geboden door libghc-blaze-textual-prof
- libghc-bloomfilter-dev (2.0.1.0-6+b2)
- Haskell bloom filter library
- libghc-bloomfilter-dev-2.0.1.0-2649e
- virtueel pakket geboden door libghc-bloomfilter-dev
- libghc-bloomfilter-dev-2.0.1.0-3cadb
- virtueel pakket geboden door libghc-bloomfilter-dev
- libghc-bloomfilter-dev-2.0.1.0-6af0c
- virtueel pakket geboden door libghc-bloomfilter-dev
- libghc-bloomfilter-dev-2.0.1.0-e7b47
- virtueel pakket geboden door libghc-bloomfilter-dev
- libghc-bloomfilter-doc (2.0.1.0-6)
- Haskell bloom filter library; documentation
- libghc-bloomfilter-prof (2.0.1.0-6+b2)
- Haskell bloom filter library; profiling libraries
- libghc-bloomfilter-prof-2.0.1.0-2649e
- virtueel pakket geboden door libghc-bloomfilter-prof
- libghc-bloomfilter-prof-2.0.1.0-3cadb
- virtueel pakket geboden door libghc-bloomfilter-prof
- libghc-bloomfilter-prof-2.0.1.0-6af0c
- virtueel pakket geboden door libghc-bloomfilter-prof
- libghc-bloomfilter-prof-2.0.1.0-e7b47
- virtueel pakket geboden door libghc-bloomfilter-prof
- libghc-bmp-dev (1.2.6.3-4+b2)
- Read and write BMP image files
- libghc-bmp-dev-1.2.6.3-1c40e
- virtueel pakket geboden door libghc-bmp-dev
- libghc-bmp-dev-1.2.6.3-e0782
- virtueel pakket geboden door libghc-bmp-dev
- libghc-bmp-dev-1.2.6.3-e3630
- virtueel pakket geboden door libghc-bmp-dev
- libghc-bmp-dev-1.2.6.3-f161d
- virtueel pakket geboden door libghc-bmp-dev
- libghc-bmp-doc (1.2.6.3-4)
- Read and write BMP image files; documentation
- libghc-bmp-prof (1.2.6.3-4+b2)
- Read and write BMP image files; profiling libraries
- libghc-bmp-prof-1.2.6.3-1c40e
- virtueel pakket geboden door libghc-bmp-prof
- libghc-bmp-prof-1.2.6.3-e0782
- virtueel pakket geboden door libghc-bmp-prof
- libghc-bmp-prof-1.2.6.3-e3630
- virtueel pakket geboden door libghc-bmp-prof
- libghc-bmp-prof-1.2.6.3-f161d
- virtueel pakket geboden door libghc-bmp-prof
- (0.4.0-8+b2)
- fold function for Bool type
- virtueel pakket geboden door libghc-bool-extras-dev
- virtueel pakket geboden door libghc-bool-extras-dev
- (0.4.0-8)
- fold function for Bool type; documentation
- (0.4.0-8+b2)
- fold function for Bool type; profiling libraries
- virtueel pakket geboden door libghc-bool-extras-prof
- virtueel pakket geboden door libghc-bool-extras-prof
- libghc-boolean-dev (0.2.4-3+b2)
- generalized booleans
- libghc-boolean-dev-0.2.4-89f5f
- virtueel pakket geboden door libghc-boolean-dev
- libghc-boolean-dev-0.2.4-d1603
- virtueel pakket geboden door libghc-boolean-dev
- libghc-boolean-doc (0.2.4-3)
- generalized booleans; documentation
- libghc-boolean-prof (0.2.4-3+b2)
- generalized booleans; profiling libraries
- libghc-boolean-prof-0.2.4-89f5f
- virtueel pakket geboden door libghc-boolean-prof
- libghc-boolean-prof-0.2.4-d1603
- virtueel pakket geboden door libghc-boolean-prof
- libghc-boomerang-dev (1.4.5.5-3+b2)
- invertible parsing and printing
- libghc-boomerang-dev-1.4.5.5-2cce9
- virtueel pakket geboden door libghc-boomerang-dev
- libghc-boomerang-dev-1.4.5.5-5f9b2
- virtueel pakket geboden door libghc-boomerang-dev
- libghc-boomerang-dev-1.4.5.5-bcef1
- virtueel pakket geboden door libghc-boomerang-dev
- libghc-boomerang-dev-1.4.5.5-c72d6
- virtueel pakket geboden door libghc-boomerang-dev
- libghc-boomerang-doc (1.4.5.5-3)
- invertible parsing and printing; documentation
- libghc-boomerang-prof (1.4.5.5-3+b2)
- invertible parsing and printing; profiling libraries
- libghc-boomerang-prof-1.4.5.5-2cce9
- virtueel pakket geboden door libghc-boomerang-prof
- libghc-boomerang-prof-1.4.5.5-5f9b2
- virtueel pakket geboden door libghc-boomerang-prof
- libghc-boomerang-prof-1.4.5.5-bcef1
- virtueel pakket geboden door libghc-boomerang-prof
- libghc-boomerang-prof-1.4.5.5-c72d6
- virtueel pakket geboden door libghc-boomerang-prof
- libghc-boundedchan-dev (1.0.3.0-9+b2)
- bounded (maximum-limited) channels
- libghc-boundedchan-dev-1.0.3.0-48b13
- virtueel pakket geboden door libghc-boundedchan-dev
- libghc-boundedchan-dev-1.0.3.0-4e232
- virtueel pakket geboden door libghc-boundedchan-dev
- libghc-boundedchan-doc (1.0.3.0-9)
- bounded (maximum-limited) channels; documentation
- libghc-boundedchan-prof (1.0.3.0-9+b2)
- bounded (maximum-limited) channels; profiling libraries
- libghc-boundedchan-prof-1.0.3.0-48b13
- virtueel pakket geboden door libghc-boundedchan-prof
- libghc-boundedchan-prof-1.0.3.0-4e232
- virtueel pakket geboden door libghc-boundedchan-prof
- libghc-boxes-dev (0.1.5-2+b2)
- 2D text pretty-printing library
- libghc-boxes-dev-0.1.5-44f2e
- virtueel pakket geboden door libghc-boxes-dev
- libghc-boxes-dev-0.1.5-47967
- virtueel pakket geboden door libghc-boxes-dev
- libghc-boxes-dev-0.1.5-d3bf2
- virtueel pakket geboden door libghc-boxes-dev
- libghc-boxes-doc (0.1.5-2)
- 2D text pretty-printing library; documentation
- libghc-boxes-prof (0.1.5-2+b2)
- 2D text pretty-printing library; profiling libraries
- libghc-boxes-prof-0.1.5-44f2e
- virtueel pakket geboden door libghc-boxes-prof
- libghc-boxes-prof-0.1.5-47967
- virtueel pakket geboden door libghc-boxes-prof
- libghc-boxes-prof-0.1.5-d3bf2
- virtueel pakket geboden door libghc-boxes-prof
- libghc-brainfuck-dev (0.1.0.3-6+b2)
- Brainfuck interpreter library
- libghc-brainfuck-dev-0.1.0.3-c5fb5
- virtueel pakket geboden door libghc-brainfuck-dev
- libghc-brainfuck-dev-0.1.0.3-e4b44
- virtueel pakket geboden door libghc-brainfuck-dev
- libghc-brainfuck-dev-0.1.0.3-f43af
- virtueel pakket geboden door libghc-brainfuck-dev
- libghc-brainfuck-doc (0.1.0.3-6)
- Brainfuck interpreter library; documentation
- libghc-brainfuck-prof (0.1.0.3-6+b2)
- Brainfuck interpreter library; profiling libraries
- libghc-brainfuck-prof-0.1.0.3-c5fb5
- virtueel pakket geboden door libghc-brainfuck-prof
- libghc-brainfuck-prof-0.1.0.3-e4b44
- virtueel pakket geboden door libghc-brainfuck-prof
- libghc-brainfuck-prof-0.1.0.3-f43af
- virtueel pakket geboden door libghc-brainfuck-prof
- libghc-brick-dev (0.37.2-2+b1)
- declarative terminal user interface library
- libghc-brick-dev-0.37.2-27d6a
- virtueel pakket geboden door libghc-brick-dev
- libghc-brick-dev-0.37.2-9c7bf
- virtueel pakket geboden door libghc-brick-dev
- libghc-brick-dev-0.37.2-c0391
- virtueel pakket geboden door libghc-brick-dev
- libghc-brick-dev-0.37.2-d886a
- virtueel pakket geboden door libghc-brick-dev
- libghc-brick-doc (0.37.2-2)
- declarative terminal user interface library; documentation
- libghc-brick-prof (0.37.2-2+b1)
- declarative terminal user interface library; profiling libraries
- libghc-brick-prof-0.37.2-27d6a
- virtueel pakket geboden door libghc-brick-prof
- libghc-brick-prof-0.37.2-9c7bf
- virtueel pakket geboden door libghc-brick-prof
- libghc-brick-prof-0.37.2-c0391
- virtueel pakket geboden door libghc-brick-prof
- libghc-brick-prof-0.37.2-d886a
- virtueel pakket geboden door libghc-brick-prof
- libghc-bsb-http-chunked-dev (0.0.0.3-2+b2)
- chunked HTTP transfer encoding for bytestring builders
- libghc-bsb-http-chunked-dev-0.0.0.3-19160
- virtueel pakket geboden door libghc-bsb-http-chunked-dev
- libghc-bsb-http-chunked-dev-0.0.0.3-4ea78
- virtueel pakket geboden door libghc-bsb-http-chunked-dev
- libghc-bsb-http-chunked-dev-0.0.0.3-e15b2
- virtueel pakket geboden door libghc-bsb-http-chunked-dev
- libghc-bsb-http-chunked-dev-0.0.0.3-e7f73
- virtueel pakket geboden door libghc-bsb-http-chunked-dev
- libghc-bsb-http-chunked-doc (0.0.0.3-2)
- chunked HTTP transfer encoding for bytestring builders; documentation
- libghc-bsb-http-chunked-prof (0.0.0.3-2+b2)
- chunked HTTP transfer encoding for bytestring builders; profiling libraries
- libghc-bsb-http-chunked-prof-0.0.0.3-19160
- virtueel pakket geboden door libghc-bsb-http-chunked-prof
- libghc-bsb-http-chunked-prof-0.0.0.3-4ea78
- virtueel pakket geboden door libghc-bsb-http-chunked-prof
- libghc-bsb-http-chunked-prof-0.0.0.3-e15b2
- virtueel pakket geboden door libghc-bsb-http-chunked-prof
- libghc-bsb-http-chunked-prof-0.0.0.3-e7f73
- virtueel pakket geboden door libghc-bsb-http-chunked-prof
- libghc-byteable-dev (0.1.1-9+b2)
- typeclass for byte sequences
- libghc-byteable-dev-0.1.1-2ad06
- virtueel pakket geboden door libghc-byteable-dev
- libghc-byteable-dev-0.1.1-750b1
- virtueel pakket geboden door libghc-byteable-dev
- libghc-byteable-dev-0.1.1-c9a0c
- virtueel pakket geboden door libghc-byteable-dev
- libghc-byteable-dev-0.1.1-f28ec
- virtueel pakket geboden door libghc-byteable-dev
- libghc-byteable-doc (0.1.1-9)
- typeclass for byte sequences; documentation
- libghc-byteable-prof (0.1.1-9+b2)
- typeclass for byte sequences; profiling libraries
- libghc-byteable-prof-0.1.1-2ad06
- virtueel pakket geboden door libghc-byteable-prof
- libghc-byteable-prof-0.1.1-750b1
- virtueel pakket geboden door libghc-byteable-prof
- libghc-byteable-prof-0.1.1-c9a0c
- virtueel pakket geboden door libghc-byteable-prof
- libghc-byteable-prof-0.1.1-f28ec
- virtueel pakket geboden door libghc-byteable-prof
- libghc-bytedump-dev (1.0-9+b2)
- flexible byte dump helpers for human readers
- libghc-bytedump-dev-1.0-2b10d
- virtueel pakket geboden door libghc-bytedump-dev
- libghc-bytedump-dev-1.0-6e44f
- virtueel pakket geboden door libghc-bytedump-dev
- libghc-bytedump-dev-1.0-da509
- virtueel pakket geboden door libghc-bytedump-dev
- libghc-bytedump-dev-1.0-e9b47
- virtueel pakket geboden door libghc-bytedump-dev
- libghc-bytedump-doc (1.0-9)
- flexible byte dump helpers for human readers; documentation
- libghc-bytedump-prof (1.0-9+b2)
- flexible byte dump helpers for human readers; profiling libraries
- libghc-bytedump-prof-1.0-2b10d
- virtueel pakket geboden door libghc-bytedump-prof
- libghc-bytedump-prof-1.0-6e44f
- virtueel pakket geboden door libghc-bytedump-prof
- libghc-bytedump-prof-1.0-da509
- virtueel pakket geboden door libghc-bytedump-prof
- libghc-bytedump-prof-1.0-e9b47
- virtueel pakket geboden door libghc-bytedump-prof
- libghc-byteorder-dev (1.0.4-9+b2)
- exposes the native endianness or byte ordering of the system
- libghc-byteorder-dev-1.0.4-90273
- virtueel pakket geboden door libghc-byteorder-dev
- libghc-byteorder-dev-1.0.4-b7f90
- virtueel pakket geboden door libghc-byteorder-dev
- libghc-byteorder-doc (1.0.4-9)
- exposes the native endianness or byte ordering of the system; documentation
- libghc-byteorder-prof (1.0.4-9+b2)
- exposes the native endianness of the system; profiling libraries
- libghc-byteorder-prof-1.0.4-90273
- virtueel pakket geboden door libghc-byteorder-prof
- libghc-byteorder-prof-1.0.4-b7f90
- virtueel pakket geboden door libghc-byteorder-prof
- libghc-bytes-dev (0.15.5-2+b1)
- Sharing code for serialization between binary and cereal
- libghc-bytes-dev-0.15.5-0d25e
- virtueel pakket geboden door libghc-bytes-dev
- libghc-bytes-dev-0.15.5-1248a
- virtueel pakket geboden door libghc-bytes-dev
- libghc-bytes-dev-0.15.5-890ef
- virtueel pakket geboden door libghc-bytes-dev
- libghc-bytes-dev-0.15.5-aed7c
- virtueel pakket geboden door libghc-bytes-dev
- libghc-bytes-doc (0.15.5-2)
- Sharing code for serialization between binary and cereal; documentation
- libghc-bytes-prof (0.15.5-2+b1)
- Sharing code for serialization between binary and cereal; profiling libraries
- libghc-bytes-prof-0.15.5-0d25e
- virtueel pakket geboden door libghc-bytes-prof
- libghc-bytes-prof-0.15.5-1248a
- virtueel pakket geboden door libghc-bytes-prof
- libghc-bytes-prof-0.15.5-890ef
- virtueel pakket geboden door libghc-bytes-prof
- libghc-bytes-prof-0.15.5-aed7c
- virtueel pakket geboden door libghc-bytes-prof
- libghc-bytestring-conversion-dev (0.3.1-6+b1)
- Type-classes to convert values to and from ByteString.
- libghc-bytestring-conversion-dev-0.3.1-067ca
- virtueel pakket geboden door libghc-bytestring-conversion-dev
- libghc-bytestring-conversion-dev-0.3.1-4324f
- virtueel pakket geboden door libghc-bytestring-conversion-dev
- libghc-bytestring-conversion-dev-0.3.1-71244
- virtueel pakket geboden door libghc-bytestring-conversion-dev
- libghc-bytestring-conversion-dev-0.3.1-b39b8
- virtueel pakket geboden door libghc-bytestring-conversion-dev
- libghc-bytestring-conversion-doc (0.3.1-6)
- Type-classes to convert values to and from ByteString.; documentation
- libghc-bytestring-conversion-prof (0.3.1-6+b1)
- Type-classes to convert values to and from ByteString.; profiling libraries
- libghc-bytestring-conversion-prof-0.3.1-067ca
- virtueel pakket geboden door libghc-bytestring-conversion-prof
- libghc-bytestring-conversion-prof-0.3.1-4324f
- virtueel pakket geboden door libghc-bytestring-conversion-prof
- libghc-bytestring-conversion-prof-0.3.1-71244
- virtueel pakket geboden door libghc-bytestring-conversion-prof
- libghc-bytestring-conversion-prof-0.3.1-b39b8
- virtueel pakket geboden door libghc-bytestring-conversion-prof
- libghc-bytestring-dev (= 0.10.8.2)
- virtueel pakket geboden door ghc
- libghc-bytestring-dev-0.10.8.2-1e712
- virtueel pakket geboden door ghc
- libghc-bytestring-dev-0.10.8.2-40be2
- virtueel pakket geboden door ghc
- libghc-bytestring-dev-0.10.8.2-76891
- virtueel pakket geboden door ghc
- libghc-bytestring-dev-0.10.8.2-b3c02
- virtueel pakket geboden door ghc
- libghc-bytestring-doc (= 0.10.8.2)
- virtueel pakket geboden door ghc-doc
- libghc-bytestring-handle-dev (0.1.0.6-4+b2)
- ByteString-backed Handles
- libghc-bytestring-handle-dev-0.1.0.6-81699
- virtueel pakket geboden door libghc-bytestring-handle-dev
- libghc-bytestring-handle-dev-0.1.0.6-91158
- virtueel pakket geboden door libghc-bytestring-handle-dev
- libghc-bytestring-handle-doc (0.1.0.6-4)
- ByteString-backed Handles; documentation
- libghc-bytestring-handle-prof (0.1.0.6-4+b2)
- ByteString-backed Handles; profiling libraries
- libghc-bytestring-handle-prof-0.1.0.6-81699
- virtueel pakket geboden door libghc-bytestring-handle-prof
- libghc-bytestring-handle-prof-0.1.0.6-91158
- virtueel pakket geboden door libghc-bytestring-handle-prof
- libghc-bytestring-lexing-dev (0.5.0.2-6+b2)
- parsing and printing numbers to bytestrings.
- libghc-bytestring-lexing-dev-0.5.0.2-95b88
- virtueel pakket geboden door libghc-bytestring-lexing-dev
- libghc-bytestring-lexing-dev-0.5.0.2-bfce4
- virtueel pakket geboden door libghc-bytestring-lexing-dev
- libghc-bytestring-lexing-dev-0.5.0.2-cba6f
- virtueel pakket geboden door libghc-bytestring-lexing-dev
- libghc-bytestring-lexing-dev-0.5.0.2-f8eb8
- virtueel pakket geboden door libghc-bytestring-lexing-dev
- libghc-bytestring-lexing-doc (0.5.0.2-6)
- parsing and printing numbers to bytestrings.; documentation
- libghc-bytestring-lexing-prof (0.5.0.2-6+b2)
- parsing and printing numbers to bytestrings.; profiling libraries
- libghc-bytestring-lexing-prof-0.5.0.2-95b88
- virtueel pakket geboden door libghc-bytestring-lexing-prof
- libghc-bytestring-lexing-prof-0.5.0.2-bfce4
- virtueel pakket geboden door libghc-bytestring-lexing-prof
- libghc-bytestring-lexing-prof-0.5.0.2-cba6f
- virtueel pakket geboden door libghc-bytestring-lexing-prof
- libghc-bytestring-lexing-prof-0.5.0.2-f8eb8
- virtueel pakket geboden door libghc-bytestring-lexing-prof
- libghc-bytestring-mmap-dev (0.2.2-12+b2)
- mmap support for strict ByteStrings
- libghc-bytestring-mmap-dev-0.2.2-0d49e
- virtueel pakket geboden door libghc-bytestring-mmap-dev
- libghc-bytestring-mmap-dev-0.2.2-4b76a
- virtueel pakket geboden door libghc-bytestring-mmap-dev
- libghc-bytestring-mmap-dev-0.2.2-8e847
- virtueel pakket geboden door libghc-bytestring-mmap-dev
- libghc-bytestring-mmap-dev-0.2.2-cb934
- virtueel pakket geboden door libghc-bytestring-mmap-dev
- libghc-bytestring-mmap-doc (0.2.2-12)
- mmap support for strict ByteStrings; documentation
- libghc-bytestring-mmap-prof (0.2.2-12+b2)
- mmap support for strict ByteStrings; profiling libraries
- libghc-bytestring-mmap-prof-0.2.2-0d49e
- virtueel pakket geboden door libghc-bytestring-mmap-prof
- libghc-bytestring-mmap-prof-0.2.2-4b76a
- virtueel pakket geboden door libghc-bytestring-mmap-prof
- libghc-bytestring-mmap-prof-0.2.2-8e847
- virtueel pakket geboden door libghc-bytestring-mmap-prof
- libghc-bytestring-mmap-prof-0.2.2-cb934
- virtueel pakket geboden door libghc-bytestring-mmap-prof
- libghc-bytestring-prof (= 0.10.8.2)
- virtueel pakket geboden door ghc-prof
- libghc-bytestring-prof-0.10.8.2-1e712
- virtueel pakket geboden door ghc-prof
- libghc-bytestring-prof-0.10.8.2-40be2
- virtueel pakket geboden door ghc-prof
- libghc-bytestring-prof-0.10.8.2-76891
- virtueel pakket geboden door ghc-prof
- libghc-bytestring-prof-0.10.8.2-b3c02
- virtueel pakket geboden door ghc-prof
- libghc-bytestring-progress-dev (1.2-2+b2)
- A library for tracking the consumption of a lazy ByteString
- libghc-bytestring-progress-dev-1.2-701f2
- virtueel pakket geboden door libghc-bytestring-progress-dev
- libghc-bytestring-progress-dev-1.2-778bb
- virtueel pakket geboden door libghc-bytestring-progress-dev
- libghc-bytestring-progress-dev-1.2-a4fde
- virtueel pakket geboden door libghc-bytestring-progress-dev
- libghc-bytestring-progress-dev-1.2-fe101
- virtueel pakket geboden door libghc-bytestring-progress-dev
- libghc-bytestring-progress-doc (1.2-2)
- A library for tracking the consumption of a lazy ByteString; documentation
- libghc-bytestring-progress-prof (1.2-2+b2)
- A library for tracking the consumption of a lazy ByteString; profiling libraries
- libghc-bytestring-progress-prof-1.2-701f2
- virtueel pakket geboden door libghc-bytestring-progress-prof
- libghc-bytestring-progress-prof-1.2-778bb
- virtueel pakket geboden door libghc-bytestring-progress-prof
- libghc-bytestring-progress-prof-1.2-a4fde
- virtueel pakket geboden door libghc-bytestring-progress-prof
- libghc-bytestring-progress-prof-1.2-fe101
- virtueel pakket geboden door libghc-bytestring-progress-prof
- libghc-bytestring-show-dev (0.3.5.6-10+b2)
- Haskell library for value converter to byte strings
- libghc-bytestring-show-dev-0.3.5.6-1a648
- virtueel pakket geboden door libghc-bytestring-show-dev
- libghc-bytestring-show-dev-0.3.5.6-68582
- virtueel pakket geboden door libghc-bytestring-show-dev
- libghc-bytestring-show-dev-0.3.5.6-950d4
- virtueel pakket geboden door libghc-bytestring-show-dev
- libghc-bytestring-show-dev-0.3.5.6-cf734
- virtueel pakket geboden door libghc-bytestring-show-dev
- libghc-bytestring-show-doc (0.3.5.6-10)
- Haskell library for value converter to byte strings; documentation
- libghc-bytestring-show-prof (0.3.5.6-10+b2)
- Haskell library for value converter to byte strings; profiling libraries
- libghc-bytestring-show-prof-0.3.5.6-1a648
- virtueel pakket geboden door libghc-bytestring-show-prof
- libghc-bytestring-show-prof-0.3.5.6-68582
- virtueel pakket geboden door libghc-bytestring-show-prof
- libghc-bytestring-show-prof-0.3.5.6-950d4
- virtueel pakket geboden door libghc-bytestring-show-prof
- libghc-bytestring-show-prof-0.3.5.6-cf734
- virtueel pakket geboden door libghc-bytestring-show-prof
- libghc-bzlib-dev (0.5.0.5-8+b2)
- Haskell bindings to the bzip2 library
- libghc-bzlib-dev-0.5.0.5-3b980
- virtueel pakket geboden door libghc-bzlib-dev
- libghc-bzlib-dev-0.5.0.5-5d6ac
- virtueel pakket geboden door libghc-bzlib-dev
- libghc-bzlib-dev-0.5.0.5-6e783
- virtueel pakket geboden door libghc-bzlib-dev
- libghc-bzlib-dev-0.5.0.5-c3da5
- virtueel pakket geboden door libghc-bzlib-dev
- libghc-bzlib-doc (0.5.0.5-8)
- Haskell bindings to the bzip2 library; documentation
- libghc-bzlib-prof (0.5.0.5-8+b2)
- Haskell bindings to the bzip2 library; profiling libraries
- libghc-bzlib-prof-0.5.0.5-3b980
- virtueel pakket geboden door libghc-bzlib-prof
- libghc-bzlib-prof-0.5.0.5-5d6ac
- virtueel pakket geboden door libghc-bzlib-prof
- libghc-bzlib-prof-0.5.0.5-6e783
- virtueel pakket geboden door libghc-bzlib-prof
- libghc-bzlib-prof-0.5.0.5-c3da5
- virtueel pakket geboden door libghc-bzlib-prof
- libghc-cabal-dev (= 2.2.0.1)
- virtueel pakket geboden door ghc
- libghc-cabal-dev-2.2.0.1-37424
- virtueel pakket geboden door ghc
- libghc-cabal-dev-2.2.0.1-b59e4
- virtueel pakket geboden door ghc
- libghc-cabal-dev-2.2.0.1-f069d
- virtueel pakket geboden door ghc
- libghc-cabal-dev-2.2.0.1-f0d57
- virtueel pakket geboden door ghc
- libghc-cabal-doc (= 2.2.0.1)
- virtueel pakket geboden door ghc-doc
- libghc-cabal-doctest-dev (1.0.6-3+b2)
- Setup.hs helper for doctests running
- libghc-cabal-doctest-dev-1.0.6-2c99f
- virtueel pakket geboden door libghc-cabal-doctest-dev
- libghc-cabal-doctest-dev-1.0.6-7f819
- virtueel pakket geboden door libghc-cabal-doctest-dev
- libghc-cabal-doctest-dev-1.0.6-b7ba4
- virtueel pakket geboden door libghc-cabal-doctest-dev
- libghc-cabal-doctest-dev-1.0.6-e8a5e
- virtueel pakket geboden door libghc-cabal-doctest-dev
- libghc-cabal-doctest-doc (1.0.6-3)
- Setup.hs helper for doctests running; documentation
- libghc-cabal-doctest-prof (1.0.6-3+b2)
- Setup.hs helper for doctests running; profiling libraries
- libghc-cabal-doctest-prof-1.0.6-2c99f
- virtueel pakket geboden door libghc-cabal-doctest-prof
- libghc-cabal-doctest-prof-1.0.6-7f819
- virtueel pakket geboden door libghc-cabal-doctest-prof
- libghc-cabal-doctest-prof-1.0.6-b7ba4
- virtueel pakket geboden door libghc-cabal-doctest-prof
- libghc-cabal-doctest-prof-1.0.6-e8a5e
- virtueel pakket geboden door libghc-cabal-doctest-prof
- libghc-cabal-prof (= 2.2.0.1)
- virtueel pakket geboden door ghc-prof
- libghc-cabal-prof-2.2.0.1-37424
- virtueel pakket geboden door ghc-prof
- libghc-cabal-prof-2.2.0.1-b59e4
- virtueel pakket geboden door ghc-prof
- libghc-cabal-prof-2.2.0.1-f069d
- virtueel pakket geboden door ghc-prof
- libghc-cabal-prof-2.2.0.1-f0d57
- virtueel pakket geboden door ghc-prof
- libghc-cairo-dev (0.13.5.0-3+b2 [amd64, arm64, armhf], 0.13.5.0-3+b1 [i386])
- binding to the Cairo library
- libghc-cairo-dev-0.13.5.0-19ab9
- virtueel pakket geboden door libghc-cairo-dev
- libghc-cairo-dev-0.13.5.0-a3e00
- virtueel pakket geboden door libghc-cairo-dev
- libghc-cairo-dev-0.13.5.0-a58ef
- virtueel pakket geboden door libghc-cairo-dev
- libghc-cairo-dev-0.13.5.0-dc87c
- virtueel pakket geboden door libghc-cairo-dev
- libghc-cairo-doc (0.13.5.0-3)
- Binding to the Cairo library; documentation
- libghc-cairo-prof (0.13.5.0-3+b2 [amd64, arm64, armhf], 0.13.5.0-3+b1 [i386])
- Binding to the Cairo library; profiling libraries
- libghc-cairo-prof-0.13.5.0-19ab9
- virtueel pakket geboden door libghc-cairo-prof
- libghc-cairo-prof-0.13.5.0-a3e00
- virtueel pakket geboden door libghc-cairo-prof
- libghc-cairo-prof-0.13.5.0-a58ef
- virtueel pakket geboden door libghc-cairo-prof
- libghc-cairo-prof-0.13.5.0-dc87c
- virtueel pakket geboden door libghc-cairo-prof
- libghc-call-stack-dev (0.1.0-5+b2)
- use GHC call-stacks in a backward compatible way
- libghc-call-stack-dev-0.1.0-63d2e
- virtueel pakket geboden door libghc-call-stack-dev
- libghc-call-stack-dev-0.1.0-ce39e
- virtueel pakket geboden door libghc-call-stack-dev
- libghc-call-stack-doc (0.1.0-5)
- use GHC call-stacks in a backward compatible way; documentation
- libghc-call-stack-prof (0.1.0-5+b2)
- use GHC call-stacks in a backward compatible way; profiling libraries
- libghc-call-stack-prof-0.1.0-63d2e
- virtueel pakket geboden door libghc-call-stack-prof
- libghc-call-stack-prof-0.1.0-ce39e
- virtueel pakket geboden door libghc-call-stack-prof
- libghc-case-insensitive-dev (1.2.0.11-3+b2)
- case-insensitive string comparison
- libghc-case-insensitive-dev-1.2.0.11-48f62
- virtueel pakket geboden door libghc-case-insensitive-dev
- libghc-case-insensitive-dev-1.2.0.11-6c883
- virtueel pakket geboden door libghc-case-insensitive-dev
- libghc-case-insensitive-dev-1.2.0.11-b2356
- virtueel pakket geboden door libghc-case-insensitive-dev
- libghc-case-insensitive-dev-1.2.0.11-d5bd7
- virtueel pakket geboden door libghc-case-insensitive-dev
- libghc-case-insensitive-doc (1.2.0.11-3)
- case-insensitive string comparison; documentation
- libghc-case-insensitive-prof (1.2.0.11-3+b2)
- case-insensitive string comparison; profiling libraries
- libghc-case-insensitive-prof-1.2.0.11-48f62
- virtueel pakket geboden door libghc-case-insensitive-prof
- libghc-case-insensitive-prof-1.2.0.11-6c883
- virtueel pakket geboden door libghc-case-insensitive-prof
- libghc-case-insensitive-prof-1.2.0.11-b2356
- virtueel pakket geboden door libghc-case-insensitive-prof
- libghc-case-insensitive-prof-1.2.0.11-d5bd7
- virtueel pakket geboden door libghc-case-insensitive-prof
- libghc-cassava-dev (0.5.1.0-5+b1)
- CSV parsing and encoding library
- libghc-cassava-dev-0.5.1.0-0315e
- virtueel pakket geboden door libghc-cassava-dev
- libghc-cassava-dev-0.5.1.0-10f1c
- virtueel pakket geboden door libghc-cassava-dev
- libghc-cassava-dev-0.5.1.0-17e69
- virtueel pakket geboden door libghc-cassava-dev
- libghc-cassava-dev-0.5.1.0-9cf11
- virtueel pakket geboden door libghc-cassava-dev
- libghc-cassava-doc (0.5.1.0-5)
- CSV parsing and encoding library; documentation
- libghc-cassava-prof (0.5.1.0-5+b1)
- CSV parsing and encoding library; profiling libraries
- libghc-cassava-prof-0.5.1.0-0315e
- virtueel pakket geboden door libghc-cassava-prof
- libghc-cassava-prof-0.5.1.0-10f1c
- virtueel pakket geboden door libghc-cassava-prof
- libghc-cassava-prof-0.5.1.0-17e69
- virtueel pakket geboden door libghc-cassava-prof
- libghc-cassava-prof-0.5.1.0-9cf11
- virtueel pakket geboden door libghc-cassava-prof
- libghc-categories-dev (1.0.7-8+b2)
- categories from category-extras
- libghc-categories-dev-1.0.7-03007
- virtueel pakket geboden door libghc-categories-dev
- libghc-categories-dev-1.0.7-5c6e5
- virtueel pakket geboden door libghc-categories-dev
- libghc-categories-dev-1.0.7-b3583
- virtueel pakket geboden door libghc-categories-dev
- libghc-categories-doc (1.0.7-8)
- categories from category-extras; documentation
- libghc-categories-prof (1.0.7-8+b2)
- categories from category-extras; profiling libraries
- libghc-categories-prof-1.0.7-03007
- virtueel pakket geboden door libghc-categories-prof
- libghc-categories-prof-1.0.7-5c6e5
- virtueel pakket geboden door libghc-categories-prof
- libghc-categories-prof-1.0.7-b3583
- virtueel pakket geboden door libghc-categories-prof
- libghc-cereal-conduit-dev (0.8.0-3+b2 [amd64, arm64], 0.8.0-3+b1 [armhf, i386])
- turn Data.Serialize Gets and Puts into Sources and Sinks
- libghc-cereal-conduit-dev-0.8.0-848b6
- virtueel pakket geboden door libghc-cereal-conduit-dev
- libghc-cereal-conduit-dev-0.8.0-9317c
- virtueel pakket geboden door libghc-cereal-conduit-dev
- libghc-cereal-conduit-dev-0.8.0-bc933
- virtueel pakket geboden door libghc-cereal-conduit-dev
- libghc-cereal-conduit-dev-0.8.0-dd426
- virtueel pakket geboden door libghc-cereal-conduit-dev
- libghc-cereal-conduit-doc (0.8.0-3)
- turn Data.Serialize Gets and Puts into Sources and Sinks; documentation
- libghc-cereal-conduit-prof (0.8.0-3+b2 [amd64, arm64], 0.8.0-3+b1 [armhf, i386])
- turn Data.Serialize Gets and Puts into Sources and Sinks; profiling libraries
- libghc-cereal-conduit-prof-0.8.0-848b6
- virtueel pakket geboden door libghc-cereal-conduit-prof
- libghc-cereal-conduit-prof-0.8.0-9317c
- virtueel pakket geboden door libghc-cereal-conduit-prof
- libghc-cereal-conduit-prof-0.8.0-bc933
- virtueel pakket geboden door libghc-cereal-conduit-prof
- libghc-cereal-conduit-prof-0.8.0-dd426
- virtueel pakket geboden door libghc-cereal-conduit-prof
- libghc-cereal-dev (0.5.7.0-1+b2)
- binary serialization library
- libghc-cereal-dev-0.5.7.0-43700
- virtueel pakket geboden door libghc-cereal-dev
- libghc-cereal-dev-0.5.7.0-4da5c
- virtueel pakket geboden door libghc-cereal-dev
- libghc-cereal-dev-0.5.7.0-dab30
- virtueel pakket geboden door libghc-cereal-dev
- libghc-cereal-dev-0.5.7.0-de460
- virtueel pakket geboden door libghc-cereal-dev
- libghc-cereal-doc (0.5.7.0-1)
- binary serialization library; documentation
- libghc-cereal-prof (0.5.7.0-1+b2)
- binary serialization library; profiling libraries
- libghc-cereal-prof-0.5.7.0-43700
- virtueel pakket geboden door libghc-cereal-prof
- libghc-cereal-prof-0.5.7.0-4da5c
- virtueel pakket geboden door libghc-cereal-prof
- libghc-cereal-prof-0.5.7.0-dab30
- virtueel pakket geboden door libghc-cereal-prof
- libghc-cereal-prof-0.5.7.0-de460
- virtueel pakket geboden door libghc-cereal-prof
- libghc-cereal-vector-dev (0.2.0.1-3+b3 [amd64, arm64], 0.2.0.1-3+b2 [armhf], 0.2.0.1-3+b1 [i386])
- Serialize instances for Data.Vector types
- libghc-cereal-vector-dev-0.2.0.1-201fc
- virtueel pakket geboden door libghc-cereal-vector-dev
- libghc-cereal-vector-dev-0.2.0.1-37b4b
- virtueel pakket geboden door libghc-cereal-vector-dev
- libghc-cereal-vector-dev-0.2.0.1-8309d
- virtueel pakket geboden door libghc-cereal-vector-dev
- libghc-cereal-vector-dev-0.2.0.1-91a66
- virtueel pakket geboden door libghc-cereal-vector-dev
- libghc-cereal-vector-doc (0.2.0.1-3)
- Serialize instances for Data.Vector types; documentation
- libghc-cereal-vector-prof (0.2.0.1-3+b3 [amd64, arm64], 0.2.0.1-3+b2 [armhf], 0.2.0.1-3+b1 [i386])
- Serialize instances for Data.Vector types; profiling libraries
- libghc-cereal-vector-prof-0.2.0.1-201fc
- virtueel pakket geboden door libghc-cereal-vector-prof
- libghc-cereal-vector-prof-0.2.0.1-37b4b
- virtueel pakket geboden door libghc-cereal-vector-prof
- libghc-cereal-vector-prof-0.2.0.1-8309d
- virtueel pakket geboden door libghc-cereal-vector-prof
- libghc-cereal-vector-prof-0.2.0.1-91a66
- virtueel pakket geboden door libghc-cereal-vector-prof
- libghc-cgi-dev (3001.3.0.2-7+b1)
- Haskell CGI library for GHC
- libghc-cgi-dev-3001.3.0.2-1370a
- virtueel pakket geboden door libghc-cgi-dev
- libghc-cgi-dev-3001.3.0.2-3ece4
- virtueel pakket geboden door libghc-cgi-dev
- libghc-cgi-dev-3001.3.0.2-63b17
- virtueel pakket geboden door libghc-cgi-dev
- libghc-cgi-dev-3001.3.0.2-ba2ae
- virtueel pakket geboden door libghc-cgi-dev
- libghc-cgi-doc (3001.3.0.2-7)
- Haskell CGI library for GHC; documentation
- libghc-cgi-prof (3001.3.0.2-7+b1)
- Haskell CGI library for GHC; profiling libraries
- libghc-cgi-prof-3001.3.0.2-1370a
- virtueel pakket geboden door libghc-cgi-prof
- libghc-cgi-prof-3001.3.0.2-3ece4
- virtueel pakket geboden door libghc-cgi-prof
- libghc-cgi-prof-3001.3.0.2-63b17
- virtueel pakket geboden door libghc-cgi-prof
- libghc-cgi-prof-3001.3.0.2-ba2ae
- virtueel pakket geboden door libghc-cgi-prof
- libghc-charset-dev (0.3.7.1-8+b2)
- fast Unicode character sets
- libghc-charset-dev-0.3.7.1-5cb66
- virtueel pakket geboden door libghc-charset-dev
- libghc-charset-dev-0.3.7.1-7762b
- virtueel pakket geboden door libghc-charset-dev
- libghc-charset-dev-0.3.7.1-77bb1
- virtueel pakket geboden door libghc-charset-dev
- libghc-charset-dev-0.3.7.1-7ce30
- virtueel pakket geboden door libghc-charset-dev
- libghc-charset-doc (0.3.7.1-8)
- fast Unicode character sets; documentation
- libghc-charset-prof (0.3.7.1-8+b2)
- fast Unicode character sets; profiling libraries
- libghc-charset-prof-0.3.7.1-5cb66
- virtueel pakket geboden door libghc-charset-prof
- libghc-charset-prof-0.3.7.1-7762b
- virtueel pakket geboden door libghc-charset-prof
- libghc-charset-prof-0.3.7.1-77bb1
- virtueel pakket geboden door libghc-charset-prof
- libghc-charset-prof-0.3.7.1-7ce30
- virtueel pakket geboden door libghc-charset-prof
- libghc-charsetdetect-ae-dev (1.1.0.4-2+b2)
- charset detection using Mozilla's Universal Character Set Detector
- libghc-charsetdetect-ae-dev-1.1.0.4-776ad
- virtueel pakket geboden door libghc-charsetdetect-ae-dev
- libghc-charsetdetect-ae-dev-1.1.0.4-8d09e
- virtueel pakket geboden door libghc-charsetdetect-ae-dev
- libghc-charsetdetect-ae-dev-1.1.0.4-8dbfa
- virtueel pakket geboden door libghc-charsetdetect-ae-dev
- libghc-charsetdetect-ae-dev-1.1.0.4-d59f0
- virtueel pakket geboden door libghc-charsetdetect-ae-dev
- libghc-charsetdetect-ae-doc (1.1.0.4-2)
- charset detection using Mozilla's Universal Character Set Detector; documentation
- libghc-charsetdetect-ae-prof (1.1.0.4-2+b2)
- charset detection using Mozilla's Universal Character Set Detector; profiling libraries
- libghc-charsetdetect-ae-prof-1.1.0.4-776ad
- virtueel pakket geboden door libghc-charsetdetect-ae-prof
- libghc-charsetdetect-ae-prof-1.1.0.4-8d09e
- virtueel pakket geboden door libghc-charsetdetect-ae-prof
- libghc-charsetdetect-ae-prof-1.1.0.4-8dbfa
- virtueel pakket geboden door libghc-charsetdetect-ae-prof
- libghc-charsetdetect-ae-prof-1.1.0.4-d59f0
- virtueel pakket geboden door libghc-charsetdetect-ae-prof
- libghc-chart-cairo-dev (1.9-2+b1)
- Cairo backend for Charts
- libghc-chart-cairo-dev-1.9-22685
- virtueel pakket geboden door libghc-chart-cairo-dev
- libghc-chart-cairo-dev-1.9-2b887
- virtueel pakket geboden door libghc-chart-cairo-dev
- libghc-chart-cairo-dev-1.9-81a31
- virtueel pakket geboden door libghc-chart-cairo-dev
- libghc-chart-cairo-dev-1.9-9d905
- virtueel pakket geboden door libghc-chart-cairo-dev
- libghc-chart-cairo-doc (1.9-2)
- Cairo backend for Charts; documentation
- libghc-chart-cairo-prof (1.9-2+b1)
- Cairo backend for Charts; profiling libraries
- libghc-chart-cairo-prof-1.9-22685
- virtueel pakket geboden door libghc-chart-cairo-prof
- libghc-chart-cairo-prof-1.9-2b887
- virtueel pakket geboden door libghc-chart-cairo-prof
- libghc-chart-cairo-prof-1.9-81a31
- virtueel pakket geboden door libghc-chart-cairo-prof
- libghc-chart-cairo-prof-1.9-9d905
- virtueel pakket geboden door libghc-chart-cairo-prof
- libghc-chart-dev (1.9-2+b1)
- library for generating 2D Charts and Plots
- libghc-chart-dev-1.9-1ffce
- virtueel pakket geboden door libghc-chart-dev
- libghc-chart-dev-1.9-2c6eb
- virtueel pakket geboden door libghc-chart-dev
- libghc-chart-dev-1.9-2f9fd
- virtueel pakket geboden door libghc-chart-dev
- libghc-chart-dev-1.9-a0b11
- virtueel pakket geboden door libghc-chart-dev
- libghc-chart-doc (1.9-2)
- library for generating 2D Charts and Plots; documentation
- libghc-chart-prof (1.9-2+b1)
- library for generating 2D Charts and Plots; profiling libraries
- libghc-chart-prof-1.9-1ffce
- virtueel pakket geboden door libghc-chart-prof
- libghc-chart-prof-1.9-2c6eb
- virtueel pakket geboden door libghc-chart-prof
- libghc-chart-prof-1.9-2f9fd
- virtueel pakket geboden door libghc-chart-prof
- libghc-chart-prof-1.9-a0b11
- virtueel pakket geboden door libghc-chart-prof
- libghc-chasingbottoms-dev (1.3.1.4-3+b2)
- Library for testing partial and infinite values
- libghc-chasingbottoms-dev-1.3.1.4-68435
- virtueel pakket geboden door libghc-chasingbottoms-dev
- libghc-chasingbottoms-dev-1.3.1.4-6ac68
- virtueel pakket geboden door libghc-chasingbottoms-dev
- libghc-chasingbottoms-dev-1.3.1.4-998a9
- virtueel pakket geboden door libghc-chasingbottoms-dev
- libghc-chasingbottoms-dev-1.3.1.4-dc4f8
- virtueel pakket geboden door libghc-chasingbottoms-dev
- libghc-chasingbottoms-doc (1.3.1.4-3)
- Library for testing partial and infinite values; documentation
- libghc-chasingbottoms-prof (1.3.1.4-3+b2)
- Library for testing partial and infinite values; profiling libraries
- libghc-chasingbottoms-prof-1.3.1.4-68435
- virtueel pakket geboden door libghc-chasingbottoms-prof
- libghc-chasingbottoms-prof-1.3.1.4-6ac68
- virtueel pakket geboden door libghc-chasingbottoms-prof
- libghc-chasingbottoms-prof-1.3.1.4-998a9
- virtueel pakket geboden door libghc-chasingbottoms-prof
- libghc-chasingbottoms-prof-1.3.1.4-dc4f8
- virtueel pakket geboden door libghc-chasingbottoms-prof
- libghc-chell-dev (0.4.0.2-5+b2)
- Haskell library for simple and intuitive automated testing
- libghc-chell-dev-0.4.0.2-426f6
- virtueel pakket geboden door libghc-chell-dev
- libghc-chell-dev-0.4.0.2-6a812
- virtueel pakket geboden door libghc-chell-dev
- libghc-chell-dev-0.4.0.2-ad223
- virtueel pakket geboden door libghc-chell-dev
- libghc-chell-dev-0.4.0.2-f44b8
- virtueel pakket geboden door libghc-chell-dev
- libghc-chell-doc (0.4.0.2-5)
- Haskell library for simple and intuitive automated testing; documentation
- libghc-chell-prof (0.4.0.2-5+b2)
- Haskell library for simple and intuitive automated testing; profiling libraries
- libghc-chell-prof-0.4.0.2-426f6
- virtueel pakket geboden door libghc-chell-prof
- libghc-chell-prof-0.4.0.2-6a812
- virtueel pakket geboden door libghc-chell-prof
- libghc-chell-prof-0.4.0.2-ad223
- virtueel pakket geboden door libghc-chell-prof
- libghc-chell-prof-0.4.0.2-f44b8
- virtueel pakket geboden door libghc-chell-prof
- libghc-chell-quickcheck-dev-0.2.5.1-7a120
- virtueel pakket geboden door libghc-chell-quickcheck2-dev
- libghc-chell-quickcheck-dev-0.2.5.1-c762c
- virtueel pakket geboden door libghc-chell-quickcheck2-dev
- libghc-chell-quickcheck-dev-0.2.5.1-d06d2
- virtueel pakket geboden door libghc-chell-quickcheck2-dev
- libghc-chell-quickcheck-dev-0.2.5.1-ef4fc
- virtueel pakket geboden door libghc-chell-quickcheck2-dev
- libghc-chell-quickcheck-prof-0.2.5.1-7a120
- virtueel pakket geboden door libghc-chell-quickcheck2-prof
- libghc-chell-quickcheck-prof-0.2.5.1-c762c
- virtueel pakket geboden door libghc-chell-quickcheck2-prof
- libghc-chell-quickcheck-prof-0.2.5.1-d06d2
- virtueel pakket geboden door libghc-chell-quickcheck2-prof
- libghc-chell-quickcheck-prof-0.2.5.1-ef4fc
- virtueel pakket geboden door libghc-chell-quickcheck2-prof
- libghc-chell-quickcheck2-dev (0.2.5.1-3+b1)
- QuickCheck2 support for the Chell testing library
- libghc-chell-quickcheck2-doc (0.2.5.1-3)
- QuickCheck2 support for the Chell testing library; documentation
- libghc-chell-quickcheck2-prof (0.2.5.1-3+b1)
- QuickCheck2 support for the Chell testing library; profiling libraries
- libghc-chunked-data-dev (0.3.1-3+b3 [amd64, arm64], 0.3.1-3+b2 [armhf], 0.3.1-3+b1 [i386])
- typeclasses for dealing with various chunked data representations
- libghc-chunked-data-dev-0.3.1-156fa
- virtueel pakket geboden door libghc-chunked-data-dev
- libghc-chunked-data-dev-0.3.1-19ad5
- virtueel pakket geboden door libghc-chunked-data-dev
- libghc-chunked-data-dev-0.3.1-5e587
- virtueel pakket geboden door libghc-chunked-data-dev
- libghc-chunked-data-dev-0.3.1-d7ffb
- virtueel pakket geboden door libghc-chunked-data-dev
- libghc-chunked-data-doc (0.3.1-3)
- typeclasses for dealing with various chunked data representations; documentation
- libghc-chunked-data-prof (0.3.1-3+b3 [amd64, arm64], 0.3.1-3+b2 [armhf], 0.3.1-3+b1 [i386])
- typeclasses for dealing with various chunked data representations; profiling libraries
- libghc-chunked-data-prof-0.3.1-156fa
- virtueel pakket geboden door libghc-chunked-data-prof
- libghc-chunked-data-prof-0.3.1-19ad5
- virtueel pakket geboden door libghc-chunked-data-prof
- libghc-chunked-data-prof-0.3.1-5e587
- virtueel pakket geboden door libghc-chunked-data-prof
- libghc-chunked-data-prof-0.3.1-d7ffb
- virtueel pakket geboden door libghc-chunked-data-prof
- libghc-cipher-aes-dev (0.2.11-8+b2)
- Fast AES cipher implementation
- libghc-cipher-aes-dev-0.2.11-20734
- virtueel pakket geboden door libghc-cipher-aes-dev
- libghc-cipher-aes-dev-0.2.11-46f68
- virtueel pakket geboden door libghc-cipher-aes-dev
- libghc-cipher-aes-dev-0.2.11-bcea3
- virtueel pakket geboden door libghc-cipher-aes-dev
- libghc-cipher-aes-dev-0.2.11-e1296
- virtueel pakket geboden door libghc-cipher-aes-dev
- libghc-cipher-aes-doc (0.2.11-8)
- Fast AES cipher implementation; documentation
- libghc-cipher-aes-prof (0.2.11-8+b2)
- Fast AES cipher implementation; profiling libraries
- libghc-cipher-aes-prof-0.2.11-20734
- virtueel pakket geboden door libghc-cipher-aes-prof
- libghc-cipher-aes-prof-0.2.11-46f68
- virtueel pakket geboden door libghc-cipher-aes-prof
- libghc-cipher-aes-prof-0.2.11-bcea3
- virtueel pakket geboden door libghc-cipher-aes-prof
- libghc-cipher-aes-prof-0.2.11-e1296
- virtueel pakket geboden door libghc-cipher-aes-prof
- libghc-cipher-aes128-dev (0.7.0.3-5+b2)
- AES and common modes
- libghc-cipher-aes128-dev-0.7.0.3-2de99
- virtueel pakket geboden door libghc-cipher-aes128-dev
- libghc-cipher-aes128-dev-0.7.0.3-7d9a4
- virtueel pakket geboden door libghc-cipher-aes128-dev
- libghc-cipher-aes128-dev-0.7.0.3-cdd00
- virtueel pakket geboden door libghc-cipher-aes128-dev
- libghc-cipher-aes128-dev-0.7.0.3-dcbb8
- virtueel pakket geboden door libghc-cipher-aes128-dev
- libghc-cipher-aes128-doc (0.7.0.3-5)
- AES and common modes; documentation
- libghc-cipher-aes128-prof (0.7.0.3-5+b2)
- AES and common modes; profiling libraries
- libghc-cipher-aes128-prof-0.7.0.3-2de99
- virtueel pakket geboden door libghc-cipher-aes128-prof
- libghc-cipher-aes128-prof-0.7.0.3-7d9a4
- virtueel pakket geboden door libghc-cipher-aes128-prof
- libghc-cipher-aes128-prof-0.7.0.3-cdd00
- virtueel pakket geboden door libghc-cipher-aes128-prof
- libghc-cipher-aes128-prof-0.7.0.3-dcbb8
- virtueel pakket geboden door libghc-cipher-aes128-prof
- libghc-cipher-blowfish-dev (0.0.3-9+b3 [amd64, arm64], 0.0.3-9+b2 [armhf], 0.0.3-9+b1 [i386])
- Blowfish cipher
- libghc-cipher-blowfish-dev-0.0.3-27500
- virtueel pakket geboden door libghc-cipher-blowfish-dev
- libghc-cipher-blowfish-dev-0.0.3-2d87f
- virtueel pakket geboden door libghc-cipher-blowfish-dev
- libghc-cipher-blowfish-dev-0.0.3-4c4f9
- virtueel pakket geboden door libghc-cipher-blowfish-dev
- libghc-cipher-blowfish-dev-0.0.3-e6d67
- virtueel pakket geboden door libghc-cipher-blowfish-dev
- libghc-cipher-blowfish-doc (0.0.3-9)
- Blowfish cipher; documentation
- libghc-cipher-blowfish-prof (0.0.3-9+b3 [amd64, arm64], 0.0.3-9+b2 [armhf], 0.0.3-9+b1 [i386])
- Blowfish cipher; profiling libraries
- libghc-cipher-blowfish-prof-0.0.3-27500
- virtueel pakket geboden door libghc-cipher-blowfish-prof
- libghc-cipher-blowfish-prof-0.0.3-2d87f
- virtueel pakket geboden door libghc-cipher-blowfish-prof
- libghc-cipher-blowfish-prof-0.0.3-4c4f9
- virtueel pakket geboden door libghc-cipher-blowfish-prof
- libghc-cipher-blowfish-prof-0.0.3-e6d67
- virtueel pakket geboden door libghc-cipher-blowfish-prof
- libghc-cipher-camellia-dev (0.0.2-9+b3 [amd64, arm64], 0.0.2-9+b2 [armhf], 0.0.2-9+b1 [i386])
- Camellia cipher
- libghc-cipher-camellia-dev-0.0.2-48473
- virtueel pakket geboden door libghc-cipher-camellia-dev
- libghc-cipher-camellia-dev-0.0.2-7c0a8
- virtueel pakket geboden door libghc-cipher-camellia-dev
- libghc-cipher-camellia-dev-0.0.2-b8327
- virtueel pakket geboden door libghc-cipher-camellia-dev
- libghc-cipher-camellia-dev-0.0.2-ff0aa
- virtueel pakket geboden door libghc-cipher-camellia-dev
- libghc-cipher-camellia-doc (0.0.2-9)
- Camellia cipher; documentation
- libghc-cipher-camellia-prof (0.0.2-9+b3 [amd64, arm64], 0.0.2-9+b2 [armhf], 0.0.2-9+b1 [i386])
- Camellia cipher; profiling libraries
- libghc-cipher-camellia-prof-0.0.2-48473
- virtueel pakket geboden door libghc-cipher-camellia-prof
- libghc-cipher-camellia-prof-0.0.2-7c0a8
- virtueel pakket geboden door libghc-cipher-camellia-prof
- libghc-cipher-camellia-prof-0.0.2-b8327
- virtueel pakket geboden door libghc-cipher-camellia-prof
- libghc-cipher-camellia-prof-0.0.2-ff0aa
- virtueel pakket geboden door libghc-cipher-camellia-prof
- libghc-cipher-des-dev (0.0.6-9+b2)
- DES and 3DES ciphers
- libghc-cipher-des-dev-0.0.6-07a39
- virtueel pakket geboden door libghc-cipher-des-dev
- libghc-cipher-des-dev-0.0.6-19a4f
- virtueel pakket geboden door libghc-cipher-des-dev
- libghc-cipher-des-dev-0.0.6-4716c
- virtueel pakket geboden door libghc-cipher-des-dev
- libghc-cipher-des-dev-0.0.6-fa938
- virtueel pakket geboden door libghc-cipher-des-dev
- libghc-cipher-des-doc (0.0.6-9)
- DES and 3DES ciphers; documentation
- libghc-cipher-des-prof (0.0.6-9+b2)
- DES and 3DES ciphers; profiling libraries
- libghc-cipher-des-prof-0.0.6-07a39
- virtueel pakket geboden door libghc-cipher-des-prof
- libghc-cipher-des-prof-0.0.6-19a4f
- virtueel pakket geboden door libghc-cipher-des-prof
- libghc-cipher-des-prof-0.0.6-4716c
- virtueel pakket geboden door libghc-cipher-des-prof
- libghc-cipher-des-prof-0.0.6-fa938
- virtueel pakket geboden door libghc-cipher-des-prof
- libghc-cipher-rc4-dev (0.1.4-9+b2)
- Fast RC4 cipher implementation
- libghc-cipher-rc4-dev-0.1.4-ab615
- virtueel pakket geboden door libghc-cipher-rc4-dev
- libghc-cipher-rc4-dev-0.1.4-bac2f
- virtueel pakket geboden door libghc-cipher-rc4-dev
- libghc-cipher-rc4-dev-0.1.4-ca853
- virtueel pakket geboden door libghc-cipher-rc4-dev
- libghc-cipher-rc4-dev-0.1.4-ef2a1
- virtueel pakket geboden door libghc-cipher-rc4-dev
- libghc-cipher-rc4-doc (0.1.4-9)
- Fast RC4 cipher implementation; documentation
- libghc-cipher-rc4-prof (0.1.4-9+b2)
- Fast RC4 cipher implementation; profiling libraries
- libghc-cipher-rc4-prof-0.1.4-ab615
- virtueel pakket geboden door libghc-cipher-rc4-prof
- libghc-cipher-rc4-prof-0.1.4-bac2f
- virtueel pakket geboden door libghc-cipher-rc4-prof
- libghc-cipher-rc4-prof-0.1.4-ca853
- virtueel pakket geboden door libghc-cipher-rc4-prof
- libghc-cipher-rc4-prof-0.1.4-ef2a1
- virtueel pakket geboden door libghc-cipher-rc4-prof
- libghc-classy-prelude-conduit-dev (1.4.0-2+b1)
- conduit instances for classy-prelude
- libghc-classy-prelude-conduit-dev-1.4.0-675b2
- virtueel pakket geboden door libghc-classy-prelude-conduit-dev
- libghc-classy-prelude-conduit-dev-1.4.0-a56ed
- virtueel pakket geboden door libghc-classy-prelude-conduit-dev
- libghc-classy-prelude-conduit-dev-1.4.0-b4eed
- virtueel pakket geboden door libghc-classy-prelude-conduit-dev
- libghc-classy-prelude-conduit-dev-1.4.0-c4c88
- virtueel pakket geboden door libghc-classy-prelude-conduit-dev
- libghc-classy-prelude-conduit-doc (1.4.0-2)
- conduit instances for classy-prelude; documentation
- libghc-classy-prelude-conduit-prof (1.4.0-2+b1)
- conduit instances for classy-prelude; profiling libraries
- libghc-classy-prelude-conduit-prof-1.4.0-675b2
- virtueel pakket geboden door libghc-classy-prelude-conduit-prof
- libghc-classy-prelude-conduit-prof-1.4.0-a56ed
- virtueel pakket geboden door libghc-classy-prelude-conduit-prof
- libghc-classy-prelude-conduit-prof-1.4.0-b4eed
- virtueel pakket geboden door libghc-classy-prelude-conduit-prof
- libghc-classy-prelude-conduit-prof-1.4.0-c4c88
- virtueel pakket geboden door libghc-classy-prelude-conduit-prof
- libghc-classy-prelude-dev (1.4.0-4+b1)
- typeclass-based prelude
- libghc-classy-prelude-dev-1.4.0-22c4b
- virtueel pakket geboden door libghc-classy-prelude-dev
- libghc-classy-prelude-dev-1.4.0-4432a
- virtueel pakket geboden door libghc-classy-prelude-dev
- libghc-classy-prelude-dev-1.4.0-7f272
- virtueel pakket geboden door libghc-classy-prelude-dev
- libghc-classy-prelude-dev-1.4.0-d623f
- virtueel pakket geboden door libghc-classy-prelude-dev
- libghc-classy-prelude-doc (1.4.0-4)
- typeclass-based prelude; documentation
- libghc-classy-prelude-prof (1.4.0-4+b1)
- typeclass-based prelude; profiling libraries
- libghc-classy-prelude-prof-1.4.0-22c4b
- virtueel pakket geboden door libghc-classy-prelude-prof
- libghc-classy-prelude-prof-1.4.0-4432a
- virtueel pakket geboden door libghc-classy-prelude-prof
- libghc-classy-prelude-prof-1.4.0-7f272
- virtueel pakket geboden door libghc-classy-prelude-prof
- libghc-classy-prelude-prof-1.4.0-d623f
- virtueel pakket geboden door libghc-classy-prelude-prof
- libghc-clientsession-dev (0.9.1.2-5+b3 [amd64, arm64], 0.9.1.2-5+b2 [armhf], 0.9.1.2-5+b1 [i386])
- library for web session data in cookies
- libghc-clientsession-dev-0.9.1.2-14d67
- virtueel pakket geboden door libghc-clientsession-dev
- libghc-clientsession-dev-0.9.1.2-18d14
- virtueel pakket geboden door libghc-clientsession-dev
- libghc-clientsession-dev-0.9.1.2-739fe
- virtueel pakket geboden door libghc-clientsession-dev
- libghc-clientsession-dev-0.9.1.2-d47b9
- virtueel pakket geboden door libghc-clientsession-dev
- libghc-clientsession-doc (0.9.1.2-5)
- library for web session data in cookies; documentation
- libghc-clientsession-prof (0.9.1.2-5+b3 [amd64, arm64], 0.9.1.2-5+b2 [armhf], 0.9.1.2-5+b1 [i386])
- library for web session data in cookies; profiling libraries
- libghc-clientsession-prof-0.9.1.2-14d67
- virtueel pakket geboden door libghc-clientsession-prof
- libghc-clientsession-prof-0.9.1.2-18d14
- virtueel pakket geboden door libghc-clientsession-prof
- libghc-clientsession-prof-0.9.1.2-739fe
- virtueel pakket geboden door libghc-clientsession-prof
- libghc-clientsession-prof-0.9.1.2-d47b9
- virtueel pakket geboden door libghc-clientsession-prof
- libghc-clock-dev (0.7.2-5+b2)
- High-resolution clock and timer
- libghc-clock-dev-0.7.2-6d0b5
- virtueel pakket geboden door libghc-clock-dev
- libghc-clock-dev-0.7.2-bc4b7
- virtueel pakket geboden door libghc-clock-dev
- libghc-clock-dev-0.7.2-d2a95
- virtueel pakket geboden door libghc-clock-dev
- libghc-clock-doc (0.7.2-5)
- High-resolution clock and timer; documentation
- libghc-clock-prof (0.7.2-5+b2)
- High-resolution clock and timer; profiling libraries
- libghc-clock-prof-0.7.2-6d0b5
- virtueel pakket geboden door libghc-clock-prof
- libghc-clock-prof-0.7.2-bc4b7
- virtueel pakket geboden door libghc-clock-prof
- libghc-clock-prof-0.7.2-d2a95
- virtueel pakket geboden door libghc-clock-prof
- libghc-cmark-dev (0.5.6-4+b2)
- fast, accurate CommonMark (Markdown) parser and renderer
- libghc-cmark-dev-0.5.6-4d0e9
- virtueel pakket geboden door libghc-cmark-dev
- libghc-cmark-dev-0.5.6-5aa49
- virtueel pakket geboden door libghc-cmark-dev
- libghc-cmark-dev-0.5.6-ccdac
- virtueel pakket geboden door libghc-cmark-dev
- libghc-cmark-dev-0.5.6-ed5bd
- virtueel pakket geboden door libghc-cmark-dev
- libghc-cmark-doc (0.5.6-4)
- fast, accurate CommonMark (Markdown) parser and renderer; documentation
- libghc-cmark-gfm-dev (0.1.5-2+b2)
- fast, accurate GitHub Flavored Markdown parser and renderer
- libghc-cmark-gfm-dev-0.1.5-0cce0
- virtueel pakket geboden door libghc-cmark-gfm-dev
- libghc-cmark-gfm-dev-0.1.5-86823
- virtueel pakket geboden door libghc-cmark-gfm-dev
- libghc-cmark-gfm-dev-0.1.5-874e7
- virtueel pakket geboden door libghc-cmark-gfm-dev
- libghc-cmark-gfm-dev-0.1.5-9d975
- virtueel pakket geboden door libghc-cmark-gfm-dev
- libghc-cmark-gfm-doc (0.1.5-2)
- fast, accurate GitHub Flavored Markdown parser and renderer; documentation
- libghc-cmark-gfm-prof (0.1.5-2+b2)
- fast, accurate GitHub Flavored Markdown parser and renderer; profiling libraries
- libghc-cmark-gfm-prof-0.1.5-0cce0
- virtueel pakket geboden door libghc-cmark-gfm-prof
- libghc-cmark-gfm-prof-0.1.5-86823
- virtueel pakket geboden door libghc-cmark-gfm-prof
- libghc-cmark-gfm-prof-0.1.5-874e7
- virtueel pakket geboden door libghc-cmark-gfm-prof
- libghc-cmark-gfm-prof-0.1.5-9d975
- virtueel pakket geboden door libghc-cmark-gfm-prof
- libghc-cmark-prof (0.5.6-4+b2)
- fast, accurate CommonMark (Markdown) parser and renderer; profiling libraries
- libghc-cmark-prof-0.5.6-4d0e9
- virtueel pakket geboden door libghc-cmark-prof
- libghc-cmark-prof-0.5.6-5aa49
- virtueel pakket geboden door libghc-cmark-prof
- libghc-cmark-prof-0.5.6-ccdac
- virtueel pakket geboden door libghc-cmark-prof
- libghc-cmark-prof-0.5.6-ed5bd
- virtueel pakket geboden door libghc-cmark-prof
- libghc-cmdargs-dev (0.10.20-2+b2)
- command-line argument processing
- libghc-cmdargs-dev-0.10.20-5f2f0
- virtueel pakket geboden door libghc-cmdargs-dev
- libghc-cmdargs-dev-0.10.20-756fb
- virtueel pakket geboden door libghc-cmdargs-dev
- libghc-cmdargs-dev-0.10.20-99ebe
- virtueel pakket geboden door libghc-cmdargs-dev
- libghc-cmdargs-doc (0.10.20-2)
- command-line argument processing; documentation
- libghc-cmdargs-prof (0.10.20-2+b2)
- command-line argument processing; profiling libraries
- libghc-cmdargs-prof-0.10.20-5f2f0
- virtueel pakket geboden door libghc-cmdargs-prof
- libghc-cmdargs-prof-0.10.20-756fb
- virtueel pakket geboden door libghc-cmdargs-prof
- libghc-cmdargs-prof-0.10.20-99ebe
- virtueel pakket geboden door libghc-cmdargs-prof
- libghc-code-page-dev (0.1.3-3+b2)
- Windows code page library for Haskell
- libghc-code-page-dev-0.1.3-a4edc
- virtueel pakket geboden door libghc-code-page-dev
- libghc-code-page-dev-0.1.3-d296d
- virtueel pakket geboden door libghc-code-page-dev
- libghc-code-page-doc (0.1.3-3)
- Windows code page library for Haskell; documentation
- libghc-code-page-prof (0.1.3-3+b2)
- Windows code page library for Haskell; profiling libraries
- libghc-code-page-prof-0.1.3-a4edc
- virtueel pakket geboden door libghc-code-page-prof
- libghc-code-page-prof-0.1.3-d296d
- virtueel pakket geboden door libghc-code-page-prof
- libghc-colour-dev (2.3.4-3+b2)
- A model for human colour/color perception
- libghc-colour-dev-2.3.4-6502f
- virtueel pakket geboden door libghc-colour-dev
- libghc-colour-dev-2.3.4-f67ab
- virtueel pakket geboden door libghc-colour-dev
- libghc-colour-doc (2.3.4-3)
- A model for human colour/color perception; documentation
- libghc-colour-prof (2.3.4-3+b2)
- A model for human colour/color perception; profiling libraries
- libghc-colour-prof-2.3.4-6502f
- virtueel pakket geboden door libghc-colour-prof
- libghc-colour-prof-2.3.4-f67ab
- virtueel pakket geboden door libghc-colour-prof
- libghc-comonad-dev (5.0.4-1+b1)
- Haskell 98 comonads
- libghc-comonad-dev-5.0.4-14f1c
- virtueel pakket geboden door libghc-comonad-dev
- libghc-comonad-dev-5.0.4-5fb5d
- virtueel pakket geboden door libghc-comonad-dev
- libghc-comonad-dev-5.0.4-e0669
- virtueel pakket geboden door libghc-comonad-dev
- libghc-comonad-dev-5.0.4-f8103
- virtueel pakket geboden door libghc-comonad-dev
- libghc-comonad-doc (5.0.4-1)
- Haskell 98 comonads; documentation
- libghc-comonad-prof (5.0.4-1+b1)
- Haskell 98 comonads; profiling libraries
- libghc-comonad-prof-5.0.4-14f1c
- virtueel pakket geboden door libghc-comonad-prof
- libghc-comonad-prof-5.0.4-5fb5d
- virtueel pakket geboden door libghc-comonad-prof
- libghc-comonad-prof-5.0.4-e0669
- virtueel pakket geboden door libghc-comonad-prof
- libghc-comonad-prof-5.0.4-f8103
- virtueel pakket geboden door libghc-comonad-prof
- libghc-concurrent-output-dev (1.10.6-2+b2)
- ungarble output from several threads
- libghc-concurrent-output-dev-1.10.6-4a6cc
- virtueel pakket geboden door libghc-concurrent-output-dev
- libghc-concurrent-output-dev-1.10.6-4d8aa
- virtueel pakket geboden door libghc-concurrent-output-dev
- libghc-concurrent-output-dev-1.10.6-626c6
- virtueel pakket geboden door libghc-concurrent-output-dev
- libghc-concurrent-output-dev-1.10.6-ea49d
- virtueel pakket geboden door libghc-concurrent-output-dev
- libghc-concurrent-output-doc (1.10.6-2)
- ungarble output from several threads; documentation
- libghc-concurrent-output-prof (1.10.6-2+b2)
- ungarble output from several threads; profiling libraries
- libghc-concurrent-output-prof-1.10.6-4a6cc
- virtueel pakket geboden door libghc-concurrent-output-prof
- libghc-concurrent-output-prof-1.10.6-4d8aa
- virtueel pakket geboden door libghc-concurrent-output-prof
- libghc-concurrent-output-prof-1.10.6-626c6
- virtueel pakket geboden door libghc-concurrent-output-prof
- libghc-concurrent-output-prof-1.10.6-ea49d
- virtueel pakket geboden door libghc-concurrent-output-prof
- libghc-cond-dev (0.4.1.1-8+b2)
- conditional and boolean operators with monadic variants
- libghc-cond-dev-0.4.1.1-324a1
- virtueel pakket geboden door libghc-cond-dev
- libghc-cond-dev-0.4.1.1-5aa98
- virtueel pakket geboden door libghc-cond-dev
- libghc-cond-dev-0.4.1.1-b9032
- virtueel pakket geboden door libghc-cond-dev
- libghc-cond-doc (0.4.1.1-8)
- conditional and boolean operators with monadic variants (docs)
- libghc-cond-prof (0.4.1.1-8+b2)
- conditional and boolean operators with monadic variants (prof)
- libghc-cond-prof-0.4.1.1-324a1
- virtueel pakket geboden door libghc-cond-prof
- libghc-cond-prof-0.4.1.1-5aa98
- virtueel pakket geboden door libghc-cond-prof
- libghc-cond-prof-0.4.1.1-b9032
- virtueel pakket geboden door libghc-cond-prof
- libghc-conduit-dev (1.3.0.3-3+b2 [amd64, arm64], 1.3.0.3-3+b1 [armhf, i386])
- streaming data processing library
- libghc-conduit-dev-1.3.0.3-3ffd3
- virtueel pakket geboden door libghc-conduit-dev
- libghc-conduit-dev-1.3.0.3-6379d
- virtueel pakket geboden door libghc-conduit-dev
- libghc-conduit-dev-1.3.0.3-7f4e1
- virtueel pakket geboden door libghc-conduit-dev
- libghc-conduit-dev-1.3.0.3-868bf
- virtueel pakket geboden door libghc-conduit-dev
- libghc-conduit-doc (1.3.0.3-3)
- streaming data processing library; documentation
- (1.3.0-3+b1)
- batteries-included conduit: adapters for common libraries
- virtueel pakket geboden door libghc-conduit-extra-dev
- virtueel pakket geboden door libghc-conduit-extra-dev
- virtueel pakket geboden door libghc-conduit-extra-dev
- virtueel pakket geboden door libghc-conduit-extra-dev
- (1.3.0-3)
- batteries-included conduit: adapters for common libraries; documentation
- (1.3.0-3+b1)
- batteries-included conduit: adapters for common libraries; profiling libraries
- virtueel pakket geboden door libghc-conduit-extra-prof
- virtueel pakket geboden door libghc-conduit-extra-prof
- virtueel pakket geboden door libghc-conduit-extra-prof
- virtueel pakket geboden door libghc-conduit-extra-prof
- libghc-conduit-prof (1.3.0.3-3+b2 [amd64, arm64], 1.3.0.3-3+b1 [armhf, i386])
- streaming data processing library; profiling libraries
- libghc-conduit-prof-1.3.0.3-3ffd3
- virtueel pakket geboden door libghc-conduit-prof
- libghc-conduit-prof-1.3.0.3-6379d
- virtueel pakket geboden door libghc-conduit-prof
- libghc-conduit-prof-1.3.0.3-7f4e1
- virtueel pakket geboden door libghc-conduit-prof
- libghc-conduit-prof-1.3.0.3-868bf
- virtueel pakket geboden door libghc-conduit-prof
- libghc-config-ini-dev (0.2.2.0-3+b1)
- simple INI-based configuration files
- libghc-config-ini-dev-0.2.2.0-239f9
- virtueel pakket geboden door libghc-config-ini-dev
- libghc-config-ini-dev-0.2.2.0-ace08
- virtueel pakket geboden door libghc-config-ini-dev
- libghc-config-ini-dev-0.2.2.0-d037e
- virtueel pakket geboden door libghc-config-ini-dev
- libghc-config-ini-dev-0.2.2.0-e59df
- virtueel pakket geboden door libghc-config-ini-dev
- libghc-config-ini-doc (0.2.2.0-3)
- simple INI-based configuration files; documentation
- libghc-config-ini-prof (0.2.2.0-3+b1)
- simple INI-based configuration files; profiling libraries
- libghc-config-ini-prof-0.2.2.0-239f9
- virtueel pakket geboden door libghc-config-ini-prof
- libghc-config-ini-prof-0.2.2.0-ace08
- virtueel pakket geboden door libghc-config-ini-prof
- libghc-config-ini-prof-0.2.2.0-d037e
- virtueel pakket geboden door libghc-config-ini-prof
- libghc-config-ini-prof-0.2.2.0-e59df
- virtueel pakket geboden door libghc-config-ini-prof
- libghc-config-schema-dev (0.5.0.1-4+b1)
- schema definitions for the config-value package
- libghc-config-schema-dev-0.5.0.1-2120d
- virtueel pakket geboden door libghc-config-schema-dev
- libghc-config-schema-dev-0.5.0.1-78512
- virtueel pakket geboden door libghc-config-schema-dev
- libghc-config-schema-dev-0.5.0.1-8340c
- virtueel pakket geboden door libghc-config-schema-dev
- libghc-config-schema-dev-0.5.0.1-86056
- virtueel pakket geboden door libghc-config-schema-dev
- libghc-config-schema-doc (0.5.0.1-4)
- schema definitions for the config-value package; documentation
- libghc-config-schema-prof (0.5.0.1-4+b1)
- schema definitions for the config-value package; profiling libraries
- libghc-config-schema-prof-0.5.0.1-2120d
- virtueel pakket geboden door libghc-config-schema-prof
- libghc-config-schema-prof-0.5.0.1-78512
- virtueel pakket geboden door libghc-config-schema-prof
- libghc-config-schema-prof-0.5.0.1-8340c
- virtueel pakket geboden door libghc-config-schema-prof
- libghc-config-schema-prof-0.5.0.1-86056
- virtueel pakket geboden door libghc-config-schema-prof
- libghc-config-value-dev (0.6.3.1-3+b2)
- simple, layout-based value language similar to YAML/JSON
- libghc-config-value-dev-0.6.3.1-10bc0
- virtueel pakket geboden door libghc-config-value-dev
- libghc-config-value-dev-0.6.3.1-31718
- virtueel pakket geboden door libghc-config-value-dev
- libghc-config-value-dev-0.6.3.1-3adfd
- virtueel pakket geboden door libghc-config-value-dev
- libghc-config-value-dev-0.6.3.1-4ddd2
- virtueel pakket geboden door libghc-config-value-dev
- libghc-config-value-doc (0.6.3.1-3)
- simple, layout-based value language similar to YAML/JSON; documentation
- libghc-config-value-prof (0.6.3.1-3+b2)
- simple, layout-based value language similar to YAML/JSON; profiling libraries
- libghc-config-value-prof-0.6.3.1-10bc0
- virtueel pakket geboden door libghc-config-value-prof
- libghc-config-value-prof-0.6.3.1-31718
- virtueel pakket geboden door libghc-config-value-prof
- libghc-config-value-prof-0.6.3.1-3adfd
- virtueel pakket geboden door libghc-config-value-prof
- libghc-config-value-prof-0.6.3.1-4ddd2
- virtueel pakket geboden door libghc-config-value-prof
- libghc-configfile-dev (1.1.4-8+b2)
- Parser and writer for sectioned config files in Haskell
- libghc-configfile-dev-1.1.4-31240
- virtueel pakket geboden door libghc-configfile-dev
- libghc-configfile-dev-1.1.4-41552
- virtueel pakket geboden door libghc-configfile-dev
- libghc-configfile-dev-1.1.4-45765
- virtueel pakket geboden door libghc-configfile-dev
- libghc-configfile-dev-1.1.4-5f053
- virtueel pakket geboden door libghc-configfile-dev
- libghc-configfile-doc (1.1.4-8)
- Parser and writer for sectioned config files in Haskell; documentation
- libghc-configfile-prof (1.1.4-8+b2)
- Parser and writer for sectioned config files in Haskell; profiling libraries
- libghc-configfile-prof-1.1.4-31240
- virtueel pakket geboden door libghc-configfile-prof
- libghc-configfile-prof-1.1.4-41552
- virtueel pakket geboden door libghc-configfile-prof
- libghc-configfile-prof-1.1.4-45765
- virtueel pakket geboden door libghc-configfile-prof
- libghc-configfile-prof-1.1.4-5f053
- virtueel pakket geboden door libghc-configfile-prof
- libghc-configurator-dev (0.3.0.0-8+b1)
- dynamic config file reloading
- libghc-configurator-dev-0.3.0.0-34a8e
- virtueel pakket geboden door libghc-configurator-dev
- libghc-configurator-dev-0.3.0.0-d32fe
- virtueel pakket geboden door libghc-configurator-dev
- libghc-configurator-dev-0.3.0.0-d7ece
- virtueel pakket geboden door libghc-configurator-dev
- libghc-configurator-dev-0.3.0.0-e70cf
- virtueel pakket geboden door libghc-configurator-dev
- libghc-configurator-doc (0.3.0.0-8)
- dynamic config file reloading; documentation
- libghc-configurator-prof (0.3.0.0-8+b1)
- dynamic config file reloading; profiling libraries
- libghc-configurator-prof-0.3.0.0-34a8e
- virtueel pakket geboden door libghc-configurator-prof
- libghc-configurator-prof-0.3.0.0-d32fe
- virtueel pakket geboden door libghc-configurator-prof
- libghc-configurator-prof-0.3.0.0-d7ece
- virtueel pakket geboden door libghc-configurator-prof
- libghc-configurator-prof-0.3.0.0-e70cf
- virtueel pakket geboden door libghc-configurator-prof
- libghc-connection-dev (0.2.8-3+b1)
- simple and easy network connections API
- libghc-connection-dev-0.2.8-221af
- virtueel pakket geboden door libghc-connection-dev
- libghc-connection-dev-0.2.8-98d52
- virtueel pakket geboden door libghc-connection-dev
- libghc-connection-dev-0.2.8-9c84f
- virtueel pakket geboden door libghc-connection-dev
- libghc-connection-dev-0.2.8-ee217
- virtueel pakket geboden door libghc-connection-dev
- libghc-connection-doc (0.2.8-3)
- simple and easy network connections API; documentation
- libghc-connection-prof (0.2.8-3+b1)
- simple and easy network connections API; profiling libraries
- libghc-connection-prof-0.2.8-221af
- virtueel pakket geboden door libghc-connection-prof
- libghc-connection-prof-0.2.8-98d52
- virtueel pakket geboden door libghc-connection-prof
- libghc-connection-prof-0.2.8-9c84f
- virtueel pakket geboden door libghc-connection-prof
- libghc-connection-prof-0.2.8-ee217
- virtueel pakket geboden door libghc-connection-prof
- libghc-constraints-dev (0.10.1-2+b2)
- Constraint manipulation
- libghc-constraints-dev-0.10.1-244ae
- virtueel pakket geboden door libghc-constraints-dev
- libghc-constraints-dev-0.10.1-332c1
- virtueel pakket geboden door libghc-constraints-dev
- libghc-constraints-dev-0.10.1-6a4d0
- virtueel pakket geboden door libghc-constraints-dev
- libghc-constraints-dev-0.10.1-af7e7
- virtueel pakket geboden door libghc-constraints-dev
- libghc-constraints-doc (0.10.1-2)
- Constraint manipulation; documentation
- libghc-constraints-prof (0.10.1-2+b2)
- Constraint manipulation; profiling libraries
- libghc-constraints-prof-0.10.1-244ae
- virtueel pakket geboden door libghc-constraints-prof
- libghc-constraints-prof-0.10.1-332c1
- virtueel pakket geboden door libghc-constraints-prof
- libghc-constraints-prof-0.10.1-6a4d0
- virtueel pakket geboden door libghc-constraints-prof
- libghc-constraints-prof-0.10.1-af7e7
- virtueel pakket geboden door libghc-constraints-prof
- libghc-containers-dev (= 0.5.11.0)
- virtueel pakket geboden door ghc
- libghc-containers-dev-0.5.11.0-1b029
- virtueel pakket geboden door ghc
- libghc-containers-dev-0.5.11.0-35743
- virtueel pakket geboden door ghc
- libghc-containers-dev-0.5.11.0-76ed0
- virtueel pakket geboden door ghc
- libghc-containers-dev-0.5.11.0-e0faa
- virtueel pakket geboden door ghc
- libghc-containers-doc (= 0.5.11.0)
- virtueel pakket geboden door ghc-doc
- libghc-containers-prof (= 0.5.11.0)
- virtueel pakket geboden door ghc-prof
- libghc-containers-prof-0.5.11.0-1b029
- virtueel pakket geboden door ghc-prof
- libghc-containers-prof-0.5.11.0-35743
- virtueel pakket geboden door ghc-prof
- libghc-containers-prof-0.5.11.0-76ed0
- virtueel pakket geboden door ghc-prof
- libghc-containers-prof-0.5.11.0-e0faa
- virtueel pakket geboden door ghc-prof
- libghc-contravariant-dev (1.4.1-2+b2)
- Contravariant functors
- libghc-contravariant-dev-1.4.1-2b9b0
- virtueel pakket geboden door libghc-contravariant-dev
- libghc-contravariant-dev-1.4.1-5fd1e
- virtueel pakket geboden door libghc-contravariant-dev
- libghc-contravariant-dev-1.4.1-de006
- virtueel pakket geboden door libghc-contravariant-dev
- libghc-contravariant-doc (1.4.1-2)
- Contravariant functors; documentation
- libghc-contravariant-prof (1.4.1-2+b2)
- Contravariant functors; profiling libraries
- libghc-contravariant-prof-1.4.1-2b9b0
- virtueel pakket geboden door libghc-contravariant-prof
- libghc-contravariant-prof-1.4.1-5fd1e
- virtueel pakket geboden door libghc-contravariant-prof
- libghc-contravariant-prof-1.4.1-de006
- virtueel pakket geboden door libghc-contravariant-prof
- libghc-control-monad-free-dev (0.6.2-2+b1)
- free monads
- libghc-control-monad-free-dev-0.6.2-3ec23
- virtueel pakket geboden door libghc-control-monad-free-dev
- libghc-control-monad-free-dev-0.6.2-5f303
- virtueel pakket geboden door libghc-control-monad-free-dev
- libghc-control-monad-free-dev-0.6.2-6f834
- virtueel pakket geboden door libghc-control-monad-free-dev
- libghc-control-monad-free-doc (0.6.2-2)
- free monads; documentation
- libghc-control-monad-free-prof (0.6.2-2+b1)
- free monads; profiling libraries
- libghc-control-monad-free-prof-0.6.2-3ec23
- virtueel pakket geboden door libghc-control-monad-free-prof
- libghc-control-monad-free-prof-0.6.2-5f303
- virtueel pakket geboden door libghc-control-monad-free-prof
- libghc-control-monad-free-prof-0.6.2-6f834
- virtueel pakket geboden door libghc-control-monad-free-prof
- libghc-control-monad-loop-dev (0.1-10+b2)
- simple monad transformer for imperative-style loops
- libghc-control-monad-loop-dev-0.1-16277
- virtueel pakket geboden door libghc-control-monad-loop-dev
- libghc-control-monad-loop-dev-0.1-a7615
- virtueel pakket geboden door libghc-control-monad-loop-dev
- libghc-control-monad-loop-dev-0.1-ab96f
- virtueel pakket geboden door libghc-control-monad-loop-dev
- libghc-control-monad-loop-doc (0.1-10)
- simple monad transformer for imperative-style loops; documentation
- libghc-control-monad-loop-prof (0.1-10+b2)
- simple monad transformer for imperative-style loops; profiling libraries
- libghc-control-monad-loop-prof-0.1-16277
- virtueel pakket geboden door libghc-control-monad-loop-prof
- libghc-control-monad-loop-prof-0.1-a7615
- virtueel pakket geboden door libghc-control-monad-loop-prof
- libghc-control-monad-loop-prof-0.1-ab96f
- virtueel pakket geboden door libghc-control-monad-loop-prof
- libghc-convertible-dev (1.1.1.0-8+b2)
- Typeclasses and instances for converting between types, GHC package
- libghc-convertible-dev-1.1.1.0-2309a
- virtueel pakket geboden door libghc-convertible-dev
- libghc-convertible-dev-1.1.1.0-6c021
- virtueel pakket geboden door libghc-convertible-dev
- libghc-convertible-dev-1.1.1.0-89842
- virtueel pakket geboden door libghc-convertible-dev
- libghc-convertible-dev-1.1.1.0-e9fd4
- virtueel pakket geboden door libghc-convertible-dev
- libghc-convertible-doc (1.1.1.0-8)
- Typeclasses and instances for converting between types, documentation
- libghc-convertible-prof (1.1.1.0-8+b2)
- Typeclasses and instances for converting between types, GHC profiling package
- libghc-convertible-prof-1.1.1.0-2309a
- virtueel pakket geboden door libghc-convertible-prof
- libghc-convertible-prof-1.1.1.0-6c021
- virtueel pakket geboden door libghc-convertible-prof
- libghc-convertible-prof-1.1.1.0-89842
- virtueel pakket geboden door libghc-convertible-prof
- libghc-convertible-prof-1.1.1.0-e9fd4
- virtueel pakket geboden door libghc-convertible-prof
- libghc-cookie-dev (0.4.4-3+b2)
- HTTP cookie-parsing and rendering library
- libghc-cookie-dev-0.4.4-00d20
- virtueel pakket geboden door libghc-cookie-dev
- libghc-cookie-dev-0.4.4-0911f
- virtueel pakket geboden door libghc-cookie-dev
- libghc-cookie-dev-0.4.4-2f940
- virtueel pakket geboden door libghc-cookie-dev
- libghc-cookie-dev-0.4.4-3f112
- virtueel pakket geboden door libghc-cookie-dev
- libghc-cookie-doc (0.4.4-3)
- HTTP cookie-parsing and rendering library; documentation
- libghc-cookie-prof (0.4.4-3+b2)
- HTTP cookie-parsing and rendering library; profiling libraries
- libghc-cookie-prof-0.4.4-00d20
- virtueel pakket geboden door libghc-cookie-prof
- libghc-cookie-prof-0.4.4-0911f
- virtueel pakket geboden door libghc-cookie-prof
- libghc-cookie-prof-0.4.4-2f940
- virtueel pakket geboden door libghc-cookie-prof
- libghc-cookie-prof-0.4.4-3f112
- virtueel pakket geboden door libghc-cookie-prof
- libghc-cpphs-dev (1.20.8-3+b2)
- cpphs-library for haskell
- libghc-cpphs-dev-1.20.8-2e037
- virtueel pakket geboden door libghc-cpphs-dev
- libghc-cpphs-dev-1.20.8-71c42
- virtueel pakket geboden door libghc-cpphs-dev
- libghc-cpphs-dev-1.20.8-74d11
- virtueel pakket geboden door libghc-cpphs-dev
- libghc-cpphs-dev-1.20.8-ca167
- virtueel pakket geboden door libghc-cpphs-dev
- libghc-cpphs-doc (1.20.8-3)
- cpphs-library for haskell; documentation
- libghc-cpphs-prof (1.20.8-3+b2)
- cpphs-library for haskell; profiling libraries
- libghc-cpphs-prof-1.20.8-2e037
- virtueel pakket geboden door libghc-cpphs-prof
- libghc-cpphs-prof-1.20.8-71c42
- virtueel pakket geboden door libghc-cpphs-prof
- libghc-cpphs-prof-1.20.8-74d11
- virtueel pakket geboden door libghc-cpphs-prof
- libghc-cpphs-prof-1.20.8-ca167
- virtueel pakket geboden door libghc-cpphs-prof
- libghc-cprng-aes-dev (0.6.1-7+b3 [amd64, arm64], 0.6.1-7+b2 [armhf], 0.6.1-7+b1 [i386])
- pseudo-random number generator using AES in counter mode
- libghc-cprng-aes-dev-0.6.1-0b3cf
- virtueel pakket geboden door libghc-cprng-aes-dev
- libghc-cprng-aes-dev-0.6.1-8a047
- virtueel pakket geboden door libghc-cprng-aes-dev
- libghc-cprng-aes-dev-0.6.1-c9042
- virtueel pakket geboden door libghc-cprng-aes-dev
- libghc-cprng-aes-dev-0.6.1-e2475
- virtueel pakket geboden door libghc-cprng-aes-dev
- libghc-cprng-aes-doc (0.6.1-7)
- pseudo-random number generator using AES in counter mode; documentation
- libghc-cprng-aes-prof (0.6.1-7+b3 [amd64, arm64], 0.6.1-7+b2 [armhf], 0.6.1-7+b1 [i386])
- pseudo-random number generator using AES in counter mode; profiling libraries
- libghc-cprng-aes-prof-0.6.1-0b3cf
- virtueel pakket geboden door libghc-cprng-aes-prof
- libghc-cprng-aes-prof-0.6.1-8a047
- virtueel pakket geboden door libghc-cprng-aes-prof
- libghc-cprng-aes-prof-0.6.1-c9042
- virtueel pakket geboden door libghc-cprng-aes-prof
- libghc-cprng-aes-prof-0.6.1-e2475
- virtueel pakket geboden door libghc-cprng-aes-prof
- libghc-cpu-dev (0.1.2-9+b2)
- low-level routines to get basic properties of the platform
- libghc-cpu-dev-0.1.2-455fe
- virtueel pakket geboden door libghc-cpu-dev
- libghc-cpu-dev-0.1.2-62457
- virtueel pakket geboden door libghc-cpu-dev
- libghc-cpu-dev-0.1.2-8ff8f
- virtueel pakket geboden door libghc-cpu-dev
- libghc-cpu-dev-0.1.2-b1f5b
- virtueel pakket geboden door libghc-cpu-dev
- libghc-cpu-doc (0.1.2-9)
- low-level routines to get basic properties of the platform; documentation
- libghc-cpu-prof (0.1.2-9+b2)
- low-level routines to get basic properties of the platform; profiling libraries
- libghc-cpu-prof-0.1.2-455fe
- virtueel pakket geboden door libghc-cpu-prof
- libghc-cpu-prof-0.1.2-62457
- virtueel pakket geboden door libghc-cpu-prof
- libghc-cpu-prof-0.1.2-8ff8f
- virtueel pakket geboden door libghc-cpu-prof
- libghc-cpu-prof-0.1.2-b1f5b
- virtueel pakket geboden door libghc-cpu-prof
- libghc-cracknum-dev (2.2-1+b1)
- crack various integer, floating-point data formats
- libghc-cracknum-dev-2.2-500ae
- virtueel pakket geboden door libghc-cracknum-dev
- libghc-cracknum-dev-2.2-ea2a3
- virtueel pakket geboden door libghc-cracknum-dev
- libghc-cracknum-doc (2.2-1)
- crack various integer, floating-point data formats; documentation
- libghc-cracknum-prof (2.2-1+b1)
- crack various integer, floating-point data formats; profiling libraries
- libghc-cracknum-prof-2.2-500ae
- virtueel pakket geboden door libghc-cracknum-prof
- libghc-cracknum-prof-2.2-ea2a3
- virtueel pakket geboden door libghc-cracknum-prof
- libghc-criterion-dev (1.4.1.0-3+b1)
- Robust, reliable performance measurement and analysis
- libghc-criterion-dev-1.4.1.0-1dd43
- virtueel pakket geboden door libghc-criterion-dev
- libghc-criterion-dev-1.4.1.0-24a7c
- virtueel pakket geboden door libghc-criterion-dev
- libghc-criterion-dev-1.4.1.0-5e77d
- virtueel pakket geboden door libghc-criterion-dev
- libghc-criterion-dev-1.4.1.0-e7a51
- virtueel pakket geboden door libghc-criterion-dev
- libghc-criterion-doc (1.4.1.0-3)
- Robust, reliable performance measurement and analysis; documentation
- libghc-criterion-prof (1.4.1.0-3+b1)
- Robust, reliable performance measurement and analysis; profiling libraries
- libghc-criterion-prof-1.4.1.0-1dd43
- virtueel pakket geboden door libghc-criterion-prof
- libghc-criterion-prof-1.4.1.0-24a7c
- virtueel pakket geboden door libghc-criterion-prof
- libghc-criterion-prof-1.4.1.0-5e77d
- virtueel pakket geboden door libghc-criterion-prof
- libghc-criterion-prof-1.4.1.0-e7a51
- virtueel pakket geboden door libghc-criterion-prof
- libghc-crypto-api-dev (0.13.3-2+b2)
- generic interface for cryptographic operations
- libghc-crypto-api-dev-0.13.3-5668a
- virtueel pakket geboden door libghc-crypto-api-dev
- libghc-crypto-api-dev-0.13.3-7a1c1
- virtueel pakket geboden door libghc-crypto-api-dev
- libghc-crypto-api-dev-0.13.3-8afef
- virtueel pakket geboden door libghc-crypto-api-dev
- libghc-crypto-api-dev-0.13.3-efa23
- virtueel pakket geboden door libghc-crypto-api-dev
- libghc-crypto-api-doc (0.13.3-2)
- generic interface for cryptographic operations; documentation
- libghc-crypto-api-prof (0.13.3-2+b2)
- generic interface for cryptographic operations; profiling libraries
- libghc-crypto-api-prof-0.13.3-5668a
- virtueel pakket geboden door libghc-crypto-api-prof
- libghc-crypto-api-prof-0.13.3-7a1c1
- virtueel pakket geboden door libghc-crypto-api-prof
- libghc-crypto-api-prof-0.13.3-8afef
- virtueel pakket geboden door libghc-crypto-api-prof
- libghc-crypto-api-prof-0.13.3-efa23
- virtueel pakket geboden door libghc-crypto-api-prof
- libghc-crypto-cipher-tests-dev (0.0.11-10+b2)
- Generic cryptography cipher tests
- libghc-crypto-cipher-tests-dev-0.0.11-35b7f
- virtueel pakket geboden door libghc-crypto-cipher-tests-dev
- libghc-crypto-cipher-tests-dev-0.0.11-4477f
- virtueel pakket geboden door libghc-crypto-cipher-tests-dev
- libghc-crypto-cipher-tests-dev-0.0.11-49f26
- virtueel pakket geboden door libghc-crypto-cipher-tests-dev
- libghc-crypto-cipher-tests-dev-0.0.11-edca2
- virtueel pakket geboden door libghc-crypto-cipher-tests-dev
- libghc-crypto-cipher-tests-doc (0.0.11-10)
- Generic cryptography cipher tests; documentation
- libghc-crypto-cipher-tests-prof (0.0.11-10+b2)
- Generic cryptography cipher tests; profiling libraries
- libghc-crypto-cipher-tests-prof-0.0.11-35b7f
- virtueel pakket geboden door libghc-crypto-cipher-tests-prof
- libghc-crypto-cipher-tests-prof-0.0.11-4477f
- virtueel pakket geboden door libghc-crypto-cipher-tests-prof
- libghc-crypto-cipher-tests-prof-0.0.11-49f26
- virtueel pakket geboden door libghc-crypto-cipher-tests-prof
- libghc-crypto-cipher-tests-prof-0.0.11-edca2
- virtueel pakket geboden door libghc-crypto-cipher-tests-prof
- libghc-crypto-cipher-types-dev (0.0.9-9+b2)
- generic cryptographic cipher types
- libghc-crypto-cipher-types-dev-0.0.9-22b87
- virtueel pakket geboden door libghc-crypto-cipher-types-dev
- libghc-crypto-cipher-types-dev-0.0.9-c81fd
- virtueel pakket geboden door libghc-crypto-cipher-types-dev
- libghc-crypto-cipher-types-dev-0.0.9-d1772
- virtueel pakket geboden door libghc-crypto-cipher-types-dev
- libghc-crypto-cipher-types-dev-0.0.9-efcc9
- virtueel pakket geboden door libghc-crypto-cipher-types-dev
- libghc-crypto-cipher-types-doc (0.0.9-9)
- generic cryptographic cipher types; documentation
- libghc-crypto-cipher-types-prof (0.0.9-9+b2)
- generic cryptographic cipher types; profiling libraries
- libghc-crypto-cipher-types-prof-0.0.9-22b87
- virtueel pakket geboden door libghc-crypto-cipher-types-prof
- libghc-crypto-cipher-types-prof-0.0.9-c81fd
- virtueel pakket geboden door libghc-crypto-cipher-types-prof
- libghc-crypto-cipher-types-prof-0.0.9-d1772
- virtueel pakket geboden door libghc-crypto-cipher-types-prof
- libghc-crypto-cipher-types-prof-0.0.9-efcc9
- virtueel pakket geboden door libghc-crypto-cipher-types-prof
- libghc-crypto-dev (4.2.5.1-9+b2)
- cryptographical algorithms for Haskell
- libghc-crypto-dev-4.2.5.1-16034
- virtueel pakket geboden door libghc-crypto-dev
- libghc-crypto-dev-4.2.5.1-2eb9c
- virtueel pakket geboden door libghc-crypto-dev
- libghc-crypto-dev-4.2.5.1-981ef
- virtueel pakket geboden door libghc-crypto-dev
- libghc-crypto-dev-4.2.5.1-b40e7
- virtueel pakket geboden door libghc-crypto-dev
- libghc-crypto-doc (4.2.5.1-9)
- cryptographical algorithms for Haskell; documentation
- libghc-crypto-numbers-dev (0.2.7-9+b1)
- cryptographic numbers: functions and algorithms
- libghc-crypto-numbers-dev-0.2.7-00b30
- virtueel pakket geboden door libghc-crypto-numbers-dev
- libghc-crypto-numbers-dev-0.2.7-564fd
- virtueel pakket geboden door libghc-crypto-numbers-dev
- libghc-crypto-numbers-dev-0.2.7-f2d48
- virtueel pakket geboden door libghc-crypto-numbers-dev
- libghc-crypto-numbers-dev-0.2.7-fff36
- virtueel pakket geboden door libghc-crypto-numbers-dev
- libghc-crypto-numbers-doc (0.2.7-9)
- cryptographic numbers: functions and algorithms; documentation
- libghc-crypto-numbers-prof (0.2.7-9+b1)
- cryptographic numbers: functions and algorithms; profiling libraries
- libghc-crypto-numbers-prof-0.2.7-00b30
- virtueel pakket geboden door libghc-crypto-numbers-prof
- libghc-crypto-numbers-prof-0.2.7-564fd
- virtueel pakket geboden door libghc-crypto-numbers-prof
- libghc-crypto-numbers-prof-0.2.7-f2d48
- virtueel pakket geboden door libghc-crypto-numbers-prof
- libghc-crypto-numbers-prof-0.2.7-fff36
- virtueel pakket geboden door libghc-crypto-numbers-prof
- libghc-crypto-prof (4.2.5.1-9+b2)
- cryptographical algorithms for Haskell; profiling libraries
- libghc-crypto-prof-4.2.5.1-16034
- virtueel pakket geboden door libghc-crypto-prof
- libghc-crypto-prof-4.2.5.1-2eb9c
- virtueel pakket geboden door libghc-crypto-prof
- libghc-crypto-prof-4.2.5.1-981ef
- virtueel pakket geboden door libghc-crypto-prof
- libghc-crypto-prof-4.2.5.1-b40e7
- virtueel pakket geboden door libghc-crypto-prof
- libghc-crypto-pubkey-dev (0.2.8-9+b1)
- public-key cryptography routines
- libghc-crypto-pubkey-dev-0.2.8-0759c
- virtueel pakket geboden door libghc-crypto-pubkey-dev
- libghc-crypto-pubkey-dev-0.2.8-28590
- virtueel pakket geboden door libghc-crypto-pubkey-dev
- libghc-crypto-pubkey-dev-0.2.8-51fad
- virtueel pakket geboden door libghc-crypto-pubkey-dev
- libghc-crypto-pubkey-dev-0.2.8-a9d1f
- virtueel pakket geboden door libghc-crypto-pubkey-dev
- libghc-crypto-pubkey-doc (0.2.8-9)
- public-key cryptography routines; documentation
- libghc-crypto-pubkey-openssh-dev (0.2.7-9+b1)
- OpenSSH key codec
- libghc-crypto-pubkey-openssh-dev-0.2.7-0e984
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-dev
- libghc-crypto-pubkey-openssh-dev-0.2.7-5b7f7
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-dev
- libghc-crypto-pubkey-openssh-dev-0.2.7-97f6c
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-dev
- libghc-crypto-pubkey-openssh-dev-0.2.7-e15bd
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-dev
- libghc-crypto-pubkey-openssh-doc (0.2.7-9)
- OpenSSH key codec; documentation
- libghc-crypto-pubkey-openssh-prof (0.2.7-9+b1)
- OpenSSH key codec; profiling libraries
- libghc-crypto-pubkey-openssh-prof-0.2.7-0e984
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-prof
- libghc-crypto-pubkey-openssh-prof-0.2.7-5b7f7
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-prof
- libghc-crypto-pubkey-openssh-prof-0.2.7-97f6c
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-prof
- libghc-crypto-pubkey-openssh-prof-0.2.7-e15bd
- virtueel pakket geboden door libghc-crypto-pubkey-openssh-prof
- libghc-crypto-pubkey-prof (0.2.8-9+b1)
- public-key cryptography routines; profiling libraries
- libghc-crypto-pubkey-prof-0.2.8-0759c
- virtueel pakket geboden door libghc-crypto-pubkey-prof
- libghc-crypto-pubkey-prof-0.2.8-28590
- virtueel pakket geboden door libghc-crypto-pubkey-prof
- libghc-crypto-pubkey-prof-0.2.8-51fad
- virtueel pakket geboden door libghc-crypto-pubkey-prof
- libghc-crypto-pubkey-prof-0.2.8-a9d1f
- virtueel pakket geboden door libghc-crypto-pubkey-prof
- libghc-crypto-pubkey-types-dev (0.4.3-9+b1)
- generic public-key algorithm types
- libghc-crypto-pubkey-types-dev-0.4.3-38e8c
- virtueel pakket geboden door libghc-crypto-pubkey-types-dev
- libghc-crypto-pubkey-types-dev-0.4.3-4966a
- virtueel pakket geboden door libghc-crypto-pubkey-types-dev
- libghc-crypto-pubkey-types-dev-0.4.3-603e5
- virtueel pakket geboden door libghc-crypto-pubkey-types-dev
- libghc-crypto-pubkey-types-dev-0.4.3-80835
- virtueel pakket geboden door libghc-crypto-pubkey-types-dev
- libghc-crypto-pubkey-types-doc (0.4.3-9)
- generic public-key algorithm types; documentation
- libghc-crypto-pubkey-types-prof (0.4.3-9+b1)
- generic public-key algorithm types; profiling libraries
- libghc-crypto-pubkey-types-prof-0.4.3-38e8c
- virtueel pakket geboden door libghc-crypto-pubkey-types-prof
- libghc-crypto-pubkey-types-prof-0.4.3-4966a
- virtueel pakket geboden door libghc-crypto-pubkey-types-prof
- libghc-crypto-pubkey-types-prof-0.4.3-603e5
- virtueel pakket geboden door libghc-crypto-pubkey-types-prof
- libghc-crypto-pubkey-types-prof-0.4.3-80835
- virtueel pakket geboden door libghc-crypto-pubkey-types-prof
- libghc-crypto-random-api-dev (0.2.0-10+b2)
- simple random generators API for cryptography related code
- libghc-crypto-random-api-dev-0.2.0-64183
- virtueel pakket geboden door libghc-crypto-random-api-dev
- libghc-crypto-random-api-dev-0.2.0-9a073
- virtueel pakket geboden door libghc-crypto-random-api-dev
- libghc-crypto-random-api-dev-0.2.0-aebfe
- virtueel pakket geboden door libghc-crypto-random-api-dev
- libghc-crypto-random-api-dev-0.2.0-d3632
- virtueel pakket geboden door libghc-crypto-random-api-dev
- libghc-crypto-random-api-doc (0.2.0-10)
- simple random generators API for cryptography related code; documentation
- libghc-crypto-random-api-prof (0.2.0-10+b2)
- simple random generators API for crypto-related code; profiling libraries
- libghc-crypto-random-api-prof-0.2.0-64183
- virtueel pakket geboden door libghc-crypto-random-api-prof
- libghc-crypto-random-api-prof-0.2.0-9a073
- virtueel pakket geboden door libghc-crypto-random-api-prof
- libghc-crypto-random-api-prof-0.2.0-aebfe
- virtueel pakket geboden door libghc-crypto-random-api-prof
- libghc-crypto-random-api-prof-0.2.0-d3632
- virtueel pakket geboden door libghc-crypto-random-api-prof
- libghc-crypto-random-dev (0.0.9-8+b3 [amd64, arm64], 0.0.9-8+b2 [armhf], 0.0.9-8+b1 [i386])
- simple cryptographic random related types
- libghc-crypto-random-dev-0.0.9-0ae6d
- virtueel pakket geboden door libghc-crypto-random-dev
- libghc-crypto-random-dev-0.0.9-8ac80
- virtueel pakket geboden door libghc-crypto-random-dev
- libghc-crypto-random-dev-0.0.9-a9932
- virtueel pakket geboden door libghc-crypto-random-dev
- libghc-crypto-random-dev-0.0.9-c0e50
- virtueel pakket geboden door libghc-crypto-random-dev
- libghc-crypto-random-doc (0.0.9-8)
- simple cryptographic random related types; documentation
- libghc-crypto-random-prof (0.0.9-8+b3 [amd64, arm64], 0.0.9-8+b2 [armhf], 0.0.9-8+b1 [i386])
- simple cryptographic random related types; profiling libraries
- libghc-crypto-random-prof-0.0.9-0ae6d
- virtueel pakket geboden door libghc-crypto-random-prof
- libghc-crypto-random-prof-0.0.9-8ac80
- virtueel pakket geboden door libghc-crypto-random-prof
- libghc-crypto-random-prof-0.0.9-a9932
- virtueel pakket geboden door libghc-crypto-random-prof
- libghc-crypto-random-prof-0.0.9-c0e50
- virtueel pakket geboden door libghc-crypto-random-prof
- libghc-cryptocipher-dev (0.6.2-9+b3 [amd64, arm64], 0.6.2-9+b2 [armhf], 0.6.2-9+b1 [i386])
- Symmetrical block and stream ciphers
- libghc-cryptocipher-dev-0.6.2-274c8
- virtueel pakket geboden door libghc-cryptocipher-dev
- libghc-cryptocipher-dev-0.6.2-278e6
- virtueel pakket geboden door libghc-cryptocipher-dev
- libghc-cryptocipher-dev-0.6.2-cd416
- virtueel pakket geboden door libghc-cryptocipher-dev
- libghc-cryptocipher-dev-0.6.2-e40c0
- virtueel pakket geboden door libghc-cryptocipher-dev
- libghc-cryptocipher-doc (0.6.2-9)
- Symmetrical block and stream ciphers; documentation
- libghc-cryptocipher-prof (0.6.2-9+b3 [amd64, arm64], 0.6.2-9+b2 [armhf], 0.6.2-9+b1 [i386])
- Symmetrical block and stream ciphers; profiling libraries
- libghc-cryptocipher-prof-0.6.2-274c8
- virtueel pakket geboden door libghc-cryptocipher-prof
- libghc-cryptocipher-prof-0.6.2-278e6
- virtueel pakket geboden door libghc-cryptocipher-prof
- libghc-cryptocipher-prof-0.6.2-cd416
- virtueel pakket geboden door libghc-cryptocipher-prof
- libghc-cryptocipher-prof-0.6.2-e40c0
- virtueel pakket geboden door libghc-cryptocipher-prof
- libghc-cryptohash-conduit-dev (0.1.1-10+b1)
- Conduit interface to cryptohash
- libghc-cryptohash-conduit-dev-0.1.1-24b99
- virtueel pakket geboden door libghc-cryptohash-conduit-dev
- libghc-cryptohash-conduit-dev-0.1.1-69a09
- virtueel pakket geboden door libghc-cryptohash-conduit-dev
- libghc-cryptohash-conduit-dev-0.1.1-72fca
- virtueel pakket geboden door libghc-cryptohash-conduit-dev
- libghc-cryptohash-conduit-dev-0.1.1-8b6d5
- virtueel pakket geboden door libghc-cryptohash-conduit-dev
- libghc-cryptohash-conduit-doc (0.1.1-10)
- Conduit interface to cryptohash; documentation
- libghc-cryptohash-conduit-prof (0.1.1-10+b1)
- Conduit interface to cryptohash; profiling libraries
- libghc-cryptohash-conduit-prof-0.1.1-24b99
- virtueel pakket geboden door libghc-cryptohash-conduit-prof
- libghc-cryptohash-conduit-prof-0.1.1-69a09
- virtueel pakket geboden door libghc-cryptohash-conduit-prof
- libghc-cryptohash-conduit-prof-0.1.1-72fca
- virtueel pakket geboden door libghc-cryptohash-conduit-prof
- libghc-cryptohash-conduit-prof-0.1.1-8b6d5
- virtueel pakket geboden door libghc-cryptohash-conduit-prof
- libghc-cryptohash-cryptoapi-dev (0.1.4-5+b1)
- crypto-api interfaces for cryptohash
- libghc-cryptohash-cryptoapi-dev-0.1.4-2310b
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-dev
- libghc-cryptohash-cryptoapi-dev-0.1.4-47d71
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-dev
- libghc-cryptohash-cryptoapi-dev-0.1.4-86108
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-dev
- libghc-cryptohash-cryptoapi-dev-0.1.4-9f97b
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-dev
- libghc-cryptohash-cryptoapi-doc (0.1.4-5)
- crypto-api interfaces for cryptohash; documentation
- libghc-cryptohash-cryptoapi-prof (0.1.4-5+b1)
- crypto-api interfaces for cryptohash; profiling libraries
- libghc-cryptohash-cryptoapi-prof-0.1.4-2310b
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-prof
- libghc-cryptohash-cryptoapi-prof-0.1.4-47d71
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-prof
- libghc-cryptohash-cryptoapi-prof-0.1.4-86108
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-prof
- libghc-cryptohash-cryptoapi-prof-0.1.4-9f97b
- virtueel pakket geboden door libghc-cryptohash-cryptoapi-prof
- libghc-cryptohash-dev (0.11.9-6+b1)
- collection of crypto hashes, fast, pure and practical
- libghc-cryptohash-dev-0.11.9-00bfa
- virtueel pakket geboden door libghc-cryptohash-dev
- libghc-cryptohash-dev-0.11.9-7cf1d
- virtueel pakket geboden door libghc-cryptohash-dev
- libghc-cryptohash-dev-0.11.9-8c7bd
- virtueel pakket geboden door libghc-cryptohash-dev
- libghc-cryptohash-dev-0.11.9-fc580
- virtueel pakket geboden door libghc-cryptohash-dev
- libghc-cryptohash-doc (0.11.9-6)
- collection of crypto hashes, fast, pure and practical; documentation
- libghc-cryptohash-md5-dev (0.11.100.1-5+b1)
- fast, pure and practical MD5 implementation
- libghc-cryptohash-md5-dev-0.11.100.1-35563
- virtueel pakket geboden door libghc-cryptohash-md5-dev
- libghc-cryptohash-md5-dev-0.11.100.1-62a94
- virtueel pakket geboden door libghc-cryptohash-md5-dev
- libghc-cryptohash-md5-dev-0.11.100.1-66798
- virtueel pakket geboden door libghc-cryptohash-md5-dev
- libghc-cryptohash-md5-dev-0.11.100.1-69b56
- virtueel pakket geboden door libghc-cryptohash-md5-dev
- libghc-cryptohash-md5-doc (0.11.100.1-5)
- fast, pure and practical MD5 implementation; documentation
- libghc-cryptohash-md5-prof (0.11.100.1-5+b1)
- fast, pure and practical MD5 implementation; profiling libraries
- libghc-cryptohash-md5-prof-0.11.100.1-35563
- virtueel pakket geboden door libghc-cryptohash-md5-prof
- libghc-cryptohash-md5-prof-0.11.100.1-62a94
- virtueel pakket geboden door libghc-cryptohash-md5-prof
- libghc-cryptohash-md5-prof-0.11.100.1-66798
- virtueel pakket geboden door libghc-cryptohash-md5-prof
- libghc-cryptohash-md5-prof-0.11.100.1-69b56
- virtueel pakket geboden door libghc-cryptohash-md5-prof
- libghc-cryptohash-prof (0.11.9-6+b1)
- collection of crypto hashes, fast, pure and practical; profiling libraries
- libghc-cryptohash-prof-0.11.9-00bfa
- virtueel pakket geboden door libghc-cryptohash-prof
- libghc-cryptohash-prof-0.11.9-7cf1d
- virtueel pakket geboden door libghc-cryptohash-prof
- libghc-cryptohash-prof-0.11.9-8c7bd
- virtueel pakket geboden door libghc-cryptohash-prof
- libghc-cryptohash-prof-0.11.9-fc580
- virtueel pakket geboden door libghc-cryptohash-prof
- libghc-cryptohash-sha1-dev (0.11.100.1-4+b1)
- fast, pure and practical SHA-1 implementation
- libghc-cryptohash-sha1-dev-0.11.100.1-855ba
- virtueel pakket geboden door libghc-cryptohash-sha1-dev
- libghc-cryptohash-sha1-dev-0.11.100.1-b7929
- virtueel pakket geboden door libghc-cryptohash-sha1-dev
- libghc-cryptohash-sha1-dev-0.11.100.1-ca148
- virtueel pakket geboden door libghc-cryptohash-sha1-dev
- libghc-cryptohash-sha1-dev-0.11.100.1-fe746
- virtueel pakket geboden door libghc-cryptohash-sha1-dev
- libghc-cryptohash-sha1-doc (0.11.100.1-4)
- fast, pure and practical SHA-1 implementation; documentation
- libghc-cryptohash-sha1-prof (0.11.100.1-4+b1)
- fast, pure and practical SHA-1 implementation; profiling libraries
- libghc-cryptohash-sha1-prof-0.11.100.1-855ba
- virtueel pakket geboden door libghc-cryptohash-sha1-prof
- libghc-cryptohash-sha1-prof-0.11.100.1-b7929
- virtueel pakket geboden door libghc-cryptohash-sha1-prof
- libghc-cryptohash-sha1-prof-0.11.100.1-ca148
- virtueel pakket geboden door libghc-cryptohash-sha1-prof
- libghc-cryptohash-sha1-prof-0.11.100.1-fe746
- virtueel pakket geboden door libghc-cryptohash-sha1-prof
- libghc-cryptohash-sha256-dev (0.11.101.0-8+b1)
- fast, pure and practical SHA-256 implementation
- libghc-cryptohash-sha256-dev-0.11.101.0-07a22
- virtueel pakket geboden door libghc-cryptohash-sha256-dev
- libghc-cryptohash-sha256-dev-0.11.101.0-cdce5
- virtueel pakket geboden door libghc-cryptohash-sha256-dev
- libghc-cryptohash-sha256-dev-0.11.101.0-eb849
- virtueel pakket geboden door libghc-cryptohash-sha256-dev
- libghc-cryptohash-sha256-dev-0.11.101.0-f87f5
- virtueel pakket geboden door libghc-cryptohash-sha256-dev
- libghc-cryptohash-sha256-doc (0.11.101.0-8)
- fast, pure and practical SHA-256 implementation; documentation
- libghc-cryptohash-sha256-prof (0.11.101.0-8+b1)
- fast, pure and practical SHA-256 implementation; profiling libraries
- libghc-cryptohash-sha256-prof-0.11.101.0-07a22
- virtueel pakket geboden door libghc-cryptohash-sha256-prof
- libghc-cryptohash-sha256-prof-0.11.101.0-cdce5
- virtueel pakket geboden door libghc-cryptohash-sha256-prof
- libghc-cryptohash-sha256-prof-0.11.101.0-eb849
- virtueel pakket geboden door libghc-cryptohash-sha256-prof
- libghc-cryptohash-sha256-prof-0.11.101.0-f87f5
- virtueel pakket geboden door libghc-cryptohash-sha256-prof
- libghc-cryptol-dev (2.6.0-3+b1)
- domain-specific language of cryptography
- libghc-cryptol-dev-2.6.0-868ec
- virtueel pakket geboden door libghc-cryptol-dev
- libghc-cryptol-dev-2.6.0-e7d19
- virtueel pakket geboden door libghc-cryptol-dev
- libghc-cryptol-doc (2.6.0-3)
- domain-specific language of cryptography; documentation
- libghc-cryptol-prof (2.6.0-3+b1)
- domain-specific language of cryptography; profiling libraries
- libghc-cryptol-prof-2.6.0-868ec
- virtueel pakket geboden door libghc-cryptol-prof
- libghc-cryptol-prof-2.6.0-e7d19
- virtueel pakket geboden door libghc-cryptol-prof
- libghc-cryptonite-conduit-dev (0.2.2-4+b1)
- cryptonite conduit
- libghc-cryptonite-conduit-dev-0.2.2-4b42b
- virtueel pakket geboden door libghc-cryptonite-conduit-dev
- libghc-cryptonite-conduit-dev-0.2.2-679cb
- virtueel pakket geboden door libghc-cryptonite-conduit-dev
- libghc-cryptonite-conduit-dev-0.2.2-c0f47
- virtueel pakket geboden door libghc-cryptonite-conduit-dev
- libghc-cryptonite-conduit-dev-0.2.2-db41c
- virtueel pakket geboden door libghc-cryptonite-conduit-dev
- libghc-cryptonite-conduit-doc (0.2.2-4)
- cryptonite conduit; documentation
- libghc-cryptonite-conduit-prof (0.2.2-4+b1)
- cryptonite conduit; profiling libraries
- libghc-cryptonite-conduit-prof-0.2.2-4b42b
- virtueel pakket geboden door libghc-cryptonite-conduit-prof
- libghc-cryptonite-conduit-prof-0.2.2-679cb
- virtueel pakket geboden door libghc-cryptonite-conduit-prof
- libghc-cryptonite-conduit-prof-0.2.2-c0f47
- virtueel pakket geboden door libghc-cryptonite-conduit-prof
- libghc-cryptonite-conduit-prof-0.2.2-db41c
- virtueel pakket geboden door libghc-cryptonite-conduit-prof
- libghc-cryptonite-dev (0.25-5+b1)
- cryptographic primitives
- libghc-cryptonite-dev-0.25-4ce83
- virtueel pakket geboden door libghc-cryptonite-dev
- libghc-cryptonite-dev-0.25-9dc7c
- virtueel pakket geboden door libghc-cryptonite-dev
- libghc-cryptonite-dev-0.25-b0f68
- virtueel pakket geboden door libghc-cryptonite-dev
- libghc-cryptonite-dev-0.25-cc1fc
- virtueel pakket geboden door libghc-cryptonite-dev
- libghc-cryptonite-doc (0.25-5)
- cryptographic primitives; documentation
- libghc-cryptonite-prof (0.25-5+b1)
- cryptographic primitives; profiling libraries
- libghc-cryptonite-prof-0.25-4ce83
- virtueel pakket geboden door libghc-cryptonite-prof
- libghc-cryptonite-prof-0.25-9dc7c
- virtueel pakket geboden door libghc-cryptonite-prof
- libghc-cryptonite-prof-0.25-b0f68
- virtueel pakket geboden door libghc-cryptonite-prof
- libghc-cryptonite-prof-0.25-cc1fc
- virtueel pakket geboden door libghc-cryptonite-prof
- libghc-css-text-dev (0.1.3.0-3+b1)
- CSS parser and renderer
- libghc-css-text-dev-0.1.3.0-2707a
- virtueel pakket geboden door libghc-css-text-dev
- libghc-css-text-dev-0.1.3.0-46c62
- virtueel pakket geboden door libghc-css-text-dev
- libghc-css-text-dev-0.1.3.0-b5156
- virtueel pakket geboden door libghc-css-text-dev
- libghc-css-text-dev-0.1.3.0-bed15
- virtueel pakket geboden door libghc-css-text-dev
- libghc-css-text-doc (0.1.3.0-3)
- CSS parser and renderer; documentation
- libghc-css-text-prof (0.1.3.0-3+b1)
- CSS parser and renderer; profiling libraries
- libghc-css-text-prof-0.1.3.0-2707a
- virtueel pakket geboden door libghc-css-text-prof
- libghc-css-text-prof-0.1.3.0-46c62
- virtueel pakket geboden door libghc-css-text-prof
- libghc-css-text-prof-0.1.3.0-b5156
- virtueel pakket geboden door libghc-css-text-prof
- libghc-css-text-prof-0.1.3.0-bed15
- virtueel pakket geboden door libghc-css-text-prof
- libghc-csv-conduit-dev (0.7.0.0-3+b1)
- fast, conduit-based CSV parser library
- libghc-csv-conduit-dev-0.7.0.0-0b2df
- virtueel pakket geboden door libghc-csv-conduit-dev
- libghc-csv-conduit-dev-0.7.0.0-759b7
- virtueel pakket geboden door libghc-csv-conduit-dev
- libghc-csv-conduit-dev-0.7.0.0-8326a
- virtueel pakket geboden door libghc-csv-conduit-dev
- libghc-csv-conduit-dev-0.7.0.0-c989d
- virtueel pakket geboden door libghc-csv-conduit-dev
- libghc-csv-conduit-doc (0.7.0.0-3)
- fast, conduit-based CSV parser library; documentation
- libghc-csv-conduit-prof (0.7.0.0-3+b1)
- fast, conduit-based CSV parser library; profiling libraries
- libghc-csv-conduit-prof-0.7.0.0-0b2df
- virtueel pakket geboden door libghc-csv-conduit-prof
- libghc-csv-conduit-prof-0.7.0.0-759b7
- virtueel pakket geboden door libghc-csv-conduit-prof
- libghc-csv-conduit-prof-0.7.0.0-8326a
- virtueel pakket geboden door libghc-csv-conduit-prof
- libghc-csv-conduit-prof-0.7.0.0-c989d
- virtueel pakket geboden door libghc-csv-conduit-prof
- libghc-csv-dev (0.1.2-14+b2)
- CSV loader and dumper
- libghc-csv-dev-0.1.2-3483b
- virtueel pakket geboden door libghc-csv-dev
- libghc-csv-dev-0.1.2-86c69
- virtueel pakket geboden door libghc-csv-dev
- libghc-csv-dev-0.1.2-bc78c
- virtueel pakket geboden door libghc-csv-dev
- libghc-csv-dev-0.1.2-fd9cb
- virtueel pakket geboden door libghc-csv-dev
- libghc-csv-doc (0.1.2-14)
- CSV loader and dumper; documentation
- libghc-csv-prof (0.1.2-14+b2)
- CSV loader and dumper; profiling libraries
- libghc-csv-prof-0.1.2-3483b
- virtueel pakket geboden door libghc-csv-prof
- libghc-csv-prof-0.1.2-86c69
- virtueel pakket geboden door libghc-csv-prof
- libghc-csv-prof-0.1.2-bc78c
- virtueel pakket geboden door libghc-csv-prof
- libghc-csv-prof-0.1.2-fd9cb
- virtueel pakket geboden door libghc-csv-prof
- libghc-curl-dev (1.3.8-11+b2)
- GHC libraries for the libcurl Haskell bindings
- libghc-curl-dev-1.3.8-35e63
- virtueel pakket geboden door libghc-curl-dev
- libghc-curl-dev-1.3.8-8d492
- virtueel pakket geboden door libghc-curl-dev
- libghc-curl-dev-1.3.8-b29f5
- virtueel pakket geboden door libghc-curl-dev
- libghc-curl-dev-1.3.8-ff789
- virtueel pakket geboden door libghc-curl-dev
- libghc-curl-doc (1.3.8-11)
- Documentation for the libcurl Haskell bindings; documentation
- libghc-curl-prof (1.3.8-11+b2)
- Profiling libraries for the libcurl Haskell bindings; profiling libraries
- libghc-curl-prof-1.3.8-35e63
- virtueel pakket geboden door libghc-curl-prof
- libghc-curl-prof-1.3.8-8d492
- virtueel pakket geboden door libghc-curl-prof
- libghc-curl-prof-1.3.8-b29f5
- virtueel pakket geboden door libghc-curl-prof
- libghc-curl-prof-1.3.8-ff789
- virtueel pakket geboden door libghc-curl-prof
- libghc-curry-base-dev (1.1.0-1+b1)
- Functions for manipulating Curry programs
- libghc-curry-base-dev-1.1.0-2d3aa
- virtueel pakket geboden door libghc-curry-base-dev
- libghc-curry-base-dev-1.1.0-5f191
- virtueel pakket geboden door libghc-curry-base-dev
- libghc-curry-base-dev-1.1.0-b4357
- virtueel pakket geboden door libghc-curry-base-dev
- libghc-curry-base-dev-1.1.0-cc164
- virtueel pakket geboden door libghc-curry-base-dev
- libghc-curry-base-doc (1.1.0-1)
- Functions for manipulating Curry programs; documentation
- libghc-curry-base-prof (1.1.0-1+b1)
- Functions for manipulating Curry programs; profiling libraries
- libghc-curry-base-prof-1.1.0-2d3aa
- virtueel pakket geboden door libghc-curry-base-prof
- libghc-curry-base-prof-1.1.0-5f191
- virtueel pakket geboden door libghc-curry-base-prof
- libghc-curry-base-prof-1.1.0-b4357
- virtueel pakket geboden door libghc-curry-base-prof
- libghc-curry-base-prof-1.1.0-cc164
- virtueel pakket geboden door libghc-curry-base-prof
- libghc-curry-frontend-dev (1.0.3-1)
- Lexer, parser, type checker, etc. for Curry
- libghc-curry-frontend-dev-1.0.3-0c69c
- virtueel pakket geboden door libghc-curry-frontend-dev
- libghc-curry-frontend-dev-1.0.3-5f23a
- virtueel pakket geboden door libghc-curry-frontend-dev
- libghc-curry-frontend-dev-1.0.3-80de4
- virtueel pakket geboden door libghc-curry-frontend-dev
- libghc-curry-frontend-dev-1.0.3-e8032
- virtueel pakket geboden door libghc-curry-frontend-dev
- libghc-curry-frontend-doc (1.0.3-1)
- Lexer, parser, type checker, etc. for Curry; documentation
- libghc-curry-frontend-prof (1.0.3-1)
- Lexer, parser, type checker, etc. for Curry; profiling libraries
- libghc-curry-frontend-prof-1.0.3-0c69c
- virtueel pakket geboden door libghc-curry-frontend-prof
- libghc-curry-frontend-prof-1.0.3-5f23a
- virtueel pakket geboden door libghc-curry-frontend-prof
- libghc-curry-frontend-prof-1.0.3-80de4
- virtueel pakket geboden door libghc-curry-frontend-prof
- libghc-curry-frontend-prof-1.0.3-e8032
- virtueel pakket geboden door libghc-curry-frontend-prof
- libghc-data-accessor-dev (0.2.2.8-1+b2)
- Utilities for accessing and manipulating fields of records
- libghc-data-accessor-dev-0.2.2.8-36af2
- virtueel pakket geboden door libghc-data-accessor-dev
- libghc-data-accessor-dev-0.2.2.8-436a3
- virtueel pakket geboden door libghc-data-accessor-dev
- libghc-data-accessor-dev-0.2.2.8-9e3c2
- virtueel pakket geboden door libghc-data-accessor-dev
- libghc-data-accessor-dev-0.2.2.8-cc562
- virtueel pakket geboden door libghc-data-accessor-dev
- libghc-data-accessor-doc (0.2.2.8-1)
- Utilities for accessing and manipulating fields of records; documentation
- libghc-data-accessor-mtl-dev (0.2.0.4-10+b2)
- use Data.Accessor inside the State monad.
- libghc-data-accessor-mtl-dev-0.2.0.4-d559e
- virtueel pakket geboden door libghc-data-accessor-mtl-dev
- libghc-data-accessor-mtl-dev-0.2.0.4-d8eb7
- virtueel pakket geboden door libghc-data-accessor-mtl-dev
- libghc-data-accessor-mtl-dev-0.2.0.4-f314b
- virtueel pakket geboden door libghc-data-accessor-mtl-dev
- libghc-data-accessor-mtl-doc (0.2.0.4-10)
- use Data.Accessor inside the State monad; documentation
- libghc-data-accessor-mtl-prof (0.2.0.4-10+b2)
- use Data.Accessor inside the State monad; profiling libraries
- libghc-data-accessor-mtl-prof-0.2.0.4-d559e
- virtueel pakket geboden door libghc-data-accessor-mtl-prof
- libghc-data-accessor-mtl-prof-0.2.0.4-d8eb7
- virtueel pakket geboden door libghc-data-accessor-mtl-prof
- libghc-data-accessor-mtl-prof-0.2.0.4-f314b
- virtueel pakket geboden door libghc-data-accessor-mtl-prof
- libghc-data-accessor-prof (0.2.2.8-1+b2)
- Utilities for accessing and manipulating fields of records; profiling libraries
- libghc-data-accessor-prof-0.2.2.8-36af2
- virtueel pakket geboden door libghc-data-accessor-prof
- libghc-data-accessor-prof-0.2.2.8-436a3
- virtueel pakket geboden door libghc-data-accessor-prof
- libghc-data-accessor-prof-0.2.2.8-9e3c2
- virtueel pakket geboden door libghc-data-accessor-prof
- libghc-data-accessor-prof-0.2.2.8-cc562
- virtueel pakket geboden door libghc-data-accessor-prof
- libghc-data-binary-ieee754-dev (0.4.4-9+b2)
- parser/serialiser for IEEE-754 floating-point values
- libghc-data-binary-ieee754-dev-0.4.4-13bf5
- virtueel pakket geboden door libghc-data-binary-ieee754-dev
- libghc-data-binary-ieee754-dev-0.4.4-96332
- virtueel pakket geboden door libghc-data-binary-ieee754-dev
- libghc-data-binary-ieee754-dev-0.4.4-b440c
- virtueel pakket geboden door libghc-data-binary-ieee754-dev
- libghc-data-binary-ieee754-dev-0.4.4-c5714
- virtueel pakket geboden door libghc-data-binary-ieee754-dev
- libghc-data-binary-ieee754-doc (0.4.4-9)
- parser/serialiser for IEEE-754 floating-point values; documentation
- libghc-data-binary-ieee754-prof (0.4.4-9+b2)
- parser/serialiser for IEEE-754 floating-point values; profiling libraries
- libghc-data-binary-ieee754-prof-0.4.4-13bf5
- virtueel pakket geboden door libghc-data-binary-ieee754-prof
- libghc-data-binary-ieee754-prof-0.4.4-96332
- virtueel pakket geboden door libghc-data-binary-ieee754-prof
- libghc-data-binary-ieee754-prof-0.4.4-b440c
- virtueel pakket geboden door libghc-data-binary-ieee754-prof
- libghc-data-binary-ieee754-prof-0.4.4-c5714
- virtueel pakket geboden door libghc-data-binary-ieee754-prof
- libghc-data-clist-dev (0.1.2.1-2+b2)
- Simple functional ring type
- libghc-data-clist-dev-0.1.2.1-0ba80
- virtueel pakket geboden door libghc-data-clist-dev
- libghc-data-clist-dev-0.1.2.1-662a9
- virtueel pakket geboden door libghc-data-clist-dev
- libghc-data-clist-dev-0.1.2.1-92c34
- virtueel pakket geboden door libghc-data-clist-dev
- libghc-data-clist-dev-0.1.2.1-f89be
- virtueel pakket geboden door libghc-data-clist-dev
- libghc-data-clist-doc (0.1.2.1-2)
- Simple functional ring type; documentation
- libghc-data-clist-prof (0.1.2.1-2+b2)
- Simple functional ring type; profiling libraries
- libghc-data-clist-prof-0.1.2.1-0ba80
- virtueel pakket geboden door libghc-data-clist-prof
- libghc-data-clist-prof-0.1.2.1-662a9
- virtueel pakket geboden door libghc-data-clist-prof
- libghc-data-clist-prof-0.1.2.1-92c34
- virtueel pakket geboden door libghc-data-clist-prof
- libghc-data-clist-prof-0.1.2.1-f89be
- virtueel pakket geboden door libghc-data-clist-prof
- libghc-data-default-class-dev (0.1.2.0-4+b2)
- type class for default values
- libghc-data-default-class-dev-0.1.2.0-56b52
- virtueel pakket geboden door libghc-data-default-class-dev
- libghc-data-default-class-dev-0.1.2.0-66927
- virtueel pakket geboden door libghc-data-default-class-dev
- libghc-data-default-class-dev-0.1.2.0-ddb84
- virtueel pakket geboden door libghc-data-default-class-dev
- libghc-data-default-class-doc (0.1.2.0-4)
- type class for default values; documentation
- libghc-data-default-class-prof (0.1.2.0-4+b2)
- type class for default values; profiling libraries
- libghc-data-default-class-prof-0.1.2.0-56b52
- virtueel pakket geboden door libghc-data-default-class-prof
- libghc-data-default-class-prof-0.1.2.0-66927
- virtueel pakket geboden door libghc-data-default-class-prof
- libghc-data-default-class-prof-0.1.2.0-ddb84
- virtueel pakket geboden door libghc-data-default-class-prof
- libghc-data-default-dev (0.7.1.1-4+b2)
- class for types with a default value
- libghc-data-default-dev-0.7.1.1-0608f
- virtueel pakket geboden door libghc-data-default-dev
- libghc-data-default-dev-0.7.1.1-388e3
- virtueel pakket geboden door libghc-data-default-dev
- libghc-data-default-dev-0.7.1.1-891fa
- virtueel pakket geboden door libghc-data-default-dev
- libghc-data-default-dev-0.7.1.1-98c75
- virtueel pakket geboden door libghc-data-default-dev
- libghc-data-default-doc (0.7.1.1-4)
- class for types with a default value; documentation
- libghc-data-default-instances-base-dev (0.1.0.1-4+b2)
- default instances for types in base
- libghc-data-default-instances-base-dev-0.1.0.1-3ba04
- virtueel pakket geboden door libghc-data-default-instances-base-dev
- libghc-data-default-instances-base-dev-0.1.0.1-c12b5
- virtueel pakket geboden door libghc-data-default-instances-base-dev
- libghc-data-default-instances-base-doc (0.1.0.1-4)
- default instances for types in base; documentation
- libghc-data-default-instances-base-prof (0.1.0.1-4+b2)
- default instances for types in base; profiling libraries
- libghc-data-default-instances-base-prof-0.1.0.1-3ba04
- virtueel pakket geboden door libghc-data-default-instances-base-prof
- libghc-data-default-instances-base-prof-0.1.0.1-c12b5
- virtueel pakket geboden door libghc-data-default-instances-base-prof
- libghc-data-default-instances-containers-dev (0.0.1-10+b2)
- default instances for types in containers
- libghc-data-default-instances-containers-dev-0.0.1-49d59
- virtueel pakket geboden door libghc-data-default-instances-containers-dev
- libghc-data-default-instances-containers-dev-0.0.1-69fdc
- virtueel pakket geboden door libghc-data-default-instances-containers-dev
- libghc-data-default-instances-containers-dev-0.0.1-a8dbf
- virtueel pakket geboden door libghc-data-default-instances-containers-dev
- libghc-data-default-instances-containers-dev-0.0.1-d6883
- virtueel pakket geboden door libghc-data-default-instances-containers-dev
- libghc-data-default-instances-containers-doc (0.0.1-10)
- default instances for types in containers; documentation
- libghc-data-default-instances-containers-prof (0.0.1-10+b2)
- default instances for types in containers; profiling libraries
- libghc-data-default-instances-containers-prof-0.0.1-49d59
- virtueel pakket geboden door libghc-data-default-instances-containers-prof
- libghc-data-default-instances-containers-prof-0.0.1-69fdc
- virtueel pakket geboden door libghc-data-default-instances-containers-prof
- libghc-data-default-instances-containers-prof-0.0.1-a8dbf
- virtueel pakket geboden door libghc-data-default-instances-containers-prof
- libghc-data-default-instances-containers-prof-0.0.1-d6883
- virtueel pakket geboden door libghc-data-default-instances-containers-prof
- libghc-data-default-instances-dlist-dev (0.0.1-9+b2)
- default instances for types in dlist
- libghc-data-default-instances-dlist-dev-0.0.1-7a6d7
- virtueel pakket geboden door libghc-data-default-instances-dlist-dev
- libghc-data-default-instances-dlist-dev-0.0.1-be781
- virtueel pakket geboden door libghc-data-default-instances-dlist-dev
- libghc-data-default-instances-dlist-dev-0.0.1-e08ca
- virtueel pakket geboden door libghc-data-default-instances-dlist-dev
- libghc-data-default-instances-dlist-dev-0.0.1-f1209
- virtueel pakket geboden door libghc-data-default-instances-dlist-dev
- libghc-data-default-instances-dlist-doc (0.0.1-9)
- default instances for types in dlist; documentation
- libghc-data-default-instances-dlist-prof (0.0.1-9+b2)
- default instances for types in dlist; profiling libraries
- libghc-data-default-instances-dlist-prof-0.0.1-7a6d7
- virtueel pakket geboden door libghc-data-default-instances-dlist-prof
- libghc-data-default-instances-dlist-prof-0.0.1-be781
- virtueel pakket geboden door libghc-data-default-instances-dlist-prof
- libghc-data-default-instances-dlist-prof-0.0.1-e08ca
- virtueel pakket geboden door libghc-data-default-instances-dlist-prof
- libghc-data-default-instances-dlist-prof-0.0.1-f1209
- virtueel pakket geboden door libghc-data-default-instances-dlist-prof
- libghc-data-default-instances-old-locale-dev (0.0.1-9+b2)
- default instances for types in old-locale
- libghc-data-default-instances-old-locale-dev-0.0.1-20bd7
- virtueel pakket geboden door libghc-data-default-instances-old-locale-dev
- libghc-data-default-instances-old-locale-dev-0.0.1-341fd
- virtueel pakket geboden door libghc-data-default-instances-old-locale-dev
- libghc-data-default-instances-old-locale-dev-0.0.1-ffcaa
- virtueel pakket geboden door libghc-data-default-instances-old-locale-dev
- libghc-data-default-instances-old-locale-doc (0.0.1-9)
- default instances for types in old-locale; documentation
- libghc-data-default-instances-old-locale-prof (0.0.1-9+b2)
- default instances for types in old-locale; profiling libraries
- libghc-data-default-instances-old-locale-prof-0.0.1-20bd7
- virtueel pakket geboden door libghc-data-default-instances-old-locale-prof
- libghc-data-default-instances-old-locale-prof-0.0.1-341fd
- virtueel pakket geboden door libghc-data-default-instances-old-locale-prof
- libghc-data-default-instances-old-locale-prof-0.0.1-ffcaa
- virtueel pakket geboden door libghc-data-default-instances-old-locale-prof
- libghc-data-default-prof (0.7.1.1-4+b2)
- class for types with a default value; profiling libraries
- libghc-data-default-prof-0.7.1.1-0608f
- virtueel pakket geboden door libghc-data-default-prof
- libghc-data-default-prof-0.7.1.1-388e3
- virtueel pakket geboden door libghc-data-default-prof
- libghc-data-default-prof-0.7.1.1-891fa
- virtueel pakket geboden door libghc-data-default-prof
- libghc-data-default-prof-0.7.1.1-98c75
- virtueel pakket geboden door libghc-data-default-prof
- libghc-data-hash-dev (0.2.0.1-6+b2)
- combinators for building fast hashing functions.
- libghc-data-hash-dev-0.2.0.1-7512e
- virtueel pakket geboden door libghc-data-hash-dev
- libghc-data-hash-dev-0.2.0.1-8c31c
- virtueel pakket geboden door libghc-data-hash-dev
- libghc-data-hash-dev-0.2.0.1-8d9ee
- virtueel pakket geboden door libghc-data-hash-dev
- libghc-data-hash-dev-0.2.0.1-9994b
- virtueel pakket geboden door libghc-data-hash-dev
- libghc-data-hash-doc (0.2.0.1-6)
- combinators for building fast hashing functions.; documentation
- libghc-data-hash-prof (0.2.0.1-6+b2)
- combinators for building fast hashing functions.; profiling libraries
- libghc-data-hash-prof-0.2.0.1-7512e
- virtueel pakket geboden door libghc-data-hash-prof
- libghc-data-hash-prof-0.2.0.1-8c31c
- virtueel pakket geboden door libghc-data-hash-prof
- libghc-data-hash-prof-0.2.0.1-8d9ee
- virtueel pakket geboden door libghc-data-hash-prof
- libghc-data-hash-prof-0.2.0.1-9994b
- virtueel pakket geboden door libghc-data-hash-prof
- libghc-data-inttrie-dev (0.1.4-2+b2)
- Lazy, infinite trie of integers library
- libghc-data-inttrie-dev-0.1.4-295da
- virtueel pakket geboden door libghc-data-inttrie-dev
- libghc-data-inttrie-dev-0.1.4-4484b
- virtueel pakket geboden door libghc-data-inttrie-dev
- libghc-data-inttrie-doc (0.1.4-2)
- Lazy, infinite trie of integers library; documentation
- libghc-data-inttrie-prof (0.1.4-2+b2)
- Lazy, infinite trie of integers library; profiling libraries
- libghc-data-inttrie-prof-0.1.4-295da
- virtueel pakket geboden door libghc-data-inttrie-prof
- libghc-data-inttrie-prof-0.1.4-4484b
- virtueel pakket geboden door libghc-data-inttrie-prof
- libghc-data-memocombinators-dev (0.5.1-8+b2)
- Combinators for building memo tables library
- libghc-data-memocombinators-dev-0.5.1-296da
- virtueel pakket geboden door libghc-data-memocombinators-dev
- libghc-data-memocombinators-dev-0.5.1-64bc2
- virtueel pakket geboden door libghc-data-memocombinators-dev
- libghc-data-memocombinators-doc (0.5.1-8)
- Combinators for building memo tables library; documentation
- libghc-data-memocombinators-prof (0.5.1-8+b2)
- Combinators for building memo tables library; profiling libraries
- libghc-data-memocombinators-prof-0.5.1-296da
- virtueel pakket geboden door libghc-data-memocombinators-prof
- libghc-data-memocombinators-prof-0.5.1-64bc2
- virtueel pakket geboden door libghc-data-memocombinators-prof
- libghc-data-ordlist-dev (0.4.7.0-7+b2)
- Set and bag operations on ordered lists
- libghc-data-ordlist-dev-0.4.7.0-8942c
- virtueel pakket geboden door libghc-data-ordlist-dev
- libghc-data-ordlist-dev-0.4.7.0-d00a3
- virtueel pakket geboden door libghc-data-ordlist-dev
- libghc-data-ordlist-doc (0.4.7.0-7)
- Set and bag operations on ordered lists; documentation
- libghc-data-ordlist-prof (0.4.7.0-7+b2)
- Set and bag operations on ordered lists; profiling libraries
- libghc-data-ordlist-prof-0.4.7.0-8942c
- virtueel pakket geboden door libghc-data-ordlist-prof
- libghc-data-ordlist-prof-0.4.7.0-d00a3
- virtueel pakket geboden door libghc-data-ordlist-prof
- libghc-dav-dev (1.3.3-1+b1)
- RFC 4918 WebDAV support
- libghc-dav-dev-1.3.3-159cf
- virtueel pakket geboden door libghc-dav-dev
- libghc-dav-dev-1.3.3-1ed2d
- virtueel pakket geboden door libghc-dav-dev
- libghc-dav-dev-1.3.3-7fcae
- virtueel pakket geboden door libghc-dav-dev
- libghc-dav-dev-1.3.3-857e3
- virtueel pakket geboden door libghc-dav-dev
- libghc-dav-doc (1.3.3-1)
- RFC 4918 WebDAV support; documentation
- libghc-dav-prof (1.3.3-1+b1)
- RFC 4918 WebDAV support; profiling libraries
- libghc-dav-prof-1.3.3-159cf
- virtueel pakket geboden door libghc-dav-prof
- libghc-dav-prof-1.3.3-1ed2d
- virtueel pakket geboden door libghc-dav-prof
- libghc-dav-prof-1.3.3-7fcae
- virtueel pakket geboden door libghc-dav-prof
- libghc-dav-prof-1.3.3-857e3
- virtueel pakket geboden door libghc-dav-prof
- libghc-dbus-dev (1.0.1-3+b1)
- Haskell implementation of D-Bus
- libghc-dbus-dev-1.0.1-a6f37
- virtueel pakket geboden door libghc-dbus-dev
- libghc-dbus-dev-1.0.1-b7b68
- virtueel pakket geboden door libghc-dbus-dev
- libghc-dbus-dev-1.0.1-bc91e
- virtueel pakket geboden door libghc-dbus-dev
- libghc-dbus-dev-1.0.1-fc9ac
- virtueel pakket geboden door libghc-dbus-dev
- libghc-dbus-doc (1.0.1-3)
- Haskell implementation of D-Bus; documentation
- libghc-dbus-hslogger-dev (0.1.0.1-1)
- expose a dbus server to control hslogger
- libghc-dbus-hslogger-dev-0.1.0.1-1aac1
- virtueel pakket geboden door libghc-dbus-hslogger-dev
- libghc-dbus-hslogger-dev-0.1.0.1-3f597
- virtueel pakket geboden door libghc-dbus-hslogger-dev
- libghc-dbus-hslogger-dev-0.1.0.1-762c7
- virtueel pakket geboden door libghc-dbus-hslogger-dev
- libghc-dbus-hslogger-dev-0.1.0.1-f7a6c
- virtueel pakket geboden door libghc-dbus-hslogger-dev
- libghc-dbus-hslogger-doc (0.1.0.1-1)
- expose a dbus server to control hslogger; documentation
- libghc-dbus-hslogger-prof (0.1.0.1-1)
- expose a dbus server to control hslogger; profiling libraries
- libghc-dbus-hslogger-prof-0.1.0.1-1aac1
- virtueel pakket geboden door libghc-dbus-hslogger-prof
- libghc-dbus-hslogger-prof-0.1.0.1-3f597
- virtueel pakket geboden door libghc-dbus-hslogger-prof
- libghc-dbus-hslogger-prof-0.1.0.1-762c7
- virtueel pakket geboden door libghc-dbus-hslogger-prof
- libghc-dbus-hslogger-prof-0.1.0.1-f7a6c
- virtueel pakket geboden door libghc-dbus-hslogger-prof
- libghc-dbus-prof (1.0.1-3+b1)
- Haskell implementation of D-Bus; profiling libraries
- libghc-dbus-prof-1.0.1-a6f37
- virtueel pakket geboden door libghc-dbus-prof
- libghc-dbus-prof-1.0.1-b7b68
- virtueel pakket geboden door libghc-dbus-prof
- libghc-dbus-prof-1.0.1-bc91e
- virtueel pakket geboden door libghc-dbus-prof
- libghc-dbus-prof-1.0.1-fc9ac
- virtueel pakket geboden door libghc-dbus-prof
- libghc-debian-dev (3.93.2-5+b2 [amd64, arm64], 3.93.2-5+b1 [armhf, i386])
- Haskell library for working with the Debian package system
- libghc-debian-dev-3.93.2-51fc2
- virtueel pakket geboden door libghc-debian-dev
- libghc-debian-dev-3.93.2-995d2
- virtueel pakket geboden door libghc-debian-dev
- libghc-debian-dev-3.93.2-b2d7b
- virtueel pakket geboden door libghc-debian-dev
- libghc-debian-dev-3.93.2-f0b06
- virtueel pakket geboden door libghc-debian-dev
- libghc-debian-doc (3.93.2-5)
- Documentation for Debian package system library; documentation
- libghc-debian-prof (3.93.2-5+b2 [amd64, arm64], 3.93.2-5+b1 [armhf, i386])
- Profiling library for working with the Debian package system; profiling libraries
- libghc-debian-prof-3.93.2-51fc2
- virtueel pakket geboden door libghc-debian-prof
- libghc-debian-prof-3.93.2-995d2
- virtueel pakket geboden door libghc-debian-prof
- libghc-debian-prof-3.93.2-b2d7b
- virtueel pakket geboden door libghc-debian-prof
- libghc-debian-prof-3.93.2-f0b06
- virtueel pakket geboden door libghc-debian-prof
- libghc-decimal-dev (0.5.1-2+b2)
- decimal numbers with variable precision
- libghc-decimal-dev-0.5.1-6e057
- virtueel pakket geboden door libghc-decimal-dev
- libghc-decimal-dev-0.5.1-72f72
- virtueel pakket geboden door libghc-decimal-dev
- libghc-decimal-dev-0.5.1-787a6
- virtueel pakket geboden door libghc-decimal-dev
- libghc-decimal-dev-0.5.1-af90c
- virtueel pakket geboden door libghc-decimal-dev
- libghc-decimal-doc (0.5.1-2)
- decimal numbers with variable precision; documentation
- libghc-decimal-prof (0.5.1-2+b2)
- decimal numbers with variable precision; profiling libraries
- libghc-decimal-prof-0.5.1-6e057
- virtueel pakket geboden door libghc-decimal-prof
- libghc-decimal-prof-0.5.1-72f72
- virtueel pakket geboden door libghc-decimal-prof
- libghc-decimal-prof-0.5.1-787a6
- virtueel pakket geboden door libghc-decimal-prof
- libghc-decimal-prof-0.5.1-af90c
- virtueel pakket geboden door libghc-decimal-prof
- libghc-deepseq-dev (= 1.4.3.0)
- virtueel pakket geboden door ghc
- libghc-deepseq-dev-1.4.3.0-17e4f
- virtueel pakket geboden door ghc
- libghc-deepseq-dev-1.4.3.0-20ead
- virtueel pakket geboden door ghc
- libghc-deepseq-dev-1.4.3.0-2fffe
- virtueel pakket geboden door ghc
- libghc-deepseq-dev-1.4.3.0-b30f4
- virtueel pakket geboden door ghc
- libghc-deepseq-doc (= 1.4.3.0)
- virtueel pakket geboden door ghc-doc
- libghc-deepseq-generics-dev (0.2.0.0-5+b2)
- GHC.Generics-based Control.DeepSeq.rnf implementation
- libghc-deepseq-generics-dev-0.2.0.0-2032e
- virtueel pakket geboden door libghc-deepseq-generics-dev
- libghc-deepseq-generics-dev-0.2.0.0-7d432
- virtueel pakket geboden door libghc-deepseq-generics-dev
- libghc-deepseq-generics-dev-0.2.0.0-ae471
- virtueel pakket geboden door libghc-deepseq-generics-dev
- libghc-deepseq-generics-dev-0.2.0.0-e987e
- virtueel pakket geboden door libghc-deepseq-generics-dev
- libghc-deepseq-generics-doc (0.2.0.0-5)
- GHC.Generics-based Control.DeepSeq.rnf implementation; documentation
- libghc-deepseq-generics-prof (0.2.0.0-5+b2)
- GHC.Generics-based Control.DeepSeq.rnf implementation; profiling libraries
- libghc-deepseq-generics-prof-0.2.0.0-2032e
- virtueel pakket geboden door libghc-deepseq-generics-prof
- libghc-deepseq-generics-prof-0.2.0.0-7d432
- virtueel pakket geboden door libghc-deepseq-generics-prof
- libghc-deepseq-generics-prof-0.2.0.0-ae471
- virtueel pakket geboden door libghc-deepseq-generics-prof
- libghc-deepseq-generics-prof-0.2.0.0-e987e
- virtueel pakket geboden door libghc-deepseq-generics-prof
- libghc-deepseq-prof (= 1.4.3.0)
- virtueel pakket geboden door ghc-prof
- libghc-deepseq-prof-1.4.3.0-17e4f
- virtueel pakket geboden door ghc-prof
- libghc-deepseq-prof-1.4.3.0-20ead
- virtueel pakket geboden door ghc-prof
- libghc-deepseq-prof-1.4.3.0-2fffe
- virtueel pakket geboden door ghc-prof
- libghc-deepseq-prof-1.4.3.0-b30f4
- virtueel pakket geboden door ghc-prof
- libghc-dependent-map-dev (0.2.4.0-3+b2)
- dependent finite maps
- libghc-dependent-map-dev-0.2.4.0-0873b
- virtueel pakket geboden door libghc-dependent-map-dev
- libghc-dependent-map-dev-0.2.4.0-c4ab2
- virtueel pakket geboden door libghc-dependent-map-dev
- libghc-dependent-map-doc (0.2.4.0-3)
- dependent finite maps; documentation
- libghc-dependent-map-prof (0.2.4.0-3+b2)
- dependent finite maps; profiling libraries
- libghc-dependent-map-prof-0.2.4.0-0873b
- virtueel pakket geboden door libghc-dependent-map-prof
- libghc-dependent-map-prof-0.2.4.0-c4ab2
- virtueel pakket geboden door libghc-dependent-map-prof
- libghc-dependent-sum-dev (0.4-3+b2)
- Dependent sum type
- libghc-dependent-sum-dev-0.4-61e50
- virtueel pakket geboden door libghc-dependent-sum-dev
- libghc-dependent-sum-dev-0.4-64862
- virtueel pakket geboden door libghc-dependent-sum-dev
- libghc-dependent-sum-doc (0.4-3)
- Dependent sum type; documentation
- libghc-dependent-sum-prof (0.4-3+b2)
- Dependent sum type; profiling libraries
- libghc-dependent-sum-prof-0.4-61e50
- virtueel pakket geboden door libghc-dependent-sum-prof
- libghc-dependent-sum-prof-0.4-64862
- virtueel pakket geboden door libghc-dependent-sum-prof
- libghc-dependent-sum-template-dev (0.0.0.6-3+b2)
- Automatically generate instances of classes in dependent-sum package
- libghc-dependent-sum-template-dev-0.0.0.6-0fa3a
- virtueel pakket geboden door libghc-dependent-sum-template-dev
- libghc-dependent-sum-template-dev-0.0.0.6-73806
- virtueel pakket geboden door libghc-dependent-sum-template-dev
- libghc-dependent-sum-template-dev-0.0.0.6-f3eef
- virtueel pakket geboden door libghc-dependent-sum-template-dev
- libghc-dependent-sum-template-doc (0.0.0.6-3)
- Automatically generate instances of classes in dependent-sum package; documentation
- libghc-dependent-sum-template-prof (0.0.0.6-3+b2)
- Automatically generate instances of classes in dependent-sum package; profiling libraries
- libghc-dependent-sum-template-prof-0.0.0.6-0fa3a
- virtueel pakket geboden door libghc-dependent-sum-template-prof
- libghc-dependent-sum-template-prof-0.0.0.6-73806
- virtueel pakket geboden door libghc-dependent-sum-template-prof
- libghc-dependent-sum-template-prof-0.0.0.6-f3eef
- virtueel pakket geboden door libghc-dependent-sum-template-prof
- libghc-derive-dev (2.6.4-2+b2)
- Deriving instances for data types in Haskell
- libghc-derive-dev-2.6.4-4d019
- virtueel pakket geboden door libghc-derive-dev
- libghc-derive-dev-2.6.4-7f3d4
- virtueel pakket geboden door libghc-derive-dev
- libghc-derive-dev-2.6.4-b11c6
- virtueel pakket geboden door libghc-derive-dev
- libghc-derive-dev-2.6.4-de631
- virtueel pakket geboden door libghc-derive-dev
- libghc-derive-doc (2.6.4-2)
- Deriving instances for data types in Haskell; documentation
- libghc-derive-prof (2.6.4-2+b2)
- Deriving instances for data types in Haskell; profiling libraries
- libghc-derive-prof-2.6.4-4d019
- virtueel pakket geboden door libghc-derive-prof
- libghc-derive-prof-2.6.4-7f3d4
- virtueel pakket geboden door libghc-derive-prof
- libghc-derive-prof-2.6.4-b11c6
- virtueel pakket geboden door libghc-derive-prof
- libghc-derive-prof-2.6.4-de631
- virtueel pakket geboden door libghc-derive-prof
- libghc-deriving-compat-dev (0.5.1-1+b2)
- backports of GHC deriving extensions
- libghc-deriving-compat-dev-0.5.1-bd589
- virtueel pakket geboden door libghc-deriving-compat-dev
- libghc-deriving-compat-dev-0.5.1-e56c9
- virtueel pakket geboden door libghc-deriving-compat-dev
- libghc-deriving-compat-doc (0.5.1-1)
- backports of GHC deriving extensions; documentation
- libghc-deriving-compat-prof (0.5.1-1+b2)
- backports of GHC deriving extensions; profiling libraries
- libghc-deriving-compat-prof-0.5.1-bd589
- virtueel pakket geboden door libghc-deriving-compat-prof
- libghc-deriving-compat-prof-0.5.1-e56c9
- virtueel pakket geboden door libghc-deriving-compat-prof
- libghc-descriptive-dev (0.9.5-2+b1)
- Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.
- libghc-descriptive-dev-0.9.5-3efaf
- virtueel pakket geboden door libghc-descriptive-dev
- libghc-descriptive-dev-0.9.5-66e6b
- virtueel pakket geboden door libghc-descriptive-dev
- libghc-descriptive-dev-0.9.5-9ae85
- virtueel pakket geboden door libghc-descriptive-dev
- libghc-descriptive-dev-0.9.5-e347d
- virtueel pakket geboden door libghc-descriptive-dev
- libghc-descriptive-doc (0.9.5-2)
- Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; documentation
- libghc-descriptive-prof (0.9.5-2+b1)
- Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; profiling libraries
- libghc-descriptive-prof-0.9.5-3efaf
- virtueel pakket geboden door libghc-descriptive-prof
- libghc-descriptive-prof-0.9.5-66e6b
- virtueel pakket geboden door libghc-descriptive-prof
- libghc-descriptive-prof-0.9.5-9ae85
- virtueel pakket geboden door libghc-descriptive-prof
- libghc-descriptive-prof-0.9.5-e347d
- virtueel pakket geboden door libghc-descriptive-prof
- libghc-diagrams-cairo-dev (1.4.1-3+b1)
- Cairo backend for the diagrams drawing EDSL
- libghc-diagrams-cairo-dev-1.4.1-359cb
- virtueel pakket geboden door libghc-diagrams-cairo-dev
- libghc-diagrams-cairo-dev-1.4.1-43368
- virtueel pakket geboden door libghc-diagrams-cairo-dev
- libghc-diagrams-cairo-dev-1.4.1-a029b
- virtueel pakket geboden door libghc-diagrams-cairo-dev
- libghc-diagrams-cairo-dev-1.4.1-e5806
- virtueel pakket geboden door libghc-diagrams-cairo-dev
- libghc-diagrams-cairo-doc (1.4.1-3)
- Cairo backend for the diagrams drawing EDSL; documentation
- libghc-diagrams-cairo-prof (1.4.1-3+b1)
- Cairo backend for the diagrams drawing EDSL; profiling libraries
- libghc-diagrams-cairo-prof-1.4.1-359cb
- virtueel pakket geboden door libghc-diagrams-cairo-prof
- libghc-diagrams-cairo-prof-1.4.1-43368
- virtueel pakket geboden door libghc-diagrams-cairo-prof
- libghc-diagrams-cairo-prof-1.4.1-a029b
- virtueel pakket geboden door libghc-diagrams-cairo-prof
- libghc-diagrams-cairo-prof-1.4.1-e5806
- virtueel pakket geboden door libghc-diagrams-cairo-prof
- libghc-diagrams-core-dev (1.4.1.1-2+b1)
- Core libraries for diagrams EDSL
- libghc-diagrams-core-dev-1.4.1.1-11412
- virtueel pakket geboden door libghc-diagrams-core-dev
- libghc-diagrams-core-dev-1.4.1.1-a8921
- virtueel pakket geboden door libghc-diagrams-core-dev
- libghc-diagrams-core-dev-1.4.1.1-cc169
- virtueel pakket geboden door libghc-diagrams-core-dev
- libghc-diagrams-core-dev-1.4.1.1-e47c6
- virtueel pakket geboden door libghc-diagrams-core-dev
- libghc-diagrams-core-doc (1.4.1.1-2)
- Core libraries for diagrams EDSL; documentation
- libghc-diagrams-core-prof (1.4.1.1-2+b1)
- Core libraries for diagrams EDSL; profiling libraries
- libghc-diagrams-core-prof-1.4.1.1-11412
- virtueel pakket geboden door libghc-diagrams-core-prof
- libghc-diagrams-core-prof-1.4.1.1-a8921
- virtueel pakket geboden door libghc-diagrams-core-prof
- libghc-diagrams-core-prof-1.4.1.1-cc169
- virtueel pakket geboden door libghc-diagrams-core-prof
- libghc-diagrams-core-prof-1.4.1.1-e47c6
- virtueel pakket geboden door libghc-diagrams-core-prof
- libghc-diagrams-dev (1.4-5)
- embedded domain-specific language for declarative vector graphics
- libghc-diagrams-doc (1.4-5)
- Embedded domain-specific language for declarative vector graphics
- libghc-diagrams-gtk-dev (1.4-5+b1)
- Backend for rendering diagrams directly to GTK windows
- libghc-diagrams-gtk-dev-1.4-26bc8
- virtueel pakket geboden door libghc-diagrams-gtk-dev
- libghc-diagrams-gtk-dev-1.4-5aad4
- virtueel pakket geboden door libghc-diagrams-gtk-dev
- libghc-diagrams-gtk-dev-1.4-62d10
- virtueel pakket geboden door libghc-diagrams-gtk-dev
- libghc-diagrams-gtk-dev-1.4-84500
- virtueel pakket geboden door libghc-diagrams-gtk-dev
- libghc-diagrams-gtk-doc (1.4-5)
- Backend for rendering diagrams directly to GTK windows; documentation
- libghc-diagrams-gtk-prof (1.4-5+b1)
- Backend for rendering diagrams directly to GTK windows; profiling libraries
- libghc-diagrams-gtk-prof-1.4-26bc8
- virtueel pakket geboden door libghc-diagrams-gtk-prof
- libghc-diagrams-gtk-prof-1.4-5aad4
- virtueel pakket geboden door libghc-diagrams-gtk-prof
- libghc-diagrams-gtk-prof-1.4-62d10
- virtueel pakket geboden door libghc-diagrams-gtk-prof
- libghc-diagrams-gtk-prof-1.4-84500
- virtueel pakket geboden door libghc-diagrams-gtk-prof
- libghc-diagrams-lib-dev (1.4.2.3-2+b1)
- Embedded domain-specific language for declarative graphics
- libghc-diagrams-lib-dev-1.4.2.3-4cb76
- virtueel pakket geboden door libghc-diagrams-lib-dev
- libghc-diagrams-lib-dev-1.4.2.3-85111
- virtueel pakket geboden door libghc-diagrams-lib-dev
- libghc-diagrams-lib-dev-1.4.2.3-c9720
- virtueel pakket geboden door libghc-diagrams-lib-dev
- libghc-diagrams-lib-dev-1.4.2.3-fa0aa
- virtueel pakket geboden door libghc-diagrams-lib-dev
- libghc-diagrams-lib-doc (1.4.2.3-2)
- Embedded domain-specific language for declarative graphics; documentation
- libghc-diagrams-lib-prof (1.4.2.3-2+b1)
- Embedded domain-specific language for declarative graphics; profiling libraries
- libghc-diagrams-lib-prof-1.4.2.3-4cb76
- virtueel pakket geboden door libghc-diagrams-lib-prof
- libghc-diagrams-lib-prof-1.4.2.3-85111
- virtueel pakket geboden door libghc-diagrams-lib-prof
- libghc-diagrams-lib-prof-1.4.2.3-c9720
- virtueel pakket geboden door libghc-diagrams-lib-prof
- libghc-diagrams-lib-prof-1.4.2.3-fa0aa
- virtueel pakket geboden door libghc-diagrams-lib-prof
- libghc-diagrams-prof (1.4-5)
- Embedded domain-specific language for declarative vector graphics
- libghc-diagrams-solve-dev (0.1.1-4+b2)
- pure Haskell solver routines used by diagrams
- libghc-diagrams-solve-dev-0.1.1-259aa
- virtueel pakket geboden door libghc-diagrams-solve-dev
- libghc-diagrams-solve-dev-0.1.1-2e8c5
- virtueel pakket geboden door libghc-diagrams-solve-dev
- libghc-diagrams-solve-doc (0.1.1-4)
- pure Haskell solver routines used by diagrams; documentation
- libghc-diagrams-solve-prof (0.1.1-4+b2)
- pure Haskell solver routines used by diagrams; profiling libraries
- libghc-diagrams-solve-prof-0.1.1-259aa
- virtueel pakket geboden door libghc-diagrams-solve-prof
- libghc-diagrams-solve-prof-0.1.1-2e8c5
- virtueel pakket geboden door libghc-diagrams-solve-prof
- libghc-diagrams-svg-dev (1.4.2-4+b1)
- SVG backend for diagrams drawing EDSL
- libghc-diagrams-svg-dev-1.4.2-14b2a
- virtueel pakket geboden door libghc-diagrams-svg-dev
- libghc-diagrams-svg-dev-1.4.2-64448
- virtueel pakket geboden door libghc-diagrams-svg-dev
- libghc-diagrams-svg-dev-1.4.2-9a8b0
- virtueel pakket geboden door libghc-diagrams-svg-dev
- libghc-diagrams-svg-dev-1.4.2-b123d
- virtueel pakket geboden door libghc-diagrams-svg-dev
- libghc-diagrams-svg-doc (1.4.2-4)
- SVG backend for diagrams drawing EDSL; documentation
- libghc-diagrams-svg-prof (1.4.2-4+b1)
- SVG backend for diagrams drawing EDSL; profiling libraries
- libghc-diagrams-svg-prof-1.4.2-14b2a
- virtueel pakket geboden door libghc-diagrams-svg-prof
- libghc-diagrams-svg-prof-1.4.2-64448
- virtueel pakket geboden door libghc-diagrams-svg-prof
- libghc-diagrams-svg-prof-1.4.2-9a8b0
- virtueel pakket geboden door libghc-diagrams-svg-prof
- libghc-diagrams-svg-prof-1.4.2-b123d
- virtueel pakket geboden door libghc-diagrams-svg-prof
- libghc-dice-dev (0.1-7+b1)
- simplistic D&D style dice-rolling system
- libghc-dice-dev-0.1-00ae0
- virtueel pakket geboden door libghc-dice-dev
- libghc-dice-dev-0.1-1375e
- virtueel pakket geboden door libghc-dice-dev
- libghc-dice-dev-0.1-9eefa
- virtueel pakket geboden door libghc-dice-dev
- libghc-dice-dev-0.1-c6ad8
- virtueel pakket geboden door libghc-dice-dev
- libghc-dice-doc (0.1-7)
- simplistic D&D style dice-rolling system; documentation
- libghc-dice-entropy-conduit-dev (1.0.0.1-6+b2 [amd64, arm64], 1.0.0.1-6+b1 [armhf, i386])
- secure n-sided dice rolls and random sampling
- libghc-dice-entropy-conduit-dev-1.0.0.1-8c160
- virtueel pakket geboden door libghc-dice-entropy-conduit-dev
- libghc-dice-entropy-conduit-dev-1.0.0.1-9557c
- virtueel pakket geboden door libghc-dice-entropy-conduit-dev
- libghc-dice-entropy-conduit-dev-1.0.0.1-bd818
- virtueel pakket geboden door libghc-dice-entropy-conduit-dev
- libghc-dice-entropy-conduit-dev-1.0.0.1-ed62b
- virtueel pakket geboden door libghc-dice-entropy-conduit-dev
- libghc-dice-entropy-conduit-doc (1.0.0.1-6)
- secure n-sided dice rolls and random sampling; documentation
- libghc-dice-entropy-conduit-prof (1.0.0.1-6+b2 [amd64, arm64], 1.0.0.1-6+b1 [armhf, i386])
- secure n-sided dice rolls and random sampling; profiling libraries
- libghc-dice-entropy-conduit-prof-1.0.0.1-8c160
- virtueel pakket geboden door libghc-dice-entropy-conduit-prof
- libghc-dice-entropy-conduit-prof-1.0.0.1-9557c
- virtueel pakket geboden door libghc-dice-entropy-conduit-prof
- libghc-dice-entropy-conduit-prof-1.0.0.1-bd818
- virtueel pakket geboden door libghc-dice-entropy-conduit-prof
- libghc-dice-entropy-conduit-prof-1.0.0.1-ed62b
- virtueel pakket geboden door libghc-dice-entropy-conduit-prof
- libghc-dice-prof (0.1-7+b1)
- simplistic D&D style dice-rolling system; profiling libraries
- libghc-dice-prof-0.1-00ae0
- virtueel pakket geboden door libghc-dice-prof
- libghc-dice-prof-0.1-1375e
- virtueel pakket geboden door libghc-dice-prof
- libghc-dice-prof-0.1-9eefa
- virtueel pakket geboden door libghc-dice-prof
- libghc-dice-prof-0.1-c6ad8
- virtueel pakket geboden door libghc-dice-prof
- libghc-diff-dev (0.3.4-4+b2)
- O(ND) diff algorithm library
- libghc-diff-dev-0.3.4-2b4a2
- virtueel pakket geboden door libghc-diff-dev
- libghc-diff-dev-0.3.4-334b4
- virtueel pakket geboden door libghc-diff-dev
- libghc-diff-dev-0.3.4-b2196
- virtueel pakket geboden door libghc-diff-dev
- libghc-diff-dev-0.3.4-ebf20
- virtueel pakket geboden door libghc-diff-dev
- libghc-diff-doc (0.3.4-4)
- O(ND) diff algorithm library; documentation
- libghc-diff-prof (0.3.4-4+b2)
- O(ND) diff algorithm library; profiling libraries
- libghc-diff-prof-0.3.4-2b4a2
- virtueel pakket geboden door libghc-diff-prof
- libghc-diff-prof-0.3.4-334b4
- virtueel pakket geboden door libghc-diff-prof
- libghc-diff-prof-0.3.4-b2196
- virtueel pakket geboden door libghc-diff-prof
- libghc-diff-prof-0.3.4-ebf20
- virtueel pakket geboden door libghc-diff-prof
- libghc-digest-dev (0.0.1.2-9+b2)
- efficient cryptographic hash implementations for bytestrings
- libghc-digest-dev-0.0.1.2-a3dd2
- virtueel pakket geboden door libghc-digest-dev
- libghc-digest-dev-0.0.1.2-b1e19
- virtueel pakket geboden door libghc-digest-dev
- libghc-digest-dev-0.0.1.2-e7150
- virtueel pakket geboden door libghc-digest-dev
- libghc-digest-dev-0.0.1.2-fb957
- virtueel pakket geboden door libghc-digest-dev
- libghc-digest-doc (0.0.1.2-9)
- efficient cryptographic hash implementations bytestrings; documentation
- libghc-digest-prof (0.0.1.2-9+b2)
- efficient cryptographic hash implementations bytestrings; profiling libraries
- libghc-digest-prof-0.0.1.2-a3dd2
- virtueel pakket geboden door libghc-digest-prof
- libghc-digest-prof-0.0.1.2-b1e19
- virtueel pakket geboden door libghc-digest-prof
- libghc-digest-prof-0.0.1.2-e7150
- virtueel pakket geboden door libghc-digest-prof
- libghc-digest-prof-0.0.1.2-fb957
- virtueel pakket geboden door libghc-digest-prof
- libghc-dimensional-dev (1.1-2+b3 [amd64, arm64], 1.1-2+b2 [armhf], 1.1-2+b1 [i386])
- statically checked physical dimensions
- libghc-dimensional-dev-1.1-17b02
- virtueel pakket geboden door libghc-dimensional-dev
- libghc-dimensional-dev-1.1-4407e
- virtueel pakket geboden door libghc-dimensional-dev
- libghc-dimensional-dev-1.1-5565b
- virtueel pakket geboden door libghc-dimensional-dev
- libghc-dimensional-dev-1.1-f1f1e
- virtueel pakket geboden door libghc-dimensional-dev
- libghc-dimensional-doc (1.1-2)
- statically checked physical dimensions; documentation
- libghc-dimensional-prof (1.1-2+b3 [amd64, arm64], 1.1-2+b2 [armhf], 1.1-2+b1 [i386])
- statically checked physical dimensions; profiling libraries
- libghc-dimensional-prof-1.1-17b02
- virtueel pakket geboden door libghc-dimensional-prof
- libghc-dimensional-prof-1.1-4407e
- virtueel pakket geboden door libghc-dimensional-prof
- libghc-dimensional-prof-1.1-5565b
- virtueel pakket geboden door libghc-dimensional-prof
- libghc-dimensional-prof-1.1-f1f1e
- virtueel pakket geboden door libghc-dimensional-prof
- libghc-directory-dev (= 1.3.1.5)
- virtueel pakket geboden door ghc
- libghc-directory-dev-1.3.1.5-043d2
- virtueel pakket geboden door ghc
- libghc-directory-dev-1.3.1.5-30429
- virtueel pakket geboden door ghc
- libghc-directory-dev-1.3.1.5-a6230
- virtueel pakket geboden door ghc
- libghc-directory-dev-1.3.1.5-a79c4
- virtueel pakket geboden door ghc
- libghc-directory-doc (= 1.3.1.5)
- virtueel pakket geboden door ghc-doc
- libghc-directory-prof (= 1.3.1.5)
- virtueel pakket geboden door ghc-prof
- libghc-directory-prof-1.3.1.5-043d2
- virtueel pakket geboden door ghc-prof
- libghc-directory-prof-1.3.1.5-30429
- virtueel pakket geboden door ghc-prof
- libghc-directory-prof-1.3.1.5-a6230
- virtueel pakket geboden door ghc-prof
- libghc-directory-prof-1.3.1.5-a79c4
- virtueel pakket geboden door ghc-prof
- libghc-directory-tree-dev (0.12.1-5+b2)
- simple directory-like tree datatype, with IO functions
- libghc-directory-tree-dev-0.12.1-a7fb5
- virtueel pakket geboden door libghc-directory-tree-dev
- libghc-directory-tree-dev-0.12.1-b8c16
- virtueel pakket geboden door libghc-directory-tree-dev
- libghc-directory-tree-dev-0.12.1-d4699
- virtueel pakket geboden door libghc-directory-tree-dev
- libghc-directory-tree-dev-0.12.1-d638e
- virtueel pakket geboden door libghc-directory-tree-dev
- libghc-directory-tree-doc (0.12.1-5)
- simple directory-like tree datatype, with IO functions; documentation
- libghc-directory-tree-prof (0.12.1-5+b2)
- simple directory-like tree datatype, with IO functions; profiling libraries
- libghc-directory-tree-prof-0.12.1-a7fb5
- virtueel pakket geboden door libghc-directory-tree-prof
- libghc-directory-tree-prof-0.12.1-b8c16
- virtueel pakket geboden door libghc-directory-tree-prof
- libghc-directory-tree-prof-0.12.1-d4699
- virtueel pakket geboden door libghc-directory-tree-prof
- libghc-directory-tree-prof-0.12.1-d638e
- virtueel pakket geboden door libghc-directory-tree-prof
- libghc-disk-free-space-dev (0.1.0.1-5+b2)
- retrieve information about disk space usage
- libghc-disk-free-space-dev-0.1.0.1-2dff0
- virtueel pakket geboden door libghc-disk-free-space-dev
- libghc-disk-free-space-dev-0.1.0.1-2f80e
- virtueel pakket geboden door libghc-disk-free-space-dev
- libghc-disk-free-space-dev-0.1.0.1-e0439
- virtueel pakket geboden door libghc-disk-free-space-dev
- libghc-disk-free-space-dev-0.1.0.1-f56b3
- virtueel pakket geboden door libghc-disk-free-space-dev
- libghc-disk-free-space-doc (0.1.0.1-5)
- retrieve information about disk space usage; documentation
- libghc-disk-free-space-prof (0.1.0.1-5+b2)
- retrieve information about disk space usage; profiling libraries
- libghc-disk-free-space-prof-0.1.0.1-2dff0
- virtueel pakket geboden door libghc-disk-free-space-prof
- libghc-disk-free-space-prof-0.1.0.1-2f80e
- virtueel pakket geboden door libghc-disk-free-space-prof
- libghc-disk-free-space-prof-0.1.0.1-e0439
- virtueel pakket geboden door libghc-disk-free-space-prof
- libghc-disk-free-space-prof-0.1.0.1-f56b3
- virtueel pakket geboden door libghc-disk-free-space-prof
- libghc-distributive-dev (0.5.3-5+b1)
- distributive functors
- libghc-distributive-dev-0.5.3-380a6
- virtueel pakket geboden door libghc-distributive-dev
- libghc-distributive-dev-0.5.3-3fabb
- virtueel pakket geboden door libghc-distributive-dev
- libghc-distributive-dev-0.5.3-f2540
- virtueel pakket geboden door libghc-distributive-dev
- libghc-distributive-dev-0.5.3-f58b6
- virtueel pakket geboden door libghc-distributive-dev
- libghc-distributive-doc (0.5.3-5)
- distributive functors; documentation
- libghc-distributive-prof (0.5.3-5+b1)
- distributive functors; profiling libraries
- libghc-distributive-prof-0.5.3-380a6
- virtueel pakket geboden door libghc-distributive-prof
- libghc-distributive-prof-0.5.3-3fabb
- virtueel pakket geboden door libghc-distributive-prof
- libghc-distributive-prof-0.5.3-f2540
- virtueel pakket geboden door libghc-distributive-prof
- libghc-distributive-prof-0.5.3-f58b6
- virtueel pakket geboden door libghc-distributive-prof
- libghc-djinn-ghc-dev (0.0.2.3-9+b3)
- generate Haskell code from a type (bridge to GHC API)
- libghc-djinn-ghc-dev-0.0.2.3-33e9d
- virtueel pakket geboden door libghc-djinn-ghc-dev
- libghc-djinn-ghc-dev-0.0.2.3-3aa45
- virtueel pakket geboden door libghc-djinn-ghc-dev
- libghc-djinn-ghc-dev-0.0.2.3-4cc3c
- virtueel pakket geboden door libghc-djinn-ghc-dev
- libghc-djinn-ghc-dev-0.0.2.3-a68b2
- virtueel pakket geboden door libghc-djinn-ghc-dev
- libghc-djinn-ghc-doc (0.0.2.3-9)
- generate Haskell code from a type (bridge to GHC API); documentation
- libghc-djinn-ghc-prof (0.0.2.3-9+b3)
- generate Haskell code from a type (bridge to GHC API); profiling libraries
- libghc-djinn-ghc-prof-0.0.2.3-33e9d
- virtueel pakket geboden door libghc-djinn-ghc-prof
- libghc-djinn-ghc-prof-0.0.2.3-3aa45
- virtueel pakket geboden door libghc-djinn-ghc-prof
- libghc-djinn-ghc-prof-0.0.2.3-4cc3c
- virtueel pakket geboden door libghc-djinn-ghc-prof
- libghc-djinn-ghc-prof-0.0.2.3-a68b2
- virtueel pakket geboden door libghc-djinn-ghc-prof
- libghc-djinn-lib-dev (0.0.1.3-3+b2)
- Generate Haskell code from a type
- libghc-djinn-lib-dev-0.0.1.3-1b315
- virtueel pakket geboden door libghc-djinn-lib-dev
- libghc-djinn-lib-dev-0.0.1.3-7763b
- virtueel pakket geboden door libghc-djinn-lib-dev
- libghc-djinn-lib-dev-0.0.1.3-9f8d8
- virtueel pakket geboden door libghc-djinn-lib-dev
- libghc-djinn-lib-dev-0.0.1.3-ad2ca
- virtueel pakket geboden door libghc-djinn-lib-dev
- libghc-djinn-lib-doc (0.0.1.3-3)
- Generate Haskell code from a type; documentation
- libghc-djinn-lib-prof (0.0.1.3-3+b2)
- Generate Haskell code from a type; profiling libraries
- libghc-djinn-lib-prof-0.0.1.3-1b315
- virtueel pakket geboden door libghc-djinn-lib-prof
- libghc-djinn-lib-prof-0.0.1.3-7763b
- virtueel pakket geboden door libghc-djinn-lib-prof
- libghc-djinn-lib-prof-0.0.1.3-9f8d8
- virtueel pakket geboden door libghc-djinn-lib-prof
- libghc-djinn-lib-prof-0.0.1.3-ad2ca
- virtueel pakket geboden door libghc-djinn-lib-prof
- libghc-dlist-dev (0.8.0.4-3+b2)
- Haskell library for Differences lists
- libghc-dlist-dev-0.8.0.4-08cc1
- virtueel pakket geboden door libghc-dlist-dev
- libghc-dlist-dev-0.8.0.4-2988c
- virtueel pakket geboden door libghc-dlist-dev
- libghc-dlist-dev-0.8.0.4-d4d51
- virtueel pakket geboden door libghc-dlist-dev
- libghc-dlist-dev-0.8.0.4-fb4d5
- virtueel pakket geboden door libghc-dlist-dev
- libghc-dlist-doc (0.8.0.4-3)
- Haskell library for Differences lists; documentation
- libghc-dlist-instances-dev (0.1.1.1-5+b2)
- difference lists instances
- libghc-dlist-instances-dev-0.1.1.1-59ed0
- virtueel pakket geboden door libghc-dlist-instances-dev
- libghc-dlist-instances-dev-0.1.1.1-dfe11
- virtueel pakket geboden door libghc-dlist-instances-dev
- libghc-dlist-instances-doc (0.1.1.1-5)
- difference lists instances; documentation
- libghc-dlist-instances-prof (0.1.1.1-5+b2)
- difference lists instances; profiling libraries
- libghc-dlist-instances-prof-0.1.1.1-59ed0
- virtueel pakket geboden door libghc-dlist-instances-prof
- libghc-dlist-instances-prof-0.1.1.1-dfe11
- virtueel pakket geboden door libghc-dlist-instances-prof
- libghc-dlist-prof (0.8.0.4-3+b2)
- Haskell library for Differences lists; profiling libraries
- libghc-dlist-prof-0.8.0.4-08cc1
- virtueel pakket geboden door libghc-dlist-prof
- libghc-dlist-prof-0.8.0.4-2988c
- virtueel pakket geboden door libghc-dlist-prof
- libghc-dlist-prof-0.8.0.4-d4d51
- virtueel pakket geboden door libghc-dlist-prof
- libghc-dlist-prof-0.8.0.4-fb4d5
- virtueel pakket geboden door libghc-dlist-prof
- libghc-dns-dev (3.0.4-3+b1)
- pure Haskell DNS library
- libghc-dns-dev-3.0.4-16895
- virtueel pakket geboden door libghc-dns-dev
- libghc-dns-dev-3.0.4-22133
- virtueel pakket geboden door libghc-dns-dev
- libghc-dns-dev-3.0.4-246db
- virtueel pakket geboden door libghc-dns-dev
- libghc-dns-dev-3.0.4-c8c5c
- virtueel pakket geboden door libghc-dns-dev
- libghc-dns-doc (3.0.4-3)
- pure Haskell DNS library; documentation
- libghc-dns-prof (3.0.4-3+b1)
- pure Haskell DNS library; profiling libraries
- libghc-dns-prof-3.0.4-16895
- virtueel pakket geboden door libghc-dns-prof
- libghc-dns-prof-3.0.4-22133
- virtueel pakket geboden door libghc-dns-prof
- libghc-dns-prof-3.0.4-246db
- virtueel pakket geboden door libghc-dns-prof
- libghc-dns-prof-3.0.4-c8c5c
- virtueel pakket geboden door libghc-dns-prof
- libghc-doctemplates-dev (0.2.2.1-4+b1)
- Pandoc-style document templates
- libghc-doctemplates-dev-0.2.2.1-45b6e
- virtueel pakket geboden door libghc-doctemplates-dev
- libghc-doctemplates-dev-0.2.2.1-4dab2
- virtueel pakket geboden door libghc-doctemplates-dev
- libghc-doctemplates-dev-0.2.2.1-bd68c
- virtueel pakket geboden door libghc-doctemplates-dev
- libghc-doctemplates-dev-0.2.2.1-e7eea
- virtueel pakket geboden door libghc-doctemplates-dev
- libghc-doctemplates-doc (0.2.2.1-4)
- Pandoc-style document templates; documentation
- libghc-doctemplates-prof (0.2.2.1-4+b1)
- Pandoc-style document templates; profiling libraries
- libghc-doctemplates-prof-0.2.2.1-45b6e
- virtueel pakket geboden door libghc-doctemplates-prof
- libghc-doctemplates-prof-0.2.2.1-4dab2
- virtueel pakket geboden door libghc-doctemplates-prof
- libghc-doctemplates-prof-0.2.2.1-bd68c
- virtueel pakket geboden door libghc-doctemplates-prof
- libghc-doctemplates-prof-0.2.2.1-e7eea
- virtueel pakket geboden door libghc-doctemplates-prof
- libghc-doctest-dev (0.16.0-1+b3)
- test interactive Haskell examples
- libghc-doctest-dev-0.16.0-bd6fc
- virtueel pakket geboden door libghc-doctest-dev
- libghc-doctest-dev-0.16.0-daf6d
- virtueel pakket geboden door libghc-doctest-dev
- libghc-doctest-dev-0.16.0-fbd31
- virtueel pakket geboden door libghc-doctest-dev
- libghc-doctest-dev-0.16.0-fd4bb
- virtueel pakket geboden door libghc-doctest-dev
- libghc-doctest-doc (0.16.0-1)
- test interactive Haskell examples; documentation
- libghc-doctest-prof (0.16.0-1+b3)
- test interactive Haskell examples; profiling libraries
- libghc-doctest-prof-0.16.0-bd6fc
- virtueel pakket geboden door libghc-doctest-prof
- libghc-doctest-prof-0.16.0-daf6d
- virtueel pakket geboden door libghc-doctest-prof
- libghc-doctest-prof-0.16.0-fbd31
- virtueel pakket geboden door libghc-doctest-prof
- libghc-doctest-prof-0.16.0-fd4bb
- virtueel pakket geboden door libghc-doctest-prof
- libghc-double-conversion-dev (2.0.2.0+ds1-4+b2)
- fast conversion between double precision floating point and text
- libghc-double-conversion-dev-2.0.2.0-3fd28
- virtueel pakket geboden door libghc-double-conversion-dev
- libghc-double-conversion-dev-2.0.2.0-3ff36
- virtueel pakket geboden door libghc-double-conversion-dev
- libghc-double-conversion-dev-2.0.2.0-6fe86
- virtueel pakket geboden door libghc-double-conversion-dev
- libghc-double-conversion-dev-2.0.2.0-ad083
- virtueel pakket geboden door libghc-double-conversion-dev
- libghc-double-conversion-doc (2.0.2.0+ds1-4)
- fast conversion between double precision floating point and text; documentation
- libghc-double-conversion-prof (2.0.2.0+ds1-4+b2)
- fast conversion between double precision floating point and text; profiling libraries
- libghc-double-conversion-prof-2.0.2.0-3fd28
- virtueel pakket geboden door libghc-double-conversion-prof
- libghc-double-conversion-prof-2.0.2.0-3ff36
- virtueel pakket geboden door libghc-double-conversion-prof
- libghc-double-conversion-prof-2.0.2.0-6fe86
- virtueel pakket geboden door libghc-double-conversion-prof
- libghc-double-conversion-prof-2.0.2.0-ad083
- virtueel pakket geboden door libghc-double-conversion-prof
- libghc-drbg-dev (0.5.5-6+b1)
- Cryptographically secure RNGs
- libghc-drbg-dev-0.5.5-3df75
- virtueel pakket geboden door libghc-drbg-dev
- libghc-drbg-dev-0.5.5-70807
- virtueel pakket geboden door libghc-drbg-dev
- libghc-drbg-dev-0.5.5-9ca62
- virtueel pakket geboden door libghc-drbg-dev
- libghc-drbg-dev-0.5.5-e6358
- virtueel pakket geboden door libghc-drbg-dev
- libghc-drbg-doc (0.5.5-6)
- Cryptographically secure RNGs; documentation
- libghc-drbg-prof (0.5.5-6+b1)
- Cryptographically secure RNGs; profiling libraries
- libghc-drbg-prof-0.5.5-3df75
- virtueel pakket geboden door libghc-drbg-prof
- libghc-drbg-prof-0.5.5-70807
- virtueel pakket geboden door libghc-drbg-prof
- libghc-drbg-prof-0.5.5-9ca62
- virtueel pakket geboden door libghc-drbg-prof
- libghc-drbg-prof-0.5.5-e6358
- virtueel pakket geboden door libghc-drbg-prof
- libghc-dual-tree-dev (0.2.2-2+b1)
- Rose trees with cached and accumulating monoidal annotations
- libghc-dual-tree-dev-0.2.2-a155a
- virtueel pakket geboden door libghc-dual-tree-dev
- libghc-dual-tree-dev-0.2.2-afc5b
- virtueel pakket geboden door libghc-dual-tree-dev
- libghc-dual-tree-dev-0.2.2-e3a0a
- virtueel pakket geboden door libghc-dual-tree-dev
- libghc-dual-tree-doc (0.2.2-2)
- Rose trees with cached and accumulating monoidal annotations; documentation
- libghc-dual-tree-prof (0.2.2-2+b1)
- Rose trees with cached and accumulating monoidal annotations; profiling libraries
- libghc-dual-tree-prof-0.2.2-a155a
- virtueel pakket geboden door libghc-dual-tree-prof
- libghc-dual-tree-prof-0.2.2-afc5b
- virtueel pakket geboden door libghc-dual-tree-prof
- libghc-dual-tree-prof-0.2.2-e3a0a
- virtueel pakket geboden door libghc-dual-tree-prof
- libghc-dynamic-state-dev (0.3.1-2+b2)
- optionally serializable dynamic state keyed by type
- libghc-dynamic-state-dev-0.3.1-1445a
- virtueel pakket geboden door libghc-dynamic-state-dev
- libghc-dynamic-state-dev-0.3.1-2be2e
- virtueel pakket geboden door libghc-dynamic-state-dev
- libghc-dynamic-state-dev-0.3.1-455fd
- virtueel pakket geboden door libghc-dynamic-state-dev
- libghc-dynamic-state-dev-0.3.1-72267
- virtueel pakket geboden door libghc-dynamic-state-dev
- libghc-dynamic-state-doc (0.3.1-2)
- optionally serializable dynamic state keyed by type; documentation
- libghc-dynamic-state-prof (0.3.1-2+b2)
- optionally serializable dynamic state keyed by type; profiling libraries
- libghc-dynamic-state-prof-0.3.1-1445a
- virtueel pakket geboden door libghc-dynamic-state-prof
- libghc-dynamic-state-prof-0.3.1-2be2e
- virtueel pakket geboden door libghc-dynamic-state-prof
- libghc-dynamic-state-prof-0.3.1-455fd
- virtueel pakket geboden door libghc-dynamic-state-prof
- libghc-dynamic-state-prof-0.3.1-72267
- virtueel pakket geboden door libghc-dynamic-state-prof
- libghc-dyre-dev (0.8.12-5+b2)
- dynamic reconfiguration library
- libghc-dyre-dev-0.8.12-34064
- virtueel pakket geboden door libghc-dyre-dev
- libghc-dyre-dev-0.8.12-4c738
- virtueel pakket geboden door libghc-dyre-dev
- libghc-dyre-dev-0.8.12-ae233
- virtueel pakket geboden door libghc-dyre-dev
- libghc-dyre-dev-0.8.12-b9689
- virtueel pakket geboden door libghc-dyre-dev
- libghc-dyre-doc (0.8.12-5)
- dynamic reconfiguration library; documentation
- libghc-dyre-prof (0.8.12-5+b2)
- dynamic reconfiguration library; profiling libraries
- libghc-dyre-prof-0.8.12-34064
- virtueel pakket geboden door libghc-dyre-prof
- libghc-dyre-prof-0.8.12-4c738
- virtueel pakket geboden door libghc-dyre-prof
- libghc-dyre-prof-0.8.12-ae233
- virtueel pakket geboden door libghc-dyre-prof
- libghc-dyre-prof-0.8.12-b9689
- virtueel pakket geboden door libghc-dyre-prof
- libghc-easy-file-dev (0.2.2-2+b2)
- Cross-platform file handling
- libghc-easy-file-dev-0.2.2-0c2d1
- virtueel pakket geboden door libghc-easy-file-dev
- libghc-easy-file-dev-0.2.2-45e48
- virtueel pakket geboden door libghc-easy-file-dev
- libghc-easy-file-dev-0.2.2-92767
- virtueel pakket geboden door libghc-easy-file-dev
- libghc-easy-file-dev-0.2.2-e0187
- virtueel pakket geboden door libghc-easy-file-dev
- libghc-easy-file-doc (0.2.2-2)
- Cross-platform file handling; documentation
- libghc-easy-file-prof (0.2.2-2+b2)
- Cross-platform file handling; profiling libraries
- libghc-easy-file-prof-0.2.2-0c2d1
- virtueel pakket geboden door libghc-easy-file-prof
- libghc-easy-file-prof-0.2.2-45e48
- virtueel pakket geboden door libghc-easy-file-prof
- libghc-easy-file-prof-0.2.2-92767
- virtueel pakket geboden door libghc-easy-file-prof
- libghc-easy-file-prof-0.2.2-e0187
- virtueel pakket geboden door libghc-easy-file-prof
- libghc-echo-dev (0.1.3-4+b2)
- Cross-platform library for handling echoing terminal input
- libghc-echo-dev-0.1.3-41f19
- virtueel pakket geboden door libghc-echo-dev
- libghc-echo-dev-0.1.3-c9d42
- virtueel pakket geboden door libghc-echo-dev
- libghc-echo-dev-0.1.3-cfdb6
- virtueel pakket geboden door libghc-echo-dev
- libghc-echo-doc (0.1.3-4)
- Cross-platform library for handling echoing terminal input; documentation
- libghc-echo-prof (0.1.3-4+b2)
- Cross-platform library for handling echoing terminal input; profiling libraries
- libghc-echo-prof-0.1.3-41f19
- virtueel pakket geboden door libghc-echo-prof
- libghc-echo-prof-0.1.3-c9d42
- virtueel pakket geboden door libghc-echo-prof
- libghc-echo-prof-0.1.3-cfdb6
- virtueel pakket geboden door libghc-echo-prof
- libghc-ed25519-dev (0.0.5.0-12+b2)
- Ed25519 cryptographic signatures
- libghc-ed25519-dev-0.0.5.0-4b6c6
- virtueel pakket geboden door libghc-ed25519-dev
- libghc-ed25519-dev-0.0.5.0-7d81b
- virtueel pakket geboden door libghc-ed25519-dev
- libghc-ed25519-dev-0.0.5.0-a2339
- virtueel pakket geboden door libghc-ed25519-dev
- libghc-ed25519-dev-0.0.5.0-b950d
- virtueel pakket geboden door libghc-ed25519-dev
- libghc-ed25519-doc (0.0.5.0-12)
- Ed25519 cryptographic signatures; documentation
- libghc-ed25519-prof (0.0.5.0-12+b2)
- Ed25519 cryptographic signatures; profiling libraries
- libghc-ed25519-prof-0.0.5.0-4b6c6
- virtueel pakket geboden door libghc-ed25519-prof
- libghc-ed25519-prof-0.0.5.0-7d81b
- virtueel pakket geboden door libghc-ed25519-prof
- libghc-ed25519-prof-0.0.5.0-a2339
- virtueel pakket geboden door libghc-ed25519-prof
- libghc-ed25519-prof-0.0.5.0-b950d
- virtueel pakket geboden door libghc-ed25519-prof
- libghc-edison-api-dev (1.3.1-5+b2)
- Haskell library of efficent, purely-functional data structures
- libghc-edison-api-doc (1.3.1-5)
- documentation of the Edison Haskell library
- libghc-edison-api-prof (1.3.1-5+b2)
- Haskell library of data structures; profiling libraries
- libghc-edison-core-dev (1.3.2.1-3+b2)
- Haskell library of efficent, purely-functional data structures
- libghc-edison-core-doc (1.3.2.1-3)
- documentation of the Edison Haskell library
- libghc-edison-core-prof (1.3.2.1-3+b2)
- Haskell library of data structures; profiling libraries
- libghc-edisonapi-dev-1.3.1-35c7d
- virtueel pakket geboden door libghc-edison-api-dev
- libghc-edisonapi-dev-1.3.1-5bdc0
- virtueel pakket geboden door libghc-edison-api-dev
- libghc-edisonapi-dev-1.3.1-be3a2
- virtueel pakket geboden door libghc-edison-api-dev
- libghc-edisonapi-prof-1.3.1-35c7d
- virtueel pakket geboden door libghc-edison-api-prof
- libghc-edisonapi-prof-1.3.1-5bdc0
- virtueel pakket geboden door libghc-edison-api-prof
- libghc-edisonapi-prof-1.3.1-be3a2
- virtueel pakket geboden door libghc-edison-api-prof
- libghc-edisoncore-dev-1.3.2.1-66167
- virtueel pakket geboden door libghc-edison-core-dev
- libghc-edisoncore-dev-1.3.2.1-6c774
- virtueel pakket geboden door libghc-edison-core-dev
- libghc-edisoncore-dev-1.3.2.1-ddc23
- virtueel pakket geboden door libghc-edison-core-dev
- libghc-edisoncore-dev-1.3.2.1-fe798
- virtueel pakket geboden door libghc-edison-core-dev
- libghc-edisoncore-prof-1.3.2.1-66167
- virtueel pakket geboden door libghc-edison-core-prof
- libghc-edisoncore-prof-1.3.2.1-6c774
- virtueel pakket geboden door libghc-edison-core-prof
- libghc-edisoncore-prof-1.3.2.1-ddc23
- virtueel pakket geboden door libghc-edison-core-prof
- libghc-edisoncore-prof-1.3.2.1-fe798
- virtueel pakket geboden door libghc-edison-core-prof
- libghc-edit-distance-dev (0.2.2.1-8+b2)
- Haskell fuzzy matching
- libghc-edit-distance-dev-0.2.2.1-34955
- virtueel pakket geboden door libghc-edit-distance-dev
- libghc-edit-distance-dev-0.2.2.1-89997
- virtueel pakket geboden door libghc-edit-distance-dev
- libghc-edit-distance-doc (0.2.2.1-8)
- Haskell fuzzy matching; documentation
- libghc-edit-distance-prof (0.2.2.1-8+b2)
- Haskell fuzzy matching; profiling libraries
- libghc-edit-distance-prof-0.2.2.1-34955
- virtueel pakket geboden door libghc-edit-distance-prof
- libghc-edit-distance-prof-0.2.2.1-89997
- virtueel pakket geboden door libghc-edit-distance-prof
- libghc-either-dev (5.0.1-2+b1)
- Either monad transformer
- libghc-either-dev-5.0.1-1408f
- virtueel pakket geboden door libghc-either-dev
- libghc-either-dev-5.0.1-4f5da
- virtueel pakket geboden door libghc-either-dev
- libghc-either-dev-5.0.1-5908e
- virtueel pakket geboden door libghc-either-dev
- libghc-either-dev-5.0.1-eac31
- virtueel pakket geboden door libghc-either-dev
- libghc-either-doc (5.0.1-2)
- Either monad transformer; documentation
- libghc-either-prof (5.0.1-2+b1)
- Either monad transformer; profiling libraries
- libghc-either-prof-5.0.1-1408f
- virtueel pakket geboden door libghc-either-prof
- libghc-either-prof-5.0.1-4f5da
- virtueel pakket geboden door libghc-either-prof
- libghc-either-prof-5.0.1-5908e
- virtueel pakket geboden door libghc-either-prof
- libghc-either-prof-5.0.1-eac31
- virtueel pakket geboden door libghc-either-prof
- libghc-ekg-core-dev (0.1.1.4-4+b1)
- tracking of system metrics
- libghc-ekg-core-dev-0.1.1.4-7f9c0
- virtueel pakket geboden door libghc-ekg-core-dev
- libghc-ekg-core-dev-0.1.1.4-a95bb
- virtueel pakket geboden door libghc-ekg-core-dev
- libghc-ekg-core-dev-0.1.1.4-b9ab6
- virtueel pakket geboden door libghc-ekg-core-dev
- libghc-ekg-core-dev-0.1.1.4-c134e
- virtueel pakket geboden door libghc-ekg-core-dev
- libghc-ekg-core-doc (0.1.1.4-4)
- tracking of system metrics; documentation
- libghc-ekg-core-prof (0.1.1.4-4+b1)
- tracking of system metrics; profiling libraries
- libghc-ekg-core-prof-0.1.1.4-7f9c0
- virtueel pakket geboden door libghc-ekg-core-prof
- libghc-ekg-core-prof-0.1.1.4-a95bb
- virtueel pakket geboden door libghc-ekg-core-prof
- libghc-ekg-core-prof-0.1.1.4-b9ab6
- virtueel pakket geboden door libghc-ekg-core-prof
- libghc-ekg-core-prof-0.1.1.4-c134e
- virtueel pakket geboden door libghc-ekg-core-prof
- libghc-ekg-data (0.4.0.15-4)
- remote monitoring of Haskell processes over HTTP - common files
- libghc-ekg-dev (0.4.0.15-4+b1)
- remote monitoring of Haskell processes over HTTP
- libghc-ekg-dev-0.4.0.15-4f930
- virtueel pakket geboden door libghc-ekg-dev
- libghc-ekg-dev-0.4.0.15-55061
- virtueel pakket geboden door libghc-ekg-dev
- libghc-ekg-dev-0.4.0.15-895f1
- virtueel pakket geboden door libghc-ekg-dev
- libghc-ekg-dev-0.4.0.15-e7a70
- virtueel pakket geboden door libghc-ekg-dev
- libghc-ekg-doc (0.4.0.15-4)
- remote monitoring of Haskell processes over HTTP; documentation
- libghc-ekg-json-dev (0.1.0.6-5+b1)
- JSON encoding of ekg metrics
- libghc-ekg-json-dev-0.1.0.6-4dd28
- virtueel pakket geboden door libghc-ekg-json-dev
- libghc-ekg-json-dev-0.1.0.6-5accf
- virtueel pakket geboden door libghc-ekg-json-dev
- libghc-ekg-json-dev-0.1.0.6-5f952
- virtueel pakket geboden door libghc-ekg-json-dev
- libghc-ekg-json-dev-0.1.0.6-ac26f
- virtueel pakket geboden door libghc-ekg-json-dev
- libghc-ekg-json-doc (0.1.0.6-5)
- JSON encoding of ekg metrics; documentation
- libghc-ekg-json-prof (0.1.0.6-5+b1)
- JSON encoding of ekg metrics; profiling libraries
- libghc-ekg-json-prof-0.1.0.6-4dd28
- virtueel pakket geboden door libghc-ekg-json-prof
- libghc-ekg-json-prof-0.1.0.6-5accf
- virtueel pakket geboden door libghc-ekg-json-prof
- libghc-ekg-json-prof-0.1.0.6-5f952
- virtueel pakket geboden door libghc-ekg-json-prof
- libghc-ekg-json-prof-0.1.0.6-ac26f
- virtueel pakket geboden door libghc-ekg-json-prof
- libghc-ekg-prof (0.4.0.15-4+b1)
- remote monitoring of Haskell processes over HTTP; profiling libraries
- libghc-ekg-prof-0.4.0.15-4f930
- virtueel pakket geboden door libghc-ekg-prof
- libghc-ekg-prof-0.4.0.15-55061
- virtueel pakket geboden door libghc-ekg-prof
- libghc-ekg-prof-0.4.0.15-895f1
- virtueel pakket geboden door libghc-ekg-prof
- libghc-ekg-prof-0.4.0.15-e7a70
- virtueel pakket geboden door libghc-ekg-prof
- libghc-email-validate-dev (2.3.2.7-1+b1)
- validate an email address string against RFC 5322
- libghc-email-validate-dev-2.3.2.7-0a4b8
- virtueel pakket geboden door libghc-email-validate-dev
- libghc-email-validate-dev-2.3.2.7-2f2a3
- virtueel pakket geboden door libghc-email-validate-dev
- libghc-email-validate-dev-2.3.2.7-3849b
- virtueel pakket geboden door libghc-email-validate-dev
- libghc-email-validate-dev-2.3.2.7-ba2c2
- virtueel pakket geboden door libghc-email-validate-dev
- libghc-email-validate-doc (2.3.2.7-1)
- validate an email address string against RFC 5322; documentation
- libghc-email-validate-prof (2.3.2.7-1+b1)
- validate an email address string against RFC 5322; profiling libraries
- libghc-email-validate-prof-2.3.2.7-0a4b8
- virtueel pakket geboden door libghc-email-validate-prof
- libghc-email-validate-prof-2.3.2.7-2f2a3
- virtueel pakket geboden door libghc-email-validate-prof
- libghc-email-validate-prof-2.3.2.7-3849b
- virtueel pakket geboden door libghc-email-validate-prof
- libghc-email-validate-prof-2.3.2.7-ba2c2
- virtueel pakket geboden door libghc-email-validate-prof
- libghc-enclosed-exceptions-dev (1.0.3-2+b1)
- catching all exceptions from within an enclosed computation
- libghc-enclosed-exceptions-dev-1.0.3-1e29a
- virtueel pakket geboden door libghc-enclosed-exceptions-dev
- libghc-enclosed-exceptions-dev-1.0.3-a2ec3
- virtueel pakket geboden door libghc-enclosed-exceptions-dev
- libghc-enclosed-exceptions-dev-1.0.3-d73b4
- virtueel pakket geboden door libghc-enclosed-exceptions-dev
- libghc-enclosed-exceptions-dev-1.0.3-e8c99
- virtueel pakket geboden door libghc-enclosed-exceptions-dev
- libghc-enclosed-exceptions-doc (1.0.3-2)
- catching all exceptions from within an enclosed computation; documentation
- libghc-enclosed-exceptions-prof (1.0.3-2+b1)
- catching all exceptions from within an enclosed computation; profiling libraries
- libghc-enclosed-exceptions-prof-1.0.3-1e29a
- virtueel pakket geboden door libghc-enclosed-exceptions-prof
- libghc-enclosed-exceptions-prof-1.0.3-a2ec3
- virtueel pakket geboden door libghc-enclosed-exceptions-prof
- libghc-enclosed-exceptions-prof-1.0.3-d73b4
- virtueel pakket geboden door libghc-enclosed-exceptions-prof
- libghc-enclosed-exceptions-prof-1.0.3-e8c99
- virtueel pakket geboden door libghc-enclosed-exceptions-prof
- libghc-entropy-dev (0.4.1.1-1+b2)
- cryptographically-strong entropy
- libghc-entropy-dev-0.4.1.1-029ee
- virtueel pakket geboden door libghc-entropy-dev
- libghc-entropy-dev-0.4.1.1-1de25
- virtueel pakket geboden door libghc-entropy-dev
- libghc-entropy-dev-0.4.1.1-7bc8d
- virtueel pakket geboden door libghc-entropy-dev
- libghc-entropy-dev-0.4.1.1-a7891
- virtueel pakket geboden door libghc-entropy-dev
- libghc-entropy-doc (0.4.1.1-1)
- cryptographically-strong entropy; documentation
- libghc-entropy-prof (0.4.1.1-1+b2)
- cryptographically-strong entropy; profiling libraries
- libghc-entropy-prof-0.4.1.1-029ee
- virtueel pakket geboden door libghc-entropy-prof
- libghc-entropy-prof-0.4.1.1-1de25
- virtueel pakket geboden door libghc-entropy-prof
- libghc-entropy-prof-0.4.1.1-7bc8d
- virtueel pakket geboden door libghc-entropy-prof
- libghc-entropy-prof-0.4.1.1-a7891
- virtueel pakket geboden door libghc-entropy-prof
- libghc-enummapset-dev (0.5.2.2-2+b2)
- IntMap and IntSet with Enum keys/elements
- libghc-enummapset-dev-0.5.2.2-5646e
- virtueel pakket geboden door libghc-enummapset-dev
- libghc-enummapset-dev-0.5.2.2-7d65c
- virtueel pakket geboden door libghc-enummapset-dev
- libghc-enummapset-dev-0.5.2.2-a2bd0
- virtueel pakket geboden door libghc-enummapset-dev
- libghc-enummapset-dev-0.5.2.2-a833f
- virtueel pakket geboden door libghc-enummapset-dev
- libghc-enummapset-doc (0.5.2.2-2)
- IntMap and IntSet with Enum keys/elements; documentation
- libghc-enummapset-prof (0.5.2.2-2+b2)
- IntMap and IntSet with Enum keys/elements; profiling libraries
- libghc-enummapset-prof-0.5.2.2-5646e
- virtueel pakket geboden door libghc-enummapset-prof
- libghc-enummapset-prof-0.5.2.2-7d65c
- virtueel pakket geboden door libghc-enummapset-prof
- libghc-enummapset-prof-0.5.2.2-a2bd0
- virtueel pakket geboden door libghc-enummapset-prof
- libghc-enummapset-prof-0.5.2.2-a833f
- virtueel pakket geboden door libghc-enummapset-prof
- libghc-enummapset-th-dev (0.6.1.1-4+b2)
- TH-generated EnumSet/EnumMap wrappers around IntSet/IntMap
- libghc-enummapset-th-dev-0.6.1.1-12cf1
- virtueel pakket geboden door libghc-enummapset-th-dev
- libghc-enummapset-th-dev-0.6.1.1-1da4f
- virtueel pakket geboden door libghc-enummapset-th-dev
- libghc-enummapset-th-dev-0.6.1.1-4c7b9
- virtueel pakket geboden door libghc-enummapset-th-dev
- libghc-enummapset-th-dev-0.6.1.1-fbbe9
- virtueel pakket geboden door libghc-enummapset-th-dev
- libghc-enummapset-th-doc (0.6.1.1-4)
- TH-generated EnumSet/EnumMap wrappers around IntSet/IntMap; documentation
- libghc-enummapset-th-prof (0.6.1.1-4+b2)
- TH-generated EnumSet/EnumMap wrappers around IntSet/IntMap; profiling libraries
- libghc-enummapset-th-prof-0.6.1.1-12cf1
- virtueel pakket geboden door libghc-enummapset-th-prof
- libghc-enummapset-th-prof-0.6.1.1-1da4f
- virtueel pakket geboden door libghc-enummapset-th-prof
- libghc-enummapset-th-prof-0.6.1.1-4c7b9
- virtueel pakket geboden door libghc-enummapset-th-prof
- libghc-enummapset-th-prof-0.6.1.1-fbbe9
- virtueel pakket geboden door libghc-enummapset-th-prof
- libghc-equivalence-dev (0.3.2-4+b2)
- maintaining an equivalence relation
- libghc-equivalence-dev-0.3.2-603ba
- virtueel pakket geboden door libghc-equivalence-dev
- libghc-equivalence-dev-0.3.2-70935
- virtueel pakket geboden door libghc-equivalence-dev
- libghc-equivalence-dev-0.3.2-d7e29
- virtueel pakket geboden door libghc-equivalence-dev
- libghc-equivalence-dev-0.3.2-db8a3
- virtueel pakket geboden door libghc-equivalence-dev
- libghc-equivalence-doc (0.3.2-4)
- maintaining an equivalence relation; documentation
- libghc-equivalence-prof (0.3.2-4+b2)
- maintaining an equivalence relation; profiling libraries
- libghc-equivalence-prof-0.3.2-603ba
- virtueel pakket geboden door libghc-equivalence-prof
- libghc-equivalence-prof-0.3.2-70935
- virtueel pakket geboden door libghc-equivalence-prof
- libghc-equivalence-prof-0.3.2-d7e29
- virtueel pakket geboden door libghc-equivalence-prof
- libghc-equivalence-prof-0.3.2-db8a3
- virtueel pakket geboden door libghc-equivalence-prof
- libghc-erf-dev (2.0.0.0-13+b2)
- The error function, erf, and related functions
- libghc-erf-dev-2.0.0.0-321e3
- virtueel pakket geboden door libghc-erf-dev
- libghc-erf-dev-2.0.0.0-b32e0
- virtueel pakket geboden door libghc-erf-dev
- libghc-erf-doc (2.0.0.0-13)
- The error function, erf, and related functions; documentation
- libghc-erf-prof (2.0.0.0-13+b2)
- The error function, erf, and related functions; profiling libraries
- libghc-erf-prof-2.0.0.0-321e3
- virtueel pakket geboden door libghc-erf-prof
- libghc-erf-prof-2.0.0.0-b32e0
- virtueel pakket geboden door libghc-erf-prof
- libghc-errors-dev (2.3.0-2+b2)
- simplified error handling
- libghc-errors-dev-2.3.0-4d95e
- virtueel pakket geboden door libghc-errors-dev
- libghc-errors-dev-2.3.0-cb04a
- virtueel pakket geboden door libghc-errors-dev
- libghc-errors-dev-2.3.0-cbdf9
- virtueel pakket geboden door libghc-errors-dev
- libghc-errors-dev-2.3.0-e7009
- virtueel pakket geboden door libghc-errors-dev
- libghc-errors-doc (2.3.0-2)
- simplified error handling; documentation
- libghc-errors-prof (2.3.0-2+b2)
- simplified error handling; profiling libraries
- libghc-errors-prof-2.3.0-4d95e
- virtueel pakket geboden door libghc-errors-prof
- libghc-errors-prof-2.3.0-cb04a
- virtueel pakket geboden door libghc-errors-prof
- libghc-errors-prof-2.3.0-cbdf9
- virtueel pakket geboden door libghc-errors-prof
- libghc-errors-prof-2.3.0-e7009
- virtueel pakket geboden door libghc-errors-prof
- libghc-exact-pi-dev (0.4.1.4-1+b2)
- Exact rational multiples of pi (and integer powers of pi)
- libghc-exact-pi-dev-0.4.1.4-05638
- virtueel pakket geboden door libghc-exact-pi-dev
- libghc-exact-pi-dev-0.4.1.4-dd365
- virtueel pakket geboden door libghc-exact-pi-dev
- libghc-exact-pi-doc (0.4.1.4-1)
- Exact rational multiples of pi (and integer powers of pi); documentation
- libghc-exact-pi-prof (0.4.1.4-1+b2)
- Exact rational multiples of pi (and integer powers of pi); profiling libraries
- libghc-exact-pi-prof-0.4.1.4-05638
- virtueel pakket geboden door libghc-exact-pi-prof
- libghc-exact-pi-prof-0.4.1.4-dd365
- virtueel pakket geboden door libghc-exact-pi-prof
- libghc-exception-transformers-dev (0.4.0.7-3+b2)
- type classes and monads for unchecked extensible exceptions
- libghc-exception-transformers-dev-0.4.0.7-246ac
- virtueel pakket geboden door libghc-exception-transformers-dev
- libghc-exception-transformers-dev-0.4.0.7-2e63d
- virtueel pakket geboden door libghc-exception-transformers-dev
- libghc-exception-transformers-dev-0.4.0.7-7ac78
- virtueel pakket geboden door libghc-exception-transformers-dev
- libghc-exception-transformers-doc (0.4.0.7-3)
- type classes and monads for unchecked extensible exceptions; documentation
- libghc-exception-transformers-prof (0.4.0.7-3+b2)
- type classes and monads for unchecked extensible exceptions; profiling libs
- libghc-exception-transformers-prof-0.4.0.7-246ac
- virtueel pakket geboden door libghc-exception-transformers-prof
- libghc-exception-transformers-prof-0.4.0.7-2e63d
- virtueel pakket geboden door libghc-exception-transformers-prof
- libghc-exception-transformers-prof-0.4.0.7-7ac78
- virtueel pakket geboden door libghc-exception-transformers-prof
- libghc-exceptions-dev (0.10.0-2+b2)
- extensible optionally-pure exceptions
- libghc-exceptions-dev-0.10.0-a5250
- virtueel pakket geboden door libghc-exceptions-dev
- libghc-exceptions-dev-0.10.0-c507a
- virtueel pakket geboden door libghc-exceptions-dev
- libghc-exceptions-dev-0.10.0-e3bf3
- virtueel pakket geboden door libghc-exceptions-dev
- libghc-exceptions-doc (0.10.0-2)
- extensible optionally-pure exceptions; documentation
- libghc-exceptions-prof (0.10.0-2+b2)
- extensible optionally-pure exceptions; profiling libraries
- libghc-exceptions-prof-0.10.0-a5250
- virtueel pakket geboden door libghc-exceptions-prof
- libghc-exceptions-prof-0.10.0-c507a
- virtueel pakket geboden door libghc-exceptions-prof
- libghc-exceptions-prof-0.10.0-e3bf3
- virtueel pakket geboden door libghc-exceptions-prof
- libghc-executable-path-dev (0.0.3.1-3+b2)
- determine full path of executable
- libghc-executable-path-dev-0.0.3.1-35db6
- virtueel pakket geboden door libghc-executable-path-dev
- libghc-executable-path-dev-0.0.3.1-458b3
- virtueel pakket geboden door libghc-executable-path-dev
- libghc-executable-path-dev-0.0.3.1-f08a3
- virtueel pakket geboden door libghc-executable-path-dev
- libghc-executable-path-dev-0.0.3.1-feac7
- virtueel pakket geboden door libghc-executable-path-dev
- libghc-executable-path-doc (0.0.3.1-3)
- determine full path of executable; documentation
- libghc-executable-path-prof (0.0.3.1-3+b2)
- determine full path of executable; profiling libraries
- libghc-executable-path-prof-0.0.3.1-35db6
- virtueel pakket geboden door libghc-executable-path-prof
- libghc-executable-path-prof-0.0.3.1-458b3
- virtueel pakket geboden door libghc-executable-path-prof
- libghc-executable-path-prof-0.0.3.1-f08a3
- virtueel pakket geboden door libghc-executable-path-prof
- libghc-executable-path-prof-0.0.3.1-feac7
- virtueel pakket geboden door libghc-executable-path-prof
- libghc-expiring-cache-map-dev (0.0.6.1-5+b2)
- general-purpose simple caching
- libghc-expiring-cache-map-dev-0.0.6.1-49980
- virtueel pakket geboden door libghc-expiring-cache-map-dev
- libghc-expiring-cache-map-dev-0.0.6.1-56567
- virtueel pakket geboden door libghc-expiring-cache-map-dev
- libghc-expiring-cache-map-dev-0.0.6.1-6bad3
- virtueel pakket geboden door libghc-expiring-cache-map-dev
- libghc-expiring-cache-map-dev-0.0.6.1-a51b3
- virtueel pakket geboden door libghc-expiring-cache-map-dev
- libghc-expiring-cache-map-doc (0.0.6.1-5)
- general-purpose simple caching; documentation
- libghc-expiring-cache-map-prof (0.0.6.1-5+b2)
- general-purpose simple caching; profiling libraries
- libghc-expiring-cache-map-prof-0.0.6.1-49980
- virtueel pakket geboden door libghc-expiring-cache-map-prof
- libghc-expiring-cache-map-prof-0.0.6.1-56567
- virtueel pakket geboden door libghc-expiring-cache-map-prof
- libghc-expiring-cache-map-prof-0.0.6.1-6bad3
- virtueel pakket geboden door libghc-expiring-cache-map-prof
- libghc-expiring-cache-map-prof-0.0.6.1-a51b3
- virtueel pakket geboden door libghc-expiring-cache-map-prof
- libghc-extensible-exceptions-dev (0.1.1.4-10+b2)
- Compatibility layer for exceptions
- libghc-extensible-exceptions-dev-0.1.1.4-39666
- virtueel pakket geboden door libghc-extensible-exceptions-dev
- libghc-extensible-exceptions-dev-0.1.1.4-7bd83
- virtueel pakket geboden door libghc-extensible-exceptions-dev
- libghc-extensible-exceptions-doc (0.1.1.4-10)
- Compatibility layer for exceptions; documentation
- libghc-extensible-exceptions-prof (0.1.1.4-10+b2)
- Compatibility layer for exceptions; profiling libraries
- libghc-extensible-exceptions-prof-0.1.1.4-39666
- virtueel pakket geboden door libghc-extensible-exceptions-prof
- libghc-extensible-exceptions-prof-0.1.1.4-7bd83
- virtueel pakket geboden door libghc-extensible-exceptions-prof
- (1.6.9-1+b2)
- extra functions for base library
- virtueel pakket geboden door libghc-extra-dev
- virtueel pakket geboden door libghc-extra-dev
- virtueel pakket geboden door libghc-extra-dev
- virtueel pakket geboden door libghc-extra-dev
- (1.6.9-1)
- extra functions for base library; documentation
- (1.6.9-1+b2)
- extra functions for base library; profiling libraries
- virtueel pakket geboden door libghc-extra-prof
- virtueel pakket geboden door libghc-extra-prof
- virtueel pakket geboden door libghc-extra-prof
- virtueel pakket geboden door libghc-extra-prof
- libghc-failure-dev (0.2.0.3-9+b2)
- simple type class for success/failure computations
- libghc-failure-dev-0.2.0.3-4a3af
- virtueel pakket geboden door libghc-failure-dev
- libghc-failure-dev-0.2.0.3-b2b2b
- virtueel pakket geboden door libghc-failure-dev
- libghc-failure-dev-0.2.0.3-c63c0
- virtueel pakket geboden door libghc-failure-dev
- libghc-failure-doc (0.2.0.3-9)
- simple type class for success/failure; documentation
- libghc-failure-prof (0.2.0.3-9+b2)
- simple type class for success/failure; profiling libraries
- libghc-failure-prof-0.2.0.3-4a3af
- virtueel pakket geboden door libghc-failure-prof
- libghc-failure-prof-0.2.0.3-b2b2b
- virtueel pakket geboden door libghc-failure-prof
- libghc-failure-prof-0.2.0.3-c63c0
- virtueel pakket geboden door libghc-failure-prof
- libghc-fast-logger-dev (2.4.11-3+b2)
- a fast logging system
- libghc-fast-logger-dev-2.4.11-0f628
- virtueel pakket geboden door libghc-fast-logger-dev
- libghc-fast-logger-dev-2.4.11-25fc2
- virtueel pakket geboden door libghc-fast-logger-dev
- libghc-fast-logger-dev-2.4.11-a5251
- virtueel pakket geboden door libghc-fast-logger-dev
- libghc-fast-logger-dev-2.4.11-e7a0a
- virtueel pakket geboden door libghc-fast-logger-dev
- libghc-fast-logger-doc (2.4.11-3)
- a fast logging system; documentation
- libghc-fast-logger-prof (2.4.11-3+b2)
- a fast logging system; profiling libraries
- libghc-fast-logger-prof-2.4.11-0f628
- virtueel pakket geboden door libghc-fast-logger-prof
- libghc-fast-logger-prof-2.4.11-25fc2
- virtueel pakket geboden door libghc-fast-logger-prof
- libghc-fast-logger-prof-2.4.11-a5251
- virtueel pakket geboden door libghc-fast-logger-prof
- libghc-fast-logger-prof-2.4.11-e7a0a
- virtueel pakket geboden door libghc-fast-logger-prof
- libghc-fb-dev (1.2.1-2+b1)
- bindings to Facebook API
- libghc-fb-dev-1.2.1-6bc5f
- virtueel pakket geboden door libghc-fb-dev
- libghc-fb-dev-1.2.1-7be33
- virtueel pakket geboden door libghc-fb-dev
- libghc-fb-dev-1.2.1-930bf
- virtueel pakket geboden door libghc-fb-dev
- libghc-fb-dev-1.2.1-f5bc4
- virtueel pakket geboden door libghc-fb-dev
- libghc-fb-doc (1.2.1-2)
- bindings to Facebook API; documentation
- libghc-fb-prof (1.2.1-2+b1)
- bindings to Facebook API; profiling libraries
- libghc-fb-prof-1.2.1-6bc5f
- virtueel pakket geboden door libghc-fb-prof
- libghc-fb-prof-1.2.1-7be33
- virtueel pakket geboden door libghc-fb-prof
- libghc-fb-prof-1.2.1-930bf
- virtueel pakket geboden door libghc-fb-prof
- libghc-fb-prof-1.2.1-f5bc4
- virtueel pakket geboden door libghc-fb-prof
- libghc-fclabels-dev (2.0.3.3-3+b2)
- first-class accessor labels
- libghc-fclabels-dev-2.0.3.3-66d15
- virtueel pakket geboden door libghc-fclabels-dev
- libghc-fclabels-dev-2.0.3.3-c0637
- virtueel pakket geboden door libghc-fclabels-dev
- libghc-fclabels-dev-2.0.3.3-eff74
- virtueel pakket geboden door libghc-fclabels-dev
- libghc-fclabels-doc (2.0.3.3-3)
- first-class accessor labels; documentation
- libghc-fclabels-prof (2.0.3.3-3+b2)
- first-class accessor labels; profiling libraries
- libghc-fclabels-prof-2.0.3.3-66d15
- virtueel pakket geboden door libghc-fclabels-prof
- libghc-fclabels-prof-2.0.3.3-c0637
- virtueel pakket geboden door libghc-fclabels-prof
- libghc-fclabels-prof-2.0.3.3-eff74
- virtueel pakket geboden door libghc-fclabels-prof
- libghc-fdo-notify-dev (0.3.1-10+b1)
- Haskell desktop notifications
- libghc-fdo-notify-dev-0.3.1-1f687
- virtueel pakket geboden door libghc-fdo-notify-dev
- libghc-fdo-notify-dev-0.3.1-a7e12
- virtueel pakket geboden door libghc-fdo-notify-dev
- libghc-fdo-notify-dev-0.3.1-cc4d5
- virtueel pakket geboden door libghc-fdo-notify-dev
- libghc-fdo-notify-dev-0.3.1-db83c
- virtueel pakket geboden door libghc-fdo-notify-dev
- libghc-fdo-notify-doc (0.3.1-10)
- Haskell desktop notifications; documentation
- libghc-fdo-notify-prof (0.3.1-10+b1)
- Haskell desktop notifications; profiling libraries
- libghc-fdo-notify-prof-0.3.1-1f687
- virtueel pakket geboden door libghc-fdo-notify-prof
- libghc-fdo-notify-prof-0.3.1-a7e12
- virtueel pakket geboden door libghc-fdo-notify-prof
- libghc-fdo-notify-prof-0.3.1-cc4d5
- virtueel pakket geboden door libghc-fdo-notify-prof
- libghc-fdo-notify-prof-0.3.1-db83c
- virtueel pakket geboden door libghc-fdo-notify-prof
- libghc-feed-dev (1.0.0.0-4+b1)
- Haskell interface to RSS and Atom feeds
- libghc-feed-dev-1.0.0.0-00aae
- virtueel pakket geboden door libghc-feed-dev
- libghc-feed-dev-1.0.0.0-2d758
- virtueel pakket geboden door libghc-feed-dev
- libghc-feed-dev-1.0.0.0-2eb8b
- virtueel pakket geboden door libghc-feed-dev
- libghc-feed-dev-1.0.0.0-68666
- virtueel pakket geboden door libghc-feed-dev
- libghc-feed-doc (1.0.0.0-4)
- Haskell interface to RSS and Atom feeds; documentation
- libghc-feed-prof (1.0.0.0-4+b1)
- Haskell interface to RSS and Atom feeds; profiling libraries
- libghc-feed-prof-1.0.0.0-00aae
- virtueel pakket geboden door libghc-feed-prof
- libghc-feed-prof-1.0.0.0-2d758
- virtueel pakket geboden door libghc-feed-prof
- libghc-feed-prof-1.0.0.0-2eb8b
- virtueel pakket geboden door libghc-feed-prof
- libghc-feed-prof-1.0.0.0-68666
- virtueel pakket geboden door libghc-feed-prof
- libghc-fgl-arbitrary-dev (0.2.0.3-5+b1)
- QuickCheck support for fgl
- libghc-fgl-arbitrary-dev-0.2.0.3-00228
- virtueel pakket geboden door libghc-fgl-arbitrary-dev
- libghc-fgl-arbitrary-dev-0.2.0.3-45e33
- virtueel pakket geboden door libghc-fgl-arbitrary-dev
- libghc-fgl-arbitrary-dev-0.2.0.3-49787
- virtueel pakket geboden door libghc-fgl-arbitrary-dev
- libghc-fgl-arbitrary-dev-0.2.0.3-d182e
- virtueel pakket geboden door libghc-fgl-arbitrary-dev
- libghc-fgl-arbitrary-doc (0.2.0.3-5)
- QuickCheck support for fgl; documentation
- libghc-fgl-arbitrary-prof (0.2.0.3-5+b1)
- QuickCheck support for fgl; profiling libraries
- libghc-fgl-arbitrary-prof-0.2.0.3-00228
- virtueel pakket geboden door libghc-fgl-arbitrary-prof
- libghc-fgl-arbitrary-prof-0.2.0.3-45e33
- virtueel pakket geboden door libghc-fgl-arbitrary-prof
- libghc-fgl-arbitrary-prof-0.2.0.3-49787
- virtueel pakket geboden door libghc-fgl-arbitrary-prof
- libghc-fgl-arbitrary-prof-0.2.0.3-d182e
- virtueel pakket geboden door libghc-fgl-arbitrary-prof
- libghc-fgl-dev (5.6.0.0-4+b1)
- Haskell graph library for GHC
- libghc-fgl-dev-5.6.0.0-31849
- virtueel pakket geboden door libghc-fgl-dev
- libghc-fgl-dev-5.6.0.0-4bc39
- virtueel pakket geboden door libghc-fgl-dev
- libghc-fgl-dev-5.6.0.0-85e62
- virtueel pakket geboden door libghc-fgl-dev
- libghc-fgl-dev-5.6.0.0-d6024
- virtueel pakket geboden door libghc-fgl-dev
- libghc-fgl-doc (5.6.0.0-4)
- Haskell graph library for GHC; documentation
- libghc-fgl-prof (5.6.0.0-4+b1)
- Haskell graph library for GHC; profiling libraries
- libghc-fgl-prof-5.6.0.0-31849
- virtueel pakket geboden door libghc-fgl-prof
- libghc-fgl-prof-5.6.0.0-4bc39
- virtueel pakket geboden door libghc-fgl-prof
- libghc-fgl-prof-5.6.0.0-85e62
- virtueel pakket geboden door libghc-fgl-prof
- libghc-fgl-prof-5.6.0.0-d6024
- virtueel pakket geboden door libghc-fgl-prof
- libghc-file-embed-dev (0.0.10.1-2+b2)
- direct file embedding library
- libghc-file-embed-dev-0.0.10.1-18ee6
- virtueel pakket geboden door libghc-file-embed-dev
- libghc-file-embed-dev-0.0.10.1-64a3f
- virtueel pakket geboden door libghc-file-embed-dev
- libghc-file-embed-dev-0.0.10.1-86222
- virtueel pakket geboden door libghc-file-embed-dev
- libghc-file-embed-dev-0.0.10.1-f9eef
- virtueel pakket geboden door libghc-file-embed-dev
- libghc-file-embed-doc (0.0.10.1-2)
- direct file embedding library; documentation
- libghc-file-embed-prof (0.0.10.1-2+b2)
- direct file embedding library; profiling libraries
- libghc-file-embed-prof-0.0.10.1-18ee6
- virtueel pakket geboden door libghc-file-embed-prof
- libghc-file-embed-prof-0.0.10.1-64a3f
- virtueel pakket geboden door libghc-file-embed-prof
- libghc-file-embed-prof-0.0.10.1-86222
- virtueel pakket geboden door libghc-file-embed-prof
- libghc-file-embed-prof-0.0.10.1-f9eef
- virtueel pakket geboden door libghc-file-embed-prof
- libghc-file-location-dev (0.4.9.1-2+b2 [amd64, arm64], 0.4.9.1-2+b1 [armhf, i386])
- common functions that show file location information
- libghc-file-location-dev-0.4.9.1-79bdc
- virtueel pakket geboden door libghc-file-location-dev
- libghc-file-location-dev-0.4.9.1-a544b
- virtueel pakket geboden door libghc-file-location-dev
- libghc-file-location-dev-0.4.9.1-ccaec
- virtueel pakket geboden door libghc-file-location-dev
- libghc-file-location-dev-0.4.9.1-e5265
- virtueel pakket geboden door libghc-file-location-dev
- libghc-file-location-doc (0.4.9.1-2)
- common functions that show file location information; documentation
- libghc-file-location-prof (0.4.9.1-2+b2 [amd64, arm64], 0.4.9.1-2+b1 [armhf, i386])
- common functions that show file location information; profiling libraries
- libghc-file-location-prof-0.4.9.1-79bdc
- virtueel pakket geboden door libghc-file-location-prof
- libghc-file-location-prof-0.4.9.1-a544b
- virtueel pakket geboden door libghc-file-location-prof
- libghc-file-location-prof-0.4.9.1-ccaec
- virtueel pakket geboden door libghc-file-location-prof
- libghc-file-location-prof-0.4.9.1-e5265
- virtueel pakket geboden door libghc-file-location-prof
- libghc-filelock-dev (0.1.1.2-3+b2)
- portable interface to file locking (flock / LockFileEx)
- libghc-filelock-dev-0.1.1.2-41404
- virtueel pakket geboden door libghc-filelock-dev
- libghc-filelock-dev-0.1.1.2-6dc1a
- virtueel pakket geboden door libghc-filelock-dev
- libghc-filelock-dev-0.1.1.2-76025
- virtueel pakket geboden door libghc-filelock-dev
- libghc-filelock-dev-0.1.1.2-bfa7e
- virtueel pakket geboden door libghc-filelock-dev
- libghc-filelock-doc (0.1.1.2-3)
- portable interface to file locking (flock / LockFileEx); documentation
- libghc-filelock-prof (0.1.1.2-3+b2)
- portable interface to file locking (flock / LockFileEx); profiling libraries
- libghc-filelock-prof-0.1.1.2-41404
- virtueel pakket geboden door libghc-filelock-prof
- libghc-filelock-prof-0.1.1.2-6dc1a
- virtueel pakket geboden door libghc-filelock-prof
- libghc-filelock-prof-0.1.1.2-76025
- virtueel pakket geboden door libghc-filelock-prof
- libghc-filelock-prof-0.1.1.2-bfa7e
- virtueel pakket geboden door libghc-filelock-prof
- libghc-filemanip-dev (0.3.6.3-9+b2)
- expressive file and directory manipulation for Haskell
- libghc-filemanip-dev-0.3.6.3-d63e4
- virtueel pakket geboden door libghc-filemanip-dev
- libghc-filemanip-dev-0.3.6.3-ea85c
- virtueel pakket geboden door libghc-filemanip-dev
- libghc-filemanip-dev-0.3.6.3-fb15d
- virtueel pakket geboden door libghc-filemanip-dev
- libghc-filemanip-dev-0.3.6.3-fe406
- virtueel pakket geboden door libghc-filemanip-dev
- libghc-filemanip-doc (0.3.6.3-9)
- expressive file and directory manipulation for Haskell; documentation
- libghc-filemanip-prof (0.3.6.3-9+b2)
- expressive file and directory manipulation for Haskell; profiling libraries
- libghc-filemanip-prof-0.3.6.3-d63e4
- virtueel pakket geboden door libghc-filemanip-prof
- libghc-filemanip-prof-0.3.6.3-ea85c
- virtueel pakket geboden door libghc-filemanip-prof
- libghc-filemanip-prof-0.3.6.3-fb15d
- virtueel pakket geboden door libghc-filemanip-prof
- libghc-filemanip-prof-0.3.6.3-fe406
- virtueel pakket geboden door libghc-filemanip-prof
- libghc-filepath-dev (= 1.4.2)
- virtueel pakket geboden door ghc
- libghc-filepath-dev-1.4.2-27f5e
- virtueel pakket geboden door ghc
- libghc-filepath-dev-1.4.2-5b812
- virtueel pakket geboden door ghc
- libghc-filepath-dev-1.4.2-c0b20
- virtueel pakket geboden door ghc
- libghc-filepath-dev-1.4.2-d458d
- virtueel pakket geboden door ghc
- libghc-filepath-doc (= 1.4.2)
- virtueel pakket geboden door ghc-doc
- libghc-filepath-prof (= 1.4.2)
- virtueel pakket geboden door ghc-prof
- libghc-filepath-prof-1.4.2-27f5e
- virtueel pakket geboden door ghc-prof
- libghc-filepath-prof-1.4.2-5b812
- virtueel pakket geboden door ghc-prof
- libghc-filepath-prof-1.4.2-c0b20
- virtueel pakket geboden door ghc-prof
- libghc-filepath-prof-1.4.2-d458d
- virtueel pakket geboden door ghc-prof
- libghc-filestore-data (0.6.3.3-4)
- Haskell interface for versioning file stores - common files
- libghc-filestore-dev (0.6.3.3-4+b2)
- Haskell interface for versioning file stores
- libghc-filestore-dev-0.6.3.3-3c3b9
- virtueel pakket geboden door libghc-filestore-dev
- libghc-filestore-dev-0.6.3.3-3c926
- virtueel pakket geboden door libghc-filestore-dev
- libghc-filestore-dev-0.6.3.3-735f0
- virtueel pakket geboden door libghc-filestore-dev
- libghc-filestore-dev-0.6.3.3-d7dd0
- virtueel pakket geboden door libghc-filestore-dev
- libghc-filestore-doc (0.6.3.3-4)
- Haskell interface for versioning file stores; documentation
- libghc-filestore-prof (0.6.3.3-4+b2)
- Haskell interface for versioning file stores; profiling libraries
- libghc-filestore-prof-0.6.3.3-3c3b9
- virtueel pakket geboden door libghc-filestore-prof
- libghc-filestore-prof-0.6.3.3-3c926
- virtueel pakket geboden door libghc-filestore-prof
- libghc-filestore-prof-0.6.3.3-735f0
- virtueel pakket geboden door libghc-filestore-prof
- libghc-filestore-prof-0.6.3.3-d7dd0
- virtueel pakket geboden door libghc-filestore-prof
- libghc-fingertree-dev (0.1.4.1-2+b2)
- Generic finger-tree data structure implementation in Haskell
- libghc-fingertree-dev-0.1.4.1-28aeb
- virtueel pakket geboden door libghc-fingertree-dev
- libghc-fingertree-dev-0.1.4.1-6e2ca
- virtueel pakket geboden door libghc-fingertree-dev
- libghc-fingertree-dev-0.1.4.1-f9431
- virtueel pakket geboden door libghc-fingertree-dev
- libghc-fingertree-doc (0.1.4.1-2)
- Generic finger-tree data structure implementation in Haskell; documentation
- libghc-fingertree-prof (0.1.4.1-2+b2)
- Generic finger-tree data structure implementation in Haskell; profiling libraries
- libghc-fingertree-prof-0.1.4.1-28aeb
- virtueel pakket geboden door libghc-fingertree-prof
- libghc-fingertree-prof-0.1.4.1-6e2ca
- virtueel pakket geboden door libghc-fingertree-prof
- libghc-fingertree-prof-0.1.4.1-f9431
- virtueel pakket geboden door libghc-fingertree-prof
- libghc-finite-field-dev (0.9.0-2)
- implementation of finite fields for Haskell
- libghc-finite-field-dev-0.9.0-2beb4
- virtueel pakket geboden door libghc-finite-field-dev
- libghc-finite-field-dev-0.9.0-5c1ed
- virtueel pakket geboden door libghc-finite-field-dev
- libghc-finite-field-dev-0.9.0-89e43
- virtueel pakket geboden door libghc-finite-field-dev
- libghc-finite-field-dev-0.9.0-9bf87
- virtueel pakket geboden door libghc-finite-field-dev
- libghc-finite-field-doc (0.9.0-2)
- implementation of finite fields for Haskell; documentation
- libghc-finite-field-prof (0.9.0-2)
- implementation of finite fields for Haskell; profiling libraries
- libghc-finite-field-prof-0.9.0-2beb4
- virtueel pakket geboden door libghc-finite-field-prof
- libghc-finite-field-prof-0.9.0-5c1ed
- virtueel pakket geboden door libghc-finite-field-prof
- libghc-finite-field-prof-0.9.0-89e43
- virtueel pakket geboden door libghc-finite-field-prof
- libghc-finite-field-prof-0.9.0-9bf87
- virtueel pakket geboden door libghc-finite-field-prof
- libghc-fixed-dev (0.2.1.1-5+b2)
- signed 15.16 precision fixed point arithmetic
- libghc-fixed-dev-0.2.1.1-6bb97
- virtueel pakket geboden door libghc-fixed-dev
- libghc-fixed-dev-0.2.1.1-b4e71
- virtueel pakket geboden door libghc-fixed-dev
- libghc-fixed-dev-0.2.1.1-d6ba9
- virtueel pakket geboden door libghc-fixed-dev
- libghc-fixed-doc (0.2.1.1-5)
- signed 15.16 precision fixed point arithmetic; documentation
- libghc-fixed-prof (0.2.1.1-5+b2)
- signed 15.16 precision fixed point arithmetic; profiling libraries
- libghc-fixed-prof-0.2.1.1-6bb97
- virtueel pakket geboden door libghc-fixed-prof
- libghc-fixed-prof-0.2.1.1-b4e71
- virtueel pakket geboden door libghc-fixed-prof
- libghc-fixed-prof-0.2.1.1-d6ba9
- virtueel pakket geboden door libghc-fixed-prof
- libghc-flexible-defaults-dev (0.0.2-2+b2)
- generate default function implementations for complex type classes
- libghc-flexible-defaults-dev-0.0.2-06ef0
- virtueel pakket geboden door libghc-flexible-defaults-dev
- libghc-flexible-defaults-dev-0.0.2-3cbb4
- virtueel pakket geboden door libghc-flexible-defaults-dev
- libghc-flexible-defaults-dev-0.0.2-eb940
- virtueel pakket geboden door libghc-flexible-defaults-dev
- libghc-flexible-defaults-dev-0.0.2-f3bbb
- virtueel pakket geboden door libghc-flexible-defaults-dev
- libghc-flexible-defaults-doc (0.0.2-2)
- generate default function implementations for complex type classes; documentation
- libghc-flexible-defaults-prof (0.0.2-2+b2)
- generate default function implementations for complex type classes; profiling libraries
- libghc-flexible-defaults-prof-0.0.2-06ef0
- virtueel pakket geboden door libghc-flexible-defaults-prof
- libghc-flexible-defaults-prof-0.0.2-3cbb4
- virtueel pakket geboden door libghc-flexible-defaults-prof
- libghc-flexible-defaults-prof-0.0.2-eb940
- virtueel pakket geboden door libghc-flexible-defaults-prof
- libghc-flexible-defaults-prof-0.0.2-f3bbb
- virtueel pakket geboden door libghc-flexible-defaults-prof
- libghc-floatinghex-dev (0.4-3+b2)
- read and write hexadecimal floating point numbers
- libghc-floatinghex-dev-0.4-16585
- virtueel pakket geboden door libghc-floatinghex-dev
- libghc-floatinghex-dev-0.4-1d27b
- virtueel pakket geboden door libghc-floatinghex-dev
- libghc-floatinghex-dev-0.4-b8578
- virtueel pakket geboden door libghc-floatinghex-dev
- libghc-floatinghex-doc (0.4-3)
- read and write hexadecimal floating point numbers; documentation
- libghc-floatinghex-prof (0.4-3+b2)
- read and write hexadecimal floating point numbers; profiling libraries
- libghc-floatinghex-prof-0.4-16585
- virtueel pakket geboden door libghc-floatinghex-prof
- libghc-floatinghex-prof-0.4-1d27b
- virtueel pakket geboden door libghc-floatinghex-prof
- libghc-floatinghex-prof-0.4-b8578
- virtueel pakket geboden door libghc-floatinghex-prof
- libghc-fmlist-dev (0.9.2-2+b2)
- FoldMap lists
- libghc-fmlist-dev-0.9.2-8e5d4
- virtueel pakket geboden door libghc-fmlist-dev
- libghc-fmlist-dev-0.9.2-d2392
- virtueel pakket geboden door libghc-fmlist-dev
- libghc-fmlist-dev-0.9.2-fdcdb
- virtueel pakket geboden door libghc-fmlist-dev
- libghc-fmlist-doc (0.9.2-2)
- FoldMap lists; documentation
- libghc-fmlist-prof (0.9.2-2+b2)
- FoldMap lists; profiling libraries
- libghc-fmlist-prof-0.9.2-8e5d4
- virtueel pakket geboden door libghc-fmlist-prof
- libghc-fmlist-prof-0.9.2-d2392
- virtueel pakket geboden door libghc-fmlist-prof
- libghc-fmlist-prof-0.9.2-fdcdb
- virtueel pakket geboden door libghc-fmlist-prof
- libghc-focuslist-dev (0.1.0.1-1)
- lists with a focused element
- libghc-focuslist-dev-0.1.0.1-147f3
- virtueel pakket geboden door libghc-focuslist-dev
- libghc-focuslist-dev-0.1.0.1-203fb
- virtueel pakket geboden door libghc-focuslist-dev
- libghc-focuslist-dev-0.1.0.1-655b9
- virtueel pakket geboden door libghc-focuslist-dev
- libghc-focuslist-dev-0.1.0.1-c4b98
- virtueel pakket geboden door libghc-focuslist-dev
- libghc-focuslist-doc (0.1.0.1-1)
- lists with a focused element; documentation
- libghc-focuslist-prof (0.1.0.1-1)
- lists with a focused element; profiling libraries
- libghc-focuslist-prof-0.1.0.1-147f3
- virtueel pakket geboden door libghc-focuslist-prof
- libghc-focuslist-prof-0.1.0.1-203fb
- virtueel pakket geboden door libghc-focuslist-prof
- libghc-focuslist-prof-0.1.0.1-655b9
- virtueel pakket geboden door libghc-focuslist-prof
- libghc-focuslist-prof-0.1.0.1-c4b98
- virtueel pakket geboden door libghc-focuslist-prof
- libghc-foldl-dev (1.4.4-2+b2 [amd64, arm64], 1.4.4-2+b1 [armhf, i386])
- composable, streaming, and efficient left folds
- libghc-foldl-dev-1.4.4-38685
- virtueel pakket geboden door libghc-foldl-dev
- libghc-foldl-dev-1.4.4-df9fc
- virtueel pakket geboden door libghc-foldl-dev
- libghc-foldl-dev-1.4.4-f2f59
- virtueel pakket geboden door libghc-foldl-dev
- libghc-foldl-dev-1.4.4-fd8d5
- virtueel pakket geboden door libghc-foldl-dev
- libghc-foldl-doc (1.4.4-2)
- composable, streaming, and efficient left folds; documentation
- libghc-foldl-prof (1.4.4-2+b2 [amd64, arm64], 1.4.4-2+b1 [armhf, i386])
- composable, streaming, and efficient left folds; profiling libraries
- libghc-foldl-prof-1.4.4-38685
- virtueel pakket geboden door libghc-foldl-prof
- libghc-foldl-prof-1.4.4-df9fc
- virtueel pakket geboden door libghc-foldl-prof
- libghc-foldl-prof-1.4.4-f2f59
- virtueel pakket geboden door libghc-foldl-prof
- libghc-foldl-prof-1.4.4-fd8d5
- virtueel pakket geboden door libghc-foldl-prof
- libghc-formatting-dev (6.3.6-2+b1)
- combinator-based type-safe formatting
- libghc-formatting-dev-6.3.6-89bee
- virtueel pakket geboden door libghc-formatting-dev
- libghc-formatting-dev-6.3.6-bf27a
- virtueel pakket geboden door libghc-formatting-dev
- libghc-formatting-dev-6.3.6-c76d9
- virtueel pakket geboden door libghc-formatting-dev
- libghc-formatting-dev-6.3.6-f0fb9
- virtueel pakket geboden door libghc-formatting-dev
- libghc-formatting-doc (6.3.6-2)
- combinator-based type-safe formatting; documentation
- libghc-formatting-prof (6.3.6-2+b1)
- combinator-based type-safe formatting; profiling libraries
- libghc-formatting-prof-6.3.6-89bee
- virtueel pakket geboden door libghc-formatting-prof
- libghc-formatting-prof-6.3.6-bf27a
- virtueel pakket geboden door libghc-formatting-prof
- libghc-formatting-prof-6.3.6-c76d9
- virtueel pakket geboden door libghc-formatting-prof
- libghc-formatting-prof-6.3.6-f0fb9
- virtueel pakket geboden door libghc-formatting-prof
- libghc-foundation-dev (0.0.21-2+b2)
- alternative prelude with batteries and no dependencies
- libghc-foundation-dev-0.0.21-03bad
- virtueel pakket geboden door libghc-foundation-dev
- libghc-foundation-dev-0.0.21-0a4ec
- virtueel pakket geboden door libghc-foundation-dev
- libghc-foundation-dev-0.0.21-47fbe
- virtueel pakket geboden door libghc-foundation-dev
- libghc-foundation-dev-0.0.21-d8596
- virtueel pakket geboden door libghc-foundation-dev
- libghc-foundation-doc (0.0.21-2)
- alternative prelude with batteries and no dependencies; documentation
- libghc-foundation-prof (0.0.21-2+b2)
- alternative prelude with batteries and no dependencies; profiling libraries
- libghc-foundation-prof-0.0.21-03bad
- virtueel pakket geboden door libghc-foundation-prof
- libghc-foundation-prof-0.0.21-0a4ec
- virtueel pakket geboden door libghc-foundation-prof
- libghc-foundation-prof-0.0.21-47fbe
- virtueel pakket geboden door libghc-foundation-prof
- libghc-foundation-prof-0.0.21-d8596
- virtueel pakket geboden door libghc-foundation-prof
- libghc-free-dev (5.0.2-3+b1)
- Monads for free
- libghc-free-dev-5.0.2-486e3
- virtueel pakket geboden door libghc-free-dev
- libghc-free-dev-5.0.2-9dcbd
- virtueel pakket geboden door libghc-free-dev
- libghc-free-dev-5.0.2-d8cde
- virtueel pakket geboden door libghc-free-dev
- libghc-free-dev-5.0.2-e6791
- virtueel pakket geboden door libghc-free-dev
- libghc-free-doc (5.0.2-3)
- Monads for free; documentation
- libghc-free-prof (5.0.2-3+b1)
- Monads for free; profiling libraries
- libghc-free-prof-5.0.2-486e3
- virtueel pakket geboden door libghc-free-prof
- libghc-free-prof-5.0.2-9dcbd
- virtueel pakket geboden door libghc-free-prof
- libghc-free-prof-5.0.2-d8cde
- virtueel pakket geboden door libghc-free-prof
- libghc-free-prof-5.0.2-e6791
- virtueel pakket geboden door libghc-free-prof
- libghc-from-sum-dev (0.2.1.0-2)
- canonical fromMaybeM and fromEitherM functions
- libghc-from-sum-dev-0.2.1.0-71bc1
- virtueel pakket geboden door libghc-from-sum-dev
- libghc-from-sum-dev-0.2.1.0-95afc
- virtueel pakket geboden door libghc-from-sum-dev
- libghc-from-sum-dev-0.2.1.0-f58cd
- virtueel pakket geboden door libghc-from-sum-dev
- libghc-from-sum-doc (0.2.1.0-2)
- canonical fromMaybeM and fromEitherM functions; documentation
- libghc-from-sum-prof (0.2.1.0-2)
- canonical fromMaybeM and fromEitherM functions; profiling libraries
- libghc-from-sum-prof-0.2.1.0-71bc1
- virtueel pakket geboden door libghc-from-sum-prof
- libghc-from-sum-prof-0.2.1.0-95afc
- virtueel pakket geboden door libghc-from-sum-prof
- libghc-from-sum-prof-0.2.1.0-f58cd
- virtueel pakket geboden door libghc-from-sum-prof
- libghc-fsnotify-dev (0.3.0.1-2+b1)
- file creation/modification/deletion notification
- libghc-fsnotify-dev-0.3.0.1-64779
- virtueel pakket geboden door libghc-fsnotify-dev
- libghc-fsnotify-dev-0.3.0.1-95ce0
- virtueel pakket geboden door libghc-fsnotify-dev
- libghc-fsnotify-dev-0.3.0.1-9df09
- virtueel pakket geboden door libghc-fsnotify-dev
- libghc-fsnotify-dev-0.3.0.1-b910a
- virtueel pakket geboden door libghc-fsnotify-dev
- libghc-fsnotify-doc (0.3.0.1-2)
- file creation/modification/deletion notification; documentation
- libghc-fsnotify-prof (0.3.0.1-2+b1)
- file creation/modification/deletion notification; profiling libraries
- libghc-fsnotify-prof-0.3.0.1-64779
- virtueel pakket geboden door libghc-fsnotify-prof
- libghc-fsnotify-prof-0.3.0.1-95ce0
- virtueel pakket geboden door libghc-fsnotify-prof
- libghc-fsnotify-prof-0.3.0.1-9df09
- virtueel pakket geboden door libghc-fsnotify-prof
- libghc-fsnotify-prof-0.3.0.1-b910a
- virtueel pakket geboden door libghc-fsnotify-prof
- libghc-ftphs-dev (1.0.9.2-3+b2)
- FTP Client and Server Library for Haskell
- libghc-ftphs-dev-1.0.9.2-6bd0c
- virtueel pakket geboden door libghc-ftphs-dev
- libghc-ftphs-dev-1.0.9.2-71b94
- virtueel pakket geboden door libghc-ftphs-dev
- libghc-ftphs-dev-1.0.9.2-8707f
- virtueel pakket geboden door libghc-ftphs-dev
- libghc-ftphs-dev-1.0.9.2-af064
- virtueel pakket geboden door libghc-ftphs-dev
- libghc-ftphs-doc (1.0.9.2-3)
- FTP Client and Server Library for Haskell; documentation
- libghc-ftphs-prof (1.0.9.2-3+b2)
- FTP Client and Server Library for Haskell; profiling libraries
- libghc-ftphs-prof-1.0.9.2-6bd0c
- virtueel pakket geboden door libghc-ftphs-prof
- libghc-ftphs-prof-1.0.9.2-71b94
- virtueel pakket geboden door libghc-ftphs-prof
- libghc-ftphs-prof-1.0.9.2-8707f
- virtueel pakket geboden door libghc-ftphs-prof
- libghc-ftphs-prof-1.0.9.2-af064
- virtueel pakket geboden door libghc-ftphs-prof
- libghc-gd-dev (3000.7.3-12+b2)
- bindings to the GD graphics library
- libghc-gd-dev-3000.7.3-1db14
- virtueel pakket geboden door libghc-gd-dev
- libghc-gd-dev-3000.7.3-7c4a4
- virtueel pakket geboden door libghc-gd-dev
- libghc-gd-dev-3000.7.3-8c66d
- virtueel pakket geboden door libghc-gd-dev
- libghc-gd-dev-3000.7.3-eef29
- virtueel pakket geboden door libghc-gd-dev
- libghc-gd-doc (3000.7.3-12)
- bindings to the GD graphics library; documentation
- libghc-gd-prof (3000.7.3-12+b2)
- bindings to the GD graphics library; profiling libraries
- libghc-gd-prof-3000.7.3-1db14
- virtueel pakket geboden door libghc-gd-prof
- libghc-gd-prof-3000.7.3-7c4a4
- virtueel pakket geboden door libghc-gd-prof
- libghc-gd-prof-3000.7.3-8c66d
- virtueel pakket geboden door libghc-gd-prof
- libghc-gd-prof-3000.7.3-eef29
- virtueel pakket geboden door libghc-gd-prof
- libghc-generic-deriving-dev (1.12.2-2+b2)
- generic programming library for generalised deriving
- libghc-generic-deriving-dev-1.12.2-1da62
- virtueel pakket geboden door libghc-generic-deriving-dev
- libghc-generic-deriving-dev-1.12.2-ba67e
- virtueel pakket geboden door libghc-generic-deriving-dev
- libghc-generic-deriving-dev-1.12.2-ddd46
- virtueel pakket geboden door libghc-generic-deriving-dev
- libghc-generic-deriving-dev-1.12.2-f92f7
- virtueel pakket geboden door libghc-generic-deriving-dev
- libghc-generic-deriving-doc (1.12.2-2)
- generic programming library for generalised deriving; documentation
- libghc-generic-deriving-prof (1.12.2-2+b2)
- generic programming library for generalised deriving; profiling libraries
- libghc-generic-deriving-prof-1.12.2-1da62
- virtueel pakket geboden door libghc-generic-deriving-prof
- libghc-generic-deriving-prof-1.12.2-ba67e
- virtueel pakket geboden door libghc-generic-deriving-prof
- libghc-generic-deriving-prof-1.12.2-ddd46
- virtueel pakket geboden door libghc-generic-deriving-prof
- libghc-generic-deriving-prof-1.12.2-f92f7
- virtueel pakket geboden door libghc-generic-deriving-prof
- libghc-generic-trie-dev (0.3.1-3+b2)
- a map, where the keys may be complex structured data
- libghc-generic-trie-dev-0.3.1-06526
- virtueel pakket geboden door libghc-generic-trie-dev
- libghc-generic-trie-dev-0.3.1-5b536
- virtueel pakket geboden door libghc-generic-trie-dev
- libghc-generic-trie-dev-0.3.1-ad350
- virtueel pakket geboden door libghc-generic-trie-dev
- libghc-generic-trie-dev-0.3.1-d03e7
- virtueel pakket geboden door libghc-generic-trie-dev
- libghc-generic-trie-doc (0.3.1-3)
- a map, where the keys may be complex structured data; documentation
- libghc-generic-trie-prof (0.3.1-3+b2)
- a map, where the keys may be complex structured data; profiling libraries
- libghc-generic-trie-prof-0.3.1-06526
- virtueel pakket geboden door libghc-generic-trie-prof
- libghc-generic-trie-prof-0.3.1-5b536
- virtueel pakket geboden door libghc-generic-trie-prof
- libghc-generic-trie-prof-0.3.1-ad350
- virtueel pakket geboden door libghc-generic-trie-prof
- libghc-generic-trie-prof-0.3.1-d03e7
- virtueel pakket geboden door libghc-generic-trie-prof
- libghc-generics-sop-dev (0.3.2.0-2+b2)
- Generic Programming using True Sums of Products
- libghc-generics-sop-dev-0.3.2.0-2ef9f
- virtueel pakket geboden door libghc-generics-sop-dev
- libghc-generics-sop-dev-0.3.2.0-4052f
- virtueel pakket geboden door libghc-generics-sop-dev
- libghc-generics-sop-dev-0.3.2.0-8724f
- virtueel pakket geboden door libghc-generics-sop-dev
- libghc-generics-sop-dev-0.3.2.0-f7826
- virtueel pakket geboden door libghc-generics-sop-dev
- libghc-generics-sop-doc (0.3.2.0-2)
- Generic Programming using True Sums of Products; documentation
- libghc-generics-sop-prof (0.3.2.0-2+b2)
- Generic Programming using True Sums of Products; profiling libraries
- libghc-generics-sop-prof-0.3.2.0-2ef9f
- virtueel pakket geboden door libghc-generics-sop-prof
- libghc-generics-sop-prof-0.3.2.0-4052f
- virtueel pakket geboden door libghc-generics-sop-prof
- libghc-generics-sop-prof-0.3.2.0-8724f
- virtueel pakket geboden door libghc-generics-sop-prof
- libghc-generics-sop-prof-0.3.2.0-f7826
- virtueel pakket geboden door libghc-generics-sop-prof
- libghc-geniplate-mirror-dev (0.7.6-3+b2)
- Use Template Haskell to generate Uniplate-like functions.
- libghc-geniplate-mirror-dev-0.7.6-18be8
- virtueel pakket geboden door libghc-geniplate-mirror-dev
- libghc-geniplate-mirror-dev-0.7.6-241c7
- virtueel pakket geboden door libghc-geniplate-mirror-dev
- libghc-geniplate-mirror-dev-0.7.6-ce5d7
- virtueel pakket geboden door libghc-geniplate-mirror-dev
- libghc-geniplate-mirror-doc (0.7.6-3)
- Use Template Haskell to generate Uniplate-like functions.; documentation
- libghc-geniplate-mirror-prof (0.7.6-3+b2)
- Use Template Haskell to generate Uniplate-like functions.; profiling libraries
- libghc-geniplate-mirror-prof-0.7.6-18be8
- virtueel pakket geboden door libghc-geniplate-mirror-prof
- libghc-geniplate-mirror-prof-0.7.6-241c7
- virtueel pakket geboden door libghc-geniplate-mirror-prof
- libghc-geniplate-mirror-prof-0.7.6-ce5d7
- virtueel pakket geboden door libghc-geniplate-mirror-prof
- libghc-genvalidity-dev (0.5.1.0-1+b2)
- testing utilities for the validity library
- libghc-genvalidity-dev-0.5.1.0-61461
- virtueel pakket geboden door libghc-genvalidity-dev
- libghc-genvalidity-dev-0.5.1.0-be497
- virtueel pakket geboden door libghc-genvalidity-dev
- libghc-genvalidity-dev-0.5.1.0-d85b4
- virtueel pakket geboden door libghc-genvalidity-dev
- libghc-genvalidity-dev-0.5.1.0-e33ba
- virtueel pakket geboden door libghc-genvalidity-dev
- libghc-genvalidity-doc (0.5.1.0-1)
- testing utilities for the validity library; documentation
- libghc-genvalidity-prof (0.5.1.0-1+b2)
- testing utilities for the validity library; profiling libraries
- libghc-genvalidity-prof-0.5.1.0-61461
- virtueel pakket geboden door libghc-genvalidity-prof
- libghc-genvalidity-prof-0.5.1.0-be497
- virtueel pakket geboden door libghc-genvalidity-prof
- libghc-genvalidity-prof-0.5.1.0-d85b4
- virtueel pakket geboden door libghc-genvalidity-prof
- libghc-genvalidity-prof-0.5.1.0-e33ba
- virtueel pakket geboden door libghc-genvalidity-prof
- libghc-genvalidity-property-dev (0.2.1.0-1+b2)
- standard properties for functions on Validity types
- libghc-genvalidity-property-dev-0.2.1.0-9c756
- virtueel pakket geboden door libghc-genvalidity-property-dev
- libghc-genvalidity-property-dev-0.2.1.0-9eb27
- virtueel pakket geboden door libghc-genvalidity-property-dev
- libghc-genvalidity-property-dev-0.2.1.0-cf789
- virtueel pakket geboden door libghc-genvalidity-property-dev
- libghc-genvalidity-property-dev-0.2.1.0-e2cb1
- virtueel pakket geboden door libghc-genvalidity-property-dev
- libghc-genvalidity-property-doc (0.2.1.0-1)
- standard properties for functions on Validity types; documentation
- libghc-genvalidity-property-prof (0.2.1.0-1+b2)
- standard properties for functions on Validity types; profiling libraries
- libghc-genvalidity-property-prof-0.2.1.0-9c756
- virtueel pakket geboden door libghc-genvalidity-property-prof
- libghc-genvalidity-property-prof-0.2.1.0-9eb27
- virtueel pakket geboden door libghc-genvalidity-property-prof
- libghc-genvalidity-property-prof-0.2.1.0-cf789
- virtueel pakket geboden door libghc-genvalidity-property-prof
- libghc-genvalidity-property-prof-0.2.1.0-e2cb1
- virtueel pakket geboden door libghc-genvalidity-property-prof
- libghc-getopt-generics-dev (0.13.0.2-2+b2)
- create command line interfaces with ease
- libghc-getopt-generics-dev-0.13.0.2-2588b
- virtueel pakket geboden door libghc-getopt-generics-dev
- libghc-getopt-generics-dev-0.13.0.2-8b563
- virtueel pakket geboden door libghc-getopt-generics-dev
- libghc-getopt-generics-dev-0.13.0.2-c0c18
- virtueel pakket geboden door libghc-getopt-generics-dev
- libghc-getopt-generics-dev-0.13.0.2-de1f3
- virtueel pakket geboden door libghc-getopt-generics-dev
- libghc-getopt-generics-doc (0.13.0.2-2)
- create command line interfaces with ease; documentation
- libghc-getopt-generics-prof (0.13.0.2-2+b2)
- create command line interfaces with ease; profiling libraries
- libghc-getopt-generics-prof-0.13.0.2-2588b
- virtueel pakket geboden door libghc-getopt-generics-prof
- libghc-getopt-generics-prof-0.13.0.2-8b563
- virtueel pakket geboden door libghc-getopt-generics-prof
- libghc-getopt-generics-prof-0.13.0.2-c0c18
- virtueel pakket geboden door libghc-getopt-generics-prof
- libghc-getopt-generics-prof-0.13.0.2-de1f3
- virtueel pakket geboden door libghc-getopt-generics-prof
- libghc-ghc-boot-dev (= 8.4.4)
- virtueel pakket geboden door ghc
- libghc-ghc-boot-dev-8.4.4-107b5
- virtueel pakket geboden door ghc
- libghc-ghc-boot-dev-8.4.4-17a9f
- virtueel pakket geboden door ghc
- libghc-ghc-boot-dev-8.4.4-6fbae
- virtueel pakket geboden door ghc
- libghc-ghc-boot-dev-8.4.4-bf778
- virtueel pakket geboden door ghc
- libghc-ghc-boot-doc (= 8.4.4)
- virtueel pakket geboden door ghc-doc
- libghc-ghc-boot-prof (= 8.4.4)
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-prof-8.4.4-107b5
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-prof-8.4.4-17a9f
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-prof-8.4.4-6fbae
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-prof-8.4.4-bf778
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-th-dev (= 8.4.4)
- virtueel pakket geboden door ghc
- libghc-ghc-boot-th-dev-8.4.4-61b67
- virtueel pakket geboden door ghc
- libghc-ghc-boot-th-dev-8.4.4-d8bd5
- virtueel pakket geboden door ghc
- libghc-ghc-boot-th-doc (= 8.4.4)
- virtueel pakket geboden door ghc-doc
- libghc-ghc-boot-th-prof (= 8.4.4)
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-th-prof-8.4.4-61b67
- virtueel pakket geboden door ghc-prof
- libghc-ghc-boot-th-prof-8.4.4-d8bd5
- virtueel pakket geboden door ghc-prof
- libghc-ghc-compact-dev (= 0.1.0.0)
- virtueel pakket geboden door ghc
- libghc-ghc-compact-dev-0.1.0.0-1d6e8
- virtueel pakket geboden door ghc
- libghc-ghc-compact-dev-0.1.0.0-93987
- virtueel pakket geboden door ghc
- libghc-ghc-compact-dev-0.1.0.0-a22ec
- virtueel pakket geboden door ghc
- libghc-ghc-compact-dev-0.1.0.0-cc2fa
- virtueel pakket geboden door ghc
- libghc-ghc-compact-doc (= 0.1.0.0)
- virtueel pakket geboden door ghc-doc
- libghc-ghc-compact-prof (= 0.1.0.0)
- virtueel pakket geboden door ghc-prof
- libghc-ghc-compact-prof-0.1.0.0-1d6e8
- virtueel pakket geboden door ghc-prof
- libghc-ghc-compact-prof-0.1.0.0-93987
- virtueel pakket geboden door ghc-prof
- libghc-ghc-compact-prof-0.1.0.0-a22ec
- virtueel pakket geboden door ghc-prof
- libghc-ghc-compact-prof-0.1.0.0-cc2fa
- virtueel pakket geboden door ghc-prof
- libghc-ghc-dev-8.4.4-06393
- virtueel pakket geboden door ghc
- libghc-ghc-dev-8.4.4-07c21
- virtueel pakket geboden door ghc
- libghc-ghc-dev-8.4.4-ccd34
- virtueel pakket geboden door ghc
- libghc-ghc-dev-8.4.4-d5d1b
- virtueel pakket geboden door ghc
- libghc-ghc-events-dev (0.8.0-2+b3 [amd64, arm64], 0.8.0-2+b2 [armhf], 0.8.0-2+b1 [i386])
- Library for parsing GHC event log files
- libghc-ghc-events-dev-0.8.0-54845
- virtueel pakket geboden door libghc-ghc-events-dev
- libghc-ghc-events-dev-0.8.0-5b323
- virtueel pakket geboden door libghc-ghc-events-dev
- libghc-ghc-events-dev-0.8.0-9d379
- virtueel pakket geboden door libghc-ghc-events-dev
- libghc-ghc-events-dev-0.8.0-fce5e
- virtueel pakket geboden door libghc-ghc-events-dev
- libghc-ghc-events-doc (0.8.0-2)
- Library for parsing GHC event log files; documentation
- libghc-ghc-events-prof (0.8.0-2+b3 [amd64, arm64], 0.8.0-2+b2 [armhf], 0.8.0-2+b1 [i386])
- Library for parsing GHC event log files; profiling libraries
- libghc-ghc-events-prof-0.8.0-54845
- virtueel pakket geboden door libghc-ghc-events-prof
- libghc-ghc-events-prof-0.8.0-5b323
- virtueel pakket geboden door libghc-ghc-events-prof
- libghc-ghc-events-prof-0.8.0-9d379
- virtueel pakket geboden door libghc-ghc-events-prof
- libghc-ghc-events-prof-0.8.0-fce5e
- virtueel pakket geboden door libghc-ghc-events-prof
- libghc-ghc-mtl-dev (1.2.1.0-9+b3)
- an mtl compatible version of the Ghc-Api monads
- libghc-ghc-mtl-dev-1.2.1.0-52f9f
- virtueel pakket geboden door libghc-ghc-mtl-dev
- libghc-ghc-mtl-dev-1.2.1.0-5bed8
- virtueel pakket geboden door libghc-ghc-mtl-dev
- libghc-ghc-mtl-dev-1.2.1.0-ca068
- virtueel pakket geboden door libghc-ghc-mtl-dev
- libghc-ghc-mtl-dev-1.2.1.0-f3c1e
- virtueel pakket geboden door libghc-ghc-mtl-dev
- libghc-ghc-mtl-doc (1.2.1.0-9)
- an mtl compatible version of the Ghc-Api monads; documentation
- libghc-ghc-mtl-prof (1.2.1.0-9+b3)
- an mtl compatible version of the Ghc-Api monads; profiling libraries
- libghc-ghc-mtl-prof-1.2.1.0-52f9f
- virtueel pakket geboden door libghc-ghc-mtl-prof
- libghc-ghc-mtl-prof-1.2.1.0-5bed8
- virtueel pakket geboden door libghc-ghc-mtl-prof
- libghc-ghc-mtl-prof-1.2.1.0-ca068
- virtueel pakket geboden door libghc-ghc-mtl-prof
- libghc-ghc-mtl-prof-1.2.1.0-f3c1e
- virtueel pakket geboden door libghc-ghc-mtl-prof
- libghc-ghc-paths-dev (0.1.0.9-11+b2)
- knowledge of GHC's installation directories
- libghc-ghc-paths-dev-0.1.0.9-48433
- virtueel pakket geboden door libghc-ghc-paths-dev
- libghc-ghc-paths-dev-0.1.0.9-9a708
- virtueel pakket geboden door libghc-ghc-paths-dev
- libghc-ghc-paths-doc (0.1.0.9-11)
- knowledge of GHC's installation directories; documentation
- libghc-ghc-paths-prof (0.1.0.9-11+b2)
- knowledge of GHC's installation directories; profiling libraries
- libghc-ghc-paths-prof-0.1.0.9-48433
- virtueel pakket geboden door libghc-ghc-paths-prof
- libghc-ghc-paths-prof-0.1.0.9-9a708
- virtueel pakket geboden door libghc-ghc-paths-prof
- libghc-ghc-prim-dev (= 0.5.2.0)
- virtueel pakket geboden door ghc
- libghc-ghc-prim-dev-0.5.2.0-0a259
- virtueel pakket geboden door ghc
- libghc-ghc-prim-dev-0.5.2.0-18731
- virtueel pakket geboden door ghc
- libghc-ghc-prim-doc (= 0.5.2.0)
- virtueel pakket geboden door ghc-doc
- libghc-ghc-prim-prof (= 0.5.2.0)
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prim-prof-0.5.2.0-0a259
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prim-prof-0.5.2.0-18731
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prof-8.4.4-06393
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prof-8.4.4-07c21
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prof-8.4.4-ccd34
- virtueel pakket geboden door ghc-prof
- libghc-ghc-prof-8.4.4-d5d1b
- virtueel pakket geboden door ghc-prof
- libghc-ghc-syb-utils-dev (0.3.0.0-1+b3)
- Scrap Your Boilerplate utilities for the GHC API
- libghc-ghc-syb-utils-dev-0.3.0.0-25dbf
- virtueel pakket geboden door libghc-ghc-syb-utils-dev
- libghc-ghc-syb-utils-dev-0.3.0.0-d1a14
- virtueel pakket geboden door libghc-ghc-syb-utils-dev
- libghc-ghc-syb-utils-dev-0.3.0.0-ebf4d
- virtueel pakket geboden door libghc-ghc-syb-utils-dev
- libghc-ghc-syb-utils-dev-0.3.0.0-f60e1
- virtueel pakket geboden door libghc-ghc-syb-utils-dev
- libghc-ghc-syb-utils-doc (0.3.0.0-1)
- Scrap Your Boilerplate utilities for the GHC API; documentation
- libghc-ghc-syb-utils-prof (0.3.0.0-1+b3)
- Scrap Your Boilerplate utilities for the GHC API; profiling libraries
- libghc-ghc-syb-utils-prof-0.3.0.0-25dbf
- virtueel pakket geboden door libghc-ghc-syb-utils-prof
- libghc-ghc-syb-utils-prof-0.3.0.0-d1a14
- virtueel pakket geboden door libghc-ghc-syb-utils-prof
- libghc-ghc-syb-utils-prof-0.3.0.0-ebf4d
- virtueel pakket geboden door libghc-ghc-syb-utils-prof
- libghc-ghc-syb-utils-prof-0.3.0.0-f60e1
- virtueel pakket geboden door libghc-ghc-syb-utils-prof
- libghc-ghci-dev (= 8.4.4)
- virtueel pakket geboden door ghc
- libghc-ghci-dev-8.4.4-53515
- virtueel pakket geboden door ghc
- libghc-ghci-dev-8.4.4-8deec
- virtueel pakket geboden door ghc
- libghc-ghci-dev-8.4.4-973ae
- virtueel pakket geboden door ghc
- libghc-ghci-dev-8.4.4-deff4
- virtueel pakket geboden door ghc
- libghc-ghci-doc (= 8.4.4)
- virtueel pakket geboden door ghc-doc
- libghc-ghci-prof (= 8.4.4)
- virtueel pakket geboden door ghc-prof
- libghc-ghci-prof-8.4.4-53515
- virtueel pakket geboden door ghc-prof
- libghc-ghci-prof-8.4.4-8deec
- virtueel pakket geboden door ghc-prof
- libghc-ghci-prof-8.4.4-973ae
- virtueel pakket geboden door ghc-prof
- libghc-ghci-prof-8.4.4-deff4
- virtueel pakket geboden door ghc-prof
- libghc-gi-atk-dev (2.0.15-1)
- ATK bindings
- libghc-gi-atk-dev-2.0.15-071d2
- virtueel pakket geboden door libghc-gi-atk-dev
- libghc-gi-atk-dev-2.0.15-6c46c
- virtueel pakket geboden door libghc-gi-atk-dev
- libghc-gi-atk-dev-2.0.15-7fa21
- virtueel pakket geboden door libghc-gi-atk-dev
- libghc-gi-atk-dev-2.0.15-ef22c
- virtueel pakket geboden door libghc-gi-atk-dev
- libghc-gi-atk-doc (2.0.15-1)
- ATK bindings; documentation
- libghc-gi-atk-prof (2.0.15-1)
- ATK bindings; profiling libraries
- libghc-gi-atk-prof-2.0.15-071d2
- virtueel pakket geboden door libghc-gi-atk-prof
- libghc-gi-atk-prof-2.0.15-6c46c
- virtueel pakket geboden door libghc-gi-atk-prof
- libghc-gi-atk-prof-2.0.15-7fa21
- virtueel pakket geboden door libghc-gi-atk-prof
- libghc-gi-atk-prof-2.0.15-ef22c
- virtueel pakket geboden door libghc-gi-atk-prof
- libghc-gi-cairo-dev (1.0.17-1)
- Cairo bindings
- libghc-gi-cairo-dev-1.0.17-0936e
- virtueel pakket geboden door libghc-gi-cairo-dev
- libghc-gi-cairo-dev-1.0.17-44d0b
- virtueel pakket geboden door libghc-gi-cairo-dev
- libghc-gi-cairo-dev-1.0.17-a3484
- virtueel pakket geboden door libghc-gi-cairo-dev
- libghc-gi-cairo-dev-1.0.17-fcde5
- virtueel pakket geboden door libghc-gi-cairo-dev
- libghc-gi-cairo-doc (1.0.17-1)
- Cairo bindings; documentation
- libghc-gi-cairo-prof (1.0.17-1)
- Cairo bindings; profiling libraries
- libghc-gi-cairo-prof-1.0.17-0936e
- virtueel pakket geboden door libghc-gi-cairo-prof
- libghc-gi-cairo-prof-1.0.17-44d0b
- virtueel pakket geboden door libghc-gi-cairo-prof
- libghc-gi-cairo-prof-1.0.17-a3484
- virtueel pakket geboden door libghc-gi-cairo-prof
- libghc-gi-cairo-prof-1.0.17-fcde5
- virtueel pakket geboden door libghc-gi-cairo-prof
- (0.4.1-1)
- DBusMenu bindings
- virtueel pakket geboden door libghc-gi-dbusmenu-dev
- virtueel pakket geboden door libghc-gi-dbusmenu-dev
- virtueel pakket geboden door libghc-gi-dbusmenu-dev
- virtueel pakket geboden door libghc-gi-dbusmenu-dev
- (0.4.1-1)
- DBusMenu bindings; documentation
- (0.4.1-1)
- DBusMenu bindings; profiling libraries
- virtueel pakket geboden door libghc-gi-dbusmenu-prof
- virtueel pakket geboden door libghc-gi-dbusmenu-prof
- virtueel pakket geboden door libghc-gi-dbusmenu-prof
- virtueel pakket geboden door libghc-gi-dbusmenu-prof
- (0.4.2-1)
- DBusMenu-GTK3 bindings
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-dev
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-dev
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-dev
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-dev
- (0.4.2-1)
- DBusMenu-GTK3 bindings; documentation
- (0.4.2-1)
- DBusMenu-GTK3 bindings; profiling libraries
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-prof
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-prof
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-prof
- virtueel pakket geboden door libghc-gi-dbusmenugtk3-prof
- libghc-gi-gdk-dev (3.0.16-1)
- GDK bindings
- libghc-gi-gdk-dev-3.0.16-041ad
- virtueel pakket geboden door libghc-gi-gdk-dev
- libghc-gi-gdk-dev-3.0.16-13545
- virtueel pakket geboden door libghc-gi-gdk-dev
- libghc-gi-gdk-dev-3.0.16-8bc68
- virtueel pakket geboden door libghc-gi-gdk-dev
- libghc-gi-gdk-dev-3.0.16-a99d7
- virtueel pakket geboden door libghc-gi-gdk-dev
- libghc-gi-gdk-doc (3.0.16-1)
- GDK bindings; documentation
- libghc-gi-gdk-prof (3.0.16-1)
- GDK bindings; profiling libraries
- libghc-gi-gdk-prof-3.0.16-041ad
- virtueel pakket geboden door libghc-gi-gdk-prof
- libghc-gi-gdk-prof-3.0.16-13545
- virtueel pakket geboden door libghc-gi-gdk-prof
- libghc-gi-gdk-prof-3.0.16-8bc68
- virtueel pakket geboden door libghc-gi-gdk-prof
- libghc-gi-gdk-prof-3.0.16-a99d7
- virtueel pakket geboden door libghc-gi-gdk-prof
- libghc-gi-gdkpixbuf-dev (2.0.16-1)
- GdkPixbuf bindings
- libghc-gi-gdkpixbuf-dev-2.0.16-231cc
- virtueel pakket geboden door libghc-gi-gdkpixbuf-dev
- libghc-gi-gdkpixbuf-dev-2.0.16-3db26
- virtueel pakket geboden door libghc-gi-gdkpixbuf-dev
- libghc-gi-gdkpixbuf-dev-2.0.16-4d85c
- virtueel pakket geboden door libghc-gi-gdkpixbuf-dev
- libghc-gi-gdkpixbuf-dev-2.0.16-e8fbb
- virtueel pakket geboden door libghc-gi-gdkpixbuf-dev
- libghc-gi-gdkpixbuf-doc (2.0.16-1)
- GdkPixbuf bindings; documentation
- libghc-gi-gdkpixbuf-prof (2.0.16-1)
- GdkPixbuf bindings; profiling libraries
- libghc-gi-gdkpixbuf-prof-2.0.16-231cc
- virtueel pakket geboden door libghc-gi-gdkpixbuf-prof
- libghc-gi-gdkpixbuf-prof-2.0.16-3db26
- virtueel pakket geboden door libghc-gi-gdkpixbuf-prof
- libghc-gi-gdkpixbuf-prof-2.0.16-4d85c
- virtueel pakket geboden door libghc-gi-gdkpixbuf-prof
- libghc-gi-gdkpixbuf-prof-2.0.16-e8fbb
- virtueel pakket geboden door libghc-gi-gdkpixbuf-prof
- libghc-gi-gdkx11-dev (3.0.2-1)
- GDKX11 bindings
- libghc-gi-gdkx11-dev-3.0.2-15d82
- virtueel pakket geboden door libghc-gi-gdkx11-dev
- libghc-gi-gdkx11-dev-3.0.2-4277b
- virtueel pakket geboden door libghc-gi-gdkx11-dev
- libghc-gi-gdkx11-dev-3.0.2-4b700
- virtueel pakket geboden door libghc-gi-gdkx11-dev
- libghc-gi-gdkx11-dev-3.0.2-da1b1
- virtueel pakket geboden door libghc-gi-gdkx11-dev
- libghc-gi-gdkx11-doc (3.0.2-1)
- GDKX11 bindings; documentation
- libghc-gi-gdkx11-prof (3.0.2-1)
- GDKX11 bindings; profiling libraries
- libghc-gi-gdkx11-prof-3.0.2-15d82
- virtueel pakket geboden door libghc-gi-gdkx11-prof
- libghc-gi-gdkx11-prof-3.0.2-4277b
- virtueel pakket geboden door libghc-gi-gdkx11-prof
- libghc-gi-gdkx11-prof-3.0.2-4b700
- virtueel pakket geboden door libghc-gi-gdkx11-prof
- libghc-gi-gdkx11-prof-3.0.2-da1b1
- virtueel pakket geboden door libghc-gi-gdkx11-prof
- libghc-gi-gio-dev (2.0.18-1)
- Gio bindings
- libghc-gi-gio-dev-2.0.18-19b93
- virtueel pakket geboden door libghc-gi-gio-dev
- libghc-gi-gio-dev-2.0.18-8ce47
- virtueel pakket geboden door libghc-gi-gio-dev
- libghc-gi-gio-dev-2.0.18-92729
- virtueel pakket geboden door libghc-gi-gio-dev
- libghc-gi-gio-dev-2.0.18-fedb5
- virtueel pakket geboden door libghc-gi-gio-dev
- libghc-gi-gio-doc (2.0.18-1)
- Gio bindings; documentation
- libghc-gi-gio-prof (2.0.18-1)
- Gio bindings; profiling libraries
- libghc-gi-gio-prof-2.0.18-19b93
- virtueel pakket geboden door libghc-gi-gio-prof
- libghc-gi-gio-prof-2.0.18-8ce47
- virtueel pakket geboden door libghc-gi-gio-prof
- libghc-gi-gio-prof-2.0.18-92729
- virtueel pakket geboden door libghc-gi-gio-prof
- libghc-gi-gio-prof-2.0.18-fedb5
- virtueel pakket geboden door libghc-gi-gio-prof
- libghc-gi-glib-dev (2.0.17-1+b1 [amd64], 2.0.17-1 [arm64, armhf, i386])
- GLib bindings
- libghc-gi-glib-dev-2.0.17-4575c
- virtueel pakket geboden door libghc-gi-glib-dev
- libghc-gi-glib-dev-2.0.17-61089
- virtueel pakket geboden door libghc-gi-glib-dev
- libghc-gi-glib-dev-2.0.17-eaf2c
- virtueel pakket geboden door libghc-gi-glib-dev
- libghc-gi-glib-dev-2.0.17-f4c52
- virtueel pakket geboden door libghc-gi-glib-dev
- libghc-gi-glib-doc (2.0.17-1)
- GLib bindings; documentation
- libghc-gi-glib-prof (2.0.17-1+b1 [amd64], 2.0.17-1 [arm64, armhf, i386])
- GLib bindings; profiling libraries
- libghc-gi-glib-prof-2.0.17-4575c
- virtueel pakket geboden door libghc-gi-glib-prof
- libghc-gi-glib-prof-2.0.17-61089
- virtueel pakket geboden door libghc-gi-glib-prof
- libghc-gi-glib-prof-2.0.17-eaf2c
- virtueel pakket geboden door libghc-gi-glib-prof
- libghc-gi-glib-prof-2.0.17-f4c52
- virtueel pakket geboden door libghc-gi-glib-prof
- libghc-gi-gobject-dev (2.0.16-1)
- GObject bindings
- libghc-gi-gobject-dev-2.0.16-1f717
- virtueel pakket geboden door libghc-gi-gobject-dev
- libghc-gi-gobject-dev-2.0.16-2a41c
- virtueel pakket geboden door libghc-gi-gobject-dev
- libghc-gi-gobject-dev-2.0.16-4ca3a
- virtueel pakket geboden door libghc-gi-gobject-dev
- libghc-gi-gobject-dev-2.0.16-c1cba
- virtueel pakket geboden door libghc-gi-gobject-dev
- libghc-gi-gobject-doc (2.0.16-1)
- GObject bindings; documentation
- libghc-gi-gobject-prof (2.0.16-1)
- GObject bindings; profiling libraries
- libghc-gi-gobject-prof-2.0.16-1f717
- virtueel pakket geboden door libghc-gi-gobject-prof
- libghc-gi-gobject-prof-2.0.16-2a41c
- virtueel pakket geboden door libghc-gi-gobject-prof
- libghc-gi-gobject-prof-2.0.16-4ca3a
- virtueel pakket geboden door libghc-gi-gobject-prof
- libghc-gi-gobject-prof-2.0.16-c1cba
- virtueel pakket geboden door libghc-gi-gobject-prof
- libghc-gi-gtk-dev (3.0.24-1)
- GTK bindings
- libghc-gi-gtk-dev-3.0.24-07bda
- virtueel pakket geboden door libghc-gi-gtk-dev
- libghc-gi-gtk-dev-3.0.24-1aec5
- virtueel pakket geboden door libghc-gi-gtk-dev
- libghc-gi-gtk-dev-3.0.24-70e4d
- virtueel pakket geboden door libghc-gi-gtk-dev
- libghc-gi-gtk-dev-3.0.24-7fe94
- virtueel pakket geboden door libghc-gi-gtk-dev
- libghc-gi-gtk-doc (3.0.24-1)
- GTK bindings; documentation
- libghc-gi-gtk-hs-dev (0.3.6.1-1)
- idiomatic API parts on top of gi-gtk
- libghc-gi-gtk-hs-dev-0.3.6.1-130e5
- virtueel pakket geboden door libghc-gi-gtk-hs-dev
- libghc-gi-gtk-hs-dev-0.3.6.1-4a978
- virtueel pakket geboden door libghc-gi-gtk-hs-dev
- libghc-gi-gtk-hs-dev-0.3.6.1-de247
- virtueel pakket geboden door libghc-gi-gtk-hs-dev
- libghc-gi-gtk-hs-dev-0.3.6.1-f83b8
- virtueel pakket geboden door libghc-gi-gtk-hs-dev
- libghc-gi-gtk-hs-doc (0.3.6.1-1)
- idiomatic API parts on top of gi-gtk; documentation
- libghc-gi-gtk-hs-prof (0.3.6.1-1)
- idiomatic API parts on top of gi-gtk; profiling libraries
- libghc-gi-gtk-hs-prof-0.3.6.1-130e5
- virtueel pakket geboden door libghc-gi-gtk-hs-prof
- libghc-gi-gtk-hs-prof-0.3.6.1-4a978
- virtueel pakket geboden door libghc-gi-gtk-hs-prof
- libghc-gi-gtk-hs-prof-0.3.6.1-de247
- virtueel pakket geboden door libghc-gi-gtk-hs-prof
- libghc-gi-gtk-hs-prof-0.3.6.1-f83b8
- virtueel pakket geboden door libghc-gi-gtk-hs-prof
- libghc-gi-gtk-prof (3.0.24-1)
- GTK bindings; profiling libraries
- libghc-gi-gtk-prof-3.0.24-07bda
- virtueel pakket geboden door libghc-gi-gtk-prof
- libghc-gi-gtk-prof-3.0.24-1aec5
- virtueel pakket geboden door libghc-gi-gtk-prof
- libghc-gi-gtk-prof-3.0.24-70e4d
- virtueel pakket geboden door libghc-gi-gtk-prof
- libghc-gi-gtk-prof-3.0.24-7fe94
- virtueel pakket geboden door libghc-gi-gtk-prof
- libghc-gi-pango-dev (1.0.16-1)
- Pango bindings
- libghc-gi-pango-dev-1.0.16-2a6fc
- virtueel pakket geboden door libghc-gi-pango-dev
- libghc-gi-pango-dev-1.0.16-55126
- virtueel pakket geboden door libghc-gi-pango-dev
- libghc-gi-pango-dev-1.0.16-81ffb
- virtueel pakket geboden door libghc-gi-pango-dev
- libghc-gi-pango-dev-1.0.16-de33b
- virtueel pakket geboden door libghc-gi-pango-dev
- libghc-gi-pango-doc (1.0.16-1)
- Pango bindings; documentation
- libghc-gi-pango-prof (1.0.16-1)
- Pango bindings; profiling libraries
- libghc-gi-pango-prof-1.0.16-2a6fc
- virtueel pakket geboden door libghc-gi-pango-prof
- libghc-gi-pango-prof-1.0.16-55126
- virtueel pakket geboden door libghc-gi-pango-prof
- libghc-gi-pango-prof-1.0.16-81ffb
- virtueel pakket geboden door libghc-gi-pango-prof
- libghc-gi-pango-prof-1.0.16-de33b
- virtueel pakket geboden door libghc-gi-pango-prof
- libghc-gi-vte-dev (2.91.19-1)
- VTE bindings
- libghc-gi-vte-dev-2.91.19-0a43f
- virtueel pakket geboden door libghc-gi-vte-dev
- libghc-gi-vte-dev-2.91.19-63288
- virtueel pakket geboden door libghc-gi-vte-dev
- libghc-gi-vte-dev-2.91.19-dc9ba
- virtueel pakket geboden door libghc-gi-vte-dev
- libghc-gi-vte-dev-2.91.19-dd16e
- virtueel pakket geboden door libghc-gi-vte-dev
- libghc-gi-vte-doc (2.91.19-1)
- VTE bindings; documentation
- libghc-gi-vte-prof (2.91.19-1)
- VTE bindings; profiling libraries
- libghc-gi-vte-prof-2.91.19-0a43f
- virtueel pakket geboden door libghc-gi-vte-prof
- libghc-gi-vte-prof-2.91.19-63288
- virtueel pakket geboden door libghc-gi-vte-prof
- libghc-gi-vte-prof-2.91.19-dc9ba
- virtueel pakket geboden door libghc-gi-vte-prof
- libghc-gi-vte-prof-2.91.19-dd16e
- virtueel pakket geboden door libghc-gi-vte-prof
- libghc-gi-xlib-dev (2.0.2-1)
- Xlib bindings
- libghc-gi-xlib-dev-2.0.2-117da
- virtueel pakket geboden door libghc-gi-xlib-dev
- libghc-gi-xlib-dev-2.0.2-298e7
- virtueel pakket geboden door libghc-gi-xlib-dev
- libghc-gi-xlib-dev-2.0.2-aa4f4
- virtueel pakket geboden door libghc-gi-xlib-dev
- libghc-gi-xlib-dev-2.0.2-f38ba
- virtueel pakket geboden door libghc-gi-xlib-dev
- libghc-gi-xlib-doc (2.0.2-1)
- Xlib bindings; documentation
- libghc-gi-xlib-prof (2.0.2-1)
- Xlib bindings; profiling libraries
- libghc-gi-xlib-prof-2.0.2-117da
- virtueel pakket geboden door libghc-gi-xlib-prof
- libghc-gi-xlib-prof-2.0.2-298e7
- virtueel pakket geboden door libghc-gi-xlib-prof
- libghc-gi-xlib-prof-2.0.2-aa4f4
- virtueel pakket geboden door libghc-gi-xlib-prof
- libghc-gi-xlib-prof-2.0.2-f38ba
- virtueel pakket geboden door libghc-gi-xlib-prof
- libghc-gio-dev (0.13.5.0-3+b2 [amd64, arm64, armhf], 0.13.5.0-3+b1 [i386])
- binding to the GIO
- libghc-gio-dev-0.13.5.0-68bc6
- virtueel pakket geboden door libghc-gio-dev
- libghc-gio-dev-0.13.5.0-8417b
- virtueel pakket geboden door libghc-gio-dev
- libghc-gio-dev-0.13.5.0-9432c
- virtueel pakket geboden door libghc-gio-dev
- libghc-gio-dev-0.13.5.0-ff8a5
- virtueel pakket geboden door libghc-gio-dev
- libghc-gio-doc (0.13.5.0-3)
- Binding to the GIO; documentation
- libghc-gio-prof (0.13.5.0-3+b2 [amd64, arm64, armhf], 0.13.5.0-3+b1 [i386])
- Binding to the GIO; profiling libraries
- libghc-gio-prof-0.13.5.0-68bc6
- virtueel pakket geboden door libghc-gio-prof
- libghc-gio-prof-0.13.5.0-8417b
- virtueel pakket geboden door libghc-gio-prof
- libghc-gio-prof-0.13.5.0-9432c
- virtueel pakket geboden door libghc-gio-prof
- libghc-gio-prof-0.13.5.0-ff8a5
- virtueel pakket geboden door libghc-gio-prof
- libghc-github-dev (0.19-4+b1)
- Haskell Github API
- libghc-github-dev-0.19-08d6e
- virtueel pakket geboden door libghc-github-dev
- libghc-github-dev-0.19-1edb0
- virtueel pakket geboden door libghc-github-dev
- libghc-github-dev-0.19-7d963
- virtueel pakket geboden door libghc-github-dev
- libghc-github-dev-0.19-c4950
- virtueel pakket geboden door libghc-github-dev
- libghc-github-doc (0.19-4)
- Haskell Github API; documentation
- libghc-github-prof (0.19-4+b1)
- Haskell Github API; profiling libraries
- libghc-github-prof-0.19-08d6e
- virtueel pakket geboden door libghc-github-prof
- libghc-github-prof-0.19-1edb0
- virtueel pakket geboden door libghc-github-prof
- libghc-github-prof-0.19-7d963
- virtueel pakket geboden door libghc-github-prof
- libghc-github-prof-0.19-c4950
- virtueel pakket geboden door libghc-github-prof
- libghc-gitit-data (0.12.3.1+dfsg-1)
- Wiki engine backed by a git or darcs filestore - Data files
- libghc-gitit-dev (0.12.3.1+dfsg-1)
- Wiki engine backed by a git or darcs filestore
- libghc-gitit-dev-0.12.3.1-5d732
- virtueel pakket geboden door libghc-gitit-dev
- libghc-gitit-dev-0.12.3.1-734a2
- virtueel pakket geboden door libghc-gitit-dev
- libghc-gitit-dev-0.12.3.1-7494d
- virtueel pakket geboden door libghc-gitit-dev
- libghc-gitit-dev-0.12.3.1-a67fa
- virtueel pakket geboden door libghc-gitit-dev
- libghc-gitit-doc (0.12.3.1+dfsg-1)
- Wiki engine backed by a git or darcs filestore; documentation
- libghc-gitit-prof (0.12.3.1+dfsg-1)
- Wiki engine backed by a git or darcs filestore; profiling libraries
- libghc-gitit-prof-0.12.3.1-5d732
- virtueel pakket geboden door libghc-gitit-prof
- libghc-gitit-prof-0.12.3.1-734a2
- virtueel pakket geboden door libghc-gitit-prof
- libghc-gitit-prof-0.12.3.1-7494d
- virtueel pakket geboden door libghc-gitit-prof
- libghc-gitit-prof-0.12.3.1-a67fa
- virtueel pakket geboden door libghc-gitit-prof
- libghc-gitlib-dev (3.1.2-3+b2 [amd64, arm64], 3.1.2-3+b1 [armhf, i386])
- API library for working with Git repositories
- libghc-gitlib-dev-3.1.2-0ed4d
- virtueel pakket geboden door libghc-gitlib-dev
- libghc-gitlib-dev-3.1.2-21409
- virtueel pakket geboden door libghc-gitlib-dev
- libghc-gitlib-dev-3.1.2-254d0
- virtueel pakket geboden door libghc-gitlib-dev
- libghc-gitlib-dev-3.1.2-a08d1
- virtueel pakket geboden door libghc-gitlib-dev
- libghc-gitlib-doc (3.1.2-3)
- API library for working with Git repositories; documentation
- libghc-gitlib-prof (3.1.2-3+b2 [amd64, arm64], 3.1.2-3+b1 [armhf, i386])
- API library for working with Git repositories; profiling libraries
- libghc-gitlib-prof-3.1.2-0ed4d
- virtueel pakket geboden door libghc-gitlib-prof
- libghc-gitlib-prof-3.1.2-21409
- virtueel pakket geboden door libghc-gitlib-prof
- libghc-gitlib-prof-3.1.2-254d0
- virtueel pakket geboden door libghc-gitlib-prof
- libghc-gitlib-prof-3.1.2-a08d1
- virtueel pakket geboden door libghc-gitlib-prof
- libghc-gitrev-dev (1.3.1-3+b2)
- compile git revision info into Haskell projects
- libghc-gitrev-dev-1.3.1-0ffac
- virtueel pakket geboden door libghc-gitrev-dev
- libghc-gitrev-dev-1.3.1-627bb
- virtueel pakket geboden door libghc-gitrev-dev
- libghc-gitrev-dev-1.3.1-8be32
- virtueel pakket geboden door libghc-gitrev-dev
- libghc-gitrev-dev-1.3.1-de499
- virtueel pakket geboden door libghc-gitrev-dev
- libghc-gitrev-doc (1.3.1-3)
- compile git revision info into Haskell projects; documentation
- libghc-gitrev-prof (1.3.1-3+b2)
- compile git revision info into Haskell projects; profiling libraries
- libghc-gitrev-prof-1.3.1-0ffac
- virtueel pakket geboden door libghc-gitrev-prof
- libghc-gitrev-prof-1.3.1-627bb
- virtueel pakket geboden door libghc-gitrev-prof
- libghc-gitrev-prof-1.3.1-8be32
- virtueel pakket geboden door libghc-gitrev-prof
- libghc-gitrev-prof-1.3.1-de499
- virtueel pakket geboden door libghc-gitrev-prof
- libghc-glib-dev (0.13.6.0-3+b2 [amd64, arm64, armhf], 0.13.6.0-3+b1 [i386])
- Binding to the GLIB library for Gtk2Hs
- libghc-glib-dev-0.13.6.0-0717d
- virtueel pakket geboden door libghc-glib-dev
- libghc-glib-dev-0.13.6.0-4788b
- virtueel pakket geboden door libghc-glib-dev
- libghc-glib-dev-0.13.6.0-da055
- virtueel pakket geboden door libghc-glib-dev
- libghc-glib-dev-0.13.6.0-ead17
- virtueel pakket geboden door libghc-glib-dev
- libghc-glib-doc (0.13.6.0-3)
- Binding to the GLIB library for Gtk2Hs; documentation
- libghc-glib-prof (0.13.6.0-3+b2 [amd64, arm64, armhf], 0.13.6.0-3+b1 [i386])
- Binding to the GLIB library for Gtk2Hs; profiling libraries
- libghc-glib-prof-0.13.6.0-0717d
- virtueel pakket geboden door libghc-glib-prof
- libghc-glib-prof-0.13.6.0-4788b
- virtueel pakket geboden door libghc-glib-prof
- libghc-glib-prof-0.13.6.0-da055
- virtueel pakket geboden door libghc-glib-prof
- libghc-glib-prof-0.13.6.0-ead17
- virtueel pakket geboden door libghc-glib-prof
- libghc-glob-dev (0.9.2-2+b2)
- library matching glob patterns against file paths
- libghc-glob-dev-0.9.2-593c7
- virtueel pakket geboden door libghc-glob-dev
- libghc-glob-dev-0.9.2-aa6fe
- virtueel pakket geboden door libghc-glob-dev
- libghc-glob-dev-0.9.2-b6799
- virtueel pakket geboden door libghc-glob-dev
- libghc-glob-dev-0.9.2-baa70
- virtueel pakket geboden door libghc-glob-dev
- libghc-glob-doc (0.9.2-2)
- library matching glob patterns against file paths; documentation
- libghc-glob-prof (0.9.2-2+b2)
- library matching glob patterns against file paths; profiling libraries
- libghc-glob-prof-0.9.2-593c7
- virtueel pakket geboden door libghc-glob-prof
- libghc-glob-prof-0.9.2-aa6fe
- virtueel pakket geboden door libghc-glob-prof
- libghc-glob-prof-0.9.2-b6799
- virtueel pakket geboden door libghc-glob-prof
- libghc-glob-prof-0.9.2-baa70
- virtueel pakket geboden door libghc-glob-prof
- libghc-gloss-dev (1.13.0.1-2+b1)
- Painless 2D vector graphics, animations and simulations.
- libghc-gloss-dev-1.13.0.1-274d4
- virtueel pakket geboden door libghc-gloss-dev
- libghc-gloss-dev-1.13.0.1-8bfff
- virtueel pakket geboden door libghc-gloss-dev
- libghc-gloss-dev-1.13.0.1-98489
- virtueel pakket geboden door libghc-gloss-dev
- libghc-gloss-dev-1.13.0.1-ea36e
- virtueel pakket geboden door libghc-gloss-dev
- libghc-gloss-doc (1.13.0.1-2)
- Painless 2D vector graphics, animations and simulations.; documentation
- libghc-gloss-prof (1.13.0.1-2+b1)
- Painless 2D vector graphics, animations and simulations.; profiling libraries
- libghc-gloss-prof-1.13.0.1-274d4
- virtueel pakket geboden door libghc-gloss-prof
- libghc-gloss-prof-1.13.0.1-8bfff
- virtueel pakket geboden door libghc-gloss-prof
- libghc-gloss-prof-1.13.0.1-98489
- virtueel pakket geboden door libghc-gloss-prof
- libghc-gloss-prof-1.13.0.1-ea36e
- virtueel pakket geboden door libghc-gloss-prof
- libghc-gloss-rendering-dev (1.13.0.2-1+b2)
- Gloss picture data types and rendering functions.
- libghc-gloss-rendering-dev-1.13.0.2-581af
- virtueel pakket geboden door libghc-gloss-rendering-dev
- libghc-gloss-rendering-dev-1.13.0.2-5b03d
- virtueel pakket geboden door libghc-gloss-rendering-dev
- libghc-gloss-rendering-dev-1.13.0.2-788f1
- virtueel pakket geboden door libghc-gloss-rendering-dev
- libghc-gloss-rendering-dev-1.13.0.2-84f5b
- virtueel pakket geboden door libghc-gloss-rendering-dev
- libghc-gloss-rendering-doc (1.13.0.2-1)
- Gloss picture data types and rendering functions.; documentation
- libghc-gloss-rendering-prof (1.13.0.2-1+b2)
- Gloss picture data types and rendering functions.; profiling libraries
- libghc-gloss-rendering-prof-1.13.0.2-581af
- virtueel pakket geboden door libghc-gloss-rendering-prof
- libghc-gloss-rendering-prof-1.13.0.2-5b03d
- virtueel pakket geboden door libghc-gloss-rendering-prof
- libghc-gloss-rendering-prof-1.13.0.2-788f1
- virtueel pakket geboden door libghc-gloss-rendering-prof
- libghc-gloss-rendering-prof-1.13.0.2-84f5b
- virtueel pakket geboden door libghc-gloss-rendering-prof
- libghc-gluraw-dev (2.0.0.4-2+b2)
- Raw binding for the OpenGL graphics system
- libghc-gluraw-dev-2.0.0.4-5afd1
- virtueel pakket geboden door libghc-gluraw-dev
- libghc-gluraw-dev-2.0.0.4-80d4e
- virtueel pakket geboden door libghc-gluraw-dev
- libghc-gluraw-dev-2.0.0.4-aafa7
- virtueel pakket geboden door libghc-gluraw-dev
- libghc-gluraw-dev-2.0.0.4-d588b
- virtueel pakket geboden door libghc-gluraw-dev
- libghc-gluraw-doc (2.0.0.4-2)
- Raw binding for the OpenGL graphics system; documentation
- libghc-gluraw-prof (2.0.0.4-2+b2)
- Raw binding for the OpenGL graphics system; profiling libraries
- libghc-gluraw-prof-2.0.0.4-5afd1
- virtueel pakket geboden door libghc-gluraw-prof
- libghc-gluraw-prof-2.0.0.4-80d4e
- virtueel pakket geboden door libghc-gluraw-prof
- libghc-gluraw-prof-2.0.0.4-aafa7
- virtueel pakket geboden door libghc-gluraw-prof
- libghc-gluraw-prof-2.0.0.4-d588b
- virtueel pakket geboden door libghc-gluraw-prof
- libghc-glut-dev (2.7.0.14-2+b2)
- Haskell GLUT binding for GHC
- libghc-glut-dev-2.7.0.14-54d8a
- virtueel pakket geboden door libghc-glut-dev
- libghc-glut-dev-2.7.0.14-7cb82
- virtueel pakket geboden door libghc-glut-dev
- libghc-glut-dev-2.7.0.14-aeaeb
- virtueel pakket geboden door libghc-glut-dev
- libghc-glut-dev-2.7.0.14-f7eb1
- virtueel pakket geboden door libghc-glut-dev
- libghc-glut-doc (2.7.0.14-2)
- Haskell GLUT binding for GHC; documentation
- libghc-glut-prof (2.7.0.14-2+b2)
- Haskell GLUT binding for GHC; profiling libraries
- libghc-glut-prof-2.7.0.14-54d8a
- virtueel pakket geboden door libghc-glut-prof
- libghc-glut-prof-2.7.0.14-7cb82
- virtueel pakket geboden door libghc-glut-prof
- libghc-glut-prof-2.7.0.14-aeaeb
- virtueel pakket geboden door libghc-glut-prof
- libghc-glut-prof-2.7.0.14-f7eb1
- virtueel pakket geboden door libghc-glut-prof
- libghc-gnuidn-dev (0.2.2-7+b1)
- bindings for GNU IDN
- libghc-gnuidn-dev-0.2.2-078a5
- virtueel pakket geboden door libghc-gnuidn-dev
- libghc-gnuidn-dev-0.2.2-1f3ea
- virtueel pakket geboden door libghc-gnuidn-dev
- libghc-gnuidn-dev-0.2.2-7edbc
- virtueel pakket geboden door libghc-gnuidn-dev
- libghc-gnuidn-dev-0.2.2-a9213
- virtueel pakket geboden door libghc-gnuidn-dev
- libghc-gnuidn-doc (0.2.2-7)
- bindings for GNU IDN; documentation
- libghc-gnuidn-prof (0.2.2-7+b1)
- bindings for GNU IDN; profiling libraries
- libghc-gnuidn-prof-0.2.2-078a5
- virtueel pakket geboden door libghc-gnuidn-prof
- libghc-gnuidn-prof-0.2.2-1f3ea
- virtueel pakket geboden door libghc-gnuidn-prof
- libghc-gnuidn-prof-0.2.2-7edbc
- virtueel pakket geboden door libghc-gnuidn-prof
- libghc-gnuidn-prof-0.2.2-a9213
- virtueel pakket geboden door libghc-gnuidn-prof
- libghc-gnutls-dev (0.2-6+b2)
- bindings for GNU TLS
- libghc-gnutls-dev-0.2-a1494
- virtueel pakket geboden door libghc-gnutls-dev
- libghc-gnutls-dev-0.2-d43d9
- virtueel pakket geboden door libghc-gnutls-dev
- libghc-gnutls-dev-0.2-df73e
- virtueel pakket geboden door libghc-gnutls-dev
- libghc-gnutls-dev-0.2-e0e55
- virtueel pakket geboden door libghc-gnutls-dev
- libghc-gnutls-doc (0.2-6)
- bindings for GNU TLS; documentation
- libghc-gnutls-prof (0.2-6+b2)
- bindings for GNU TLS; profiling libraries
- libghc-gnutls-prof-0.2-a1494
- virtueel pakket geboden door libghc-gnutls-prof
- libghc-gnutls-prof-0.2-d43d9
- virtueel pakket geboden door libghc-gnutls-prof
- libghc-gnutls-prof-0.2-df73e
- virtueel pakket geboden door libghc-gnutls-prof
- libghc-gnutls-prof-0.2-e0e55
- virtueel pakket geboden door libghc-gnutls-prof
- libghc-graphscc-dev (1.0.4-5+b2)
- Tarjan's algorithm for computing the SCCs of a graph
- libghc-graphscc-dev-1.0.4-37c8e
- virtueel pakket geboden door libghc-graphscc-dev
- libghc-graphscc-dev-1.0.4-89031
- virtueel pakket geboden door libghc-graphscc-dev
- libghc-graphscc-dev-1.0.4-a7448
- virtueel pakket geboden door libghc-graphscc-dev
- libghc-graphscc-dev-1.0.4-ce0c9
- virtueel pakket geboden door libghc-graphscc-dev
- libghc-graphscc-doc (1.0.4-5)
- Tarjan's algorithm for computing the SCCs of a graph; documentation
- libghc-graphscc-prof (1.0.4-5+b2)
- Tarjan's algorithm for computing the SCCs of a graph; profiling libraries
- libghc-graphscc-prof-1.0.4-37c8e
- virtueel pakket geboden door libghc-graphscc-prof
- libghc-graphscc-prof-1.0.4-89031
- virtueel pakket geboden door libghc-graphscc-prof
- libghc-graphscc-prof-1.0.4-a7448
- virtueel pakket geboden door libghc-graphscc-prof
- libghc-graphscc-prof-1.0.4-ce0c9
- virtueel pakket geboden door libghc-graphscc-prof
- libghc-graphviz-dev (2999.20.0.2-3+b1)
- bindings to Graphviz for graph visualization
- libghc-graphviz-dev-2999.20.0.2-28302
- virtueel pakket geboden door libghc-graphviz-dev
- libghc-graphviz-dev-2999.20.0.2-34e9b
- virtueel pakket geboden door libghc-graphviz-dev
- libghc-graphviz-dev-2999.20.0.2-a0d24
- virtueel pakket geboden door libghc-graphviz-dev
- libghc-graphviz-dev-2999.20.0.2-b4c3e
- virtueel pakket geboden door libghc-graphviz-dev
- libghc-graphviz-doc (2999.20.0.2-3)
- bindings to Graphviz for graph visualization; documentation
- libghc-graphviz-prof (2999.20.0.2-3+b1)
- bindings to Graphviz for graph visualization; profiling libraries
- libghc-graphviz-prof-2999.20.0.2-28302
- virtueel pakket geboden door libghc-graphviz-prof
- libghc-graphviz-prof-2999.20.0.2-34e9b
- virtueel pakket geboden door libghc-graphviz-prof
- libghc-graphviz-prof-2999.20.0.2-a0d24
- virtueel pakket geboden door libghc-graphviz-prof
- libghc-graphviz-prof-2999.20.0.2-b4c3e
- virtueel pakket geboden door libghc-graphviz-prof
- libghc-groups-dev (0.4.1.0-3+b2)
- Haskell 98 groups
- libghc-groups-dev-0.4.1.0-1e827
- virtueel pakket geboden door libghc-groups-dev
- libghc-groups-dev-0.4.1.0-88459
- virtueel pakket geboden door libghc-groups-dev
- libghc-groups-doc (0.4.1.0-3)
- Haskell 98 groups; documentation
- libghc-groups-prof (0.4.1.0-3+b2)
- Haskell 98 groups; profiling libraries
- libghc-groups-prof-0.4.1.0-1e827
- virtueel pakket geboden door libghc-groups-prof
- libghc-groups-prof-0.4.1.0-88459
- virtueel pakket geboden door libghc-groups-prof
- libghc-gsasl-dev (0.3.6-6+b2)
- bindings for GNU SASL
- libghc-gsasl-dev-0.3.6-37a2f
- virtueel pakket geboden door libghc-gsasl-dev
- libghc-gsasl-dev-0.3.6-4b947
- virtueel pakket geboden door libghc-gsasl-dev
- libghc-gsasl-dev-0.3.6-a31a7
- virtueel pakket geboden door libghc-gsasl-dev
- libghc-gsasl-dev-0.3.6-d171e
- virtueel pakket geboden door libghc-gsasl-dev
- libghc-gsasl-doc (0.3.6-6)
- bindings for GNU SASL; documentation
- libghc-gsasl-prof (0.3.6-6+b2)
- bindings for GNU SASL; profiling libraries
- libghc-gsasl-prof-0.3.6-37a2f
- virtueel pakket geboden door libghc-gsasl-prof
- libghc-gsasl-prof-0.3.6-4b947
- virtueel pakket geboden door libghc-gsasl-prof
- libghc-gsasl-prof-0.3.6-a31a7
- virtueel pakket geboden door libghc-gsasl-prof
- libghc-gsasl-prof-0.3.6-d171e
- virtueel pakket geboden door libghc-gsasl-prof
- libghc-gtk-dev (0.14.10-3+b2 [amd64, arm64, armhf], 0.14.10-3+b1 [i386])
- binding to the Gtk+ graphical user interface library
- libghc-gtk-dev-0.14.10-179a4
- virtueel pakket geboden door libghc-gtk-dev
- libghc-gtk-dev-0.14.10-6cb8c
- virtueel pakket geboden door libghc-gtk-dev
- libghc-gtk-dev-0.14.10-84125
- virtueel pakket geboden door libghc-gtk-dev
- libghc-gtk-dev-0.14.10-d8c63
- virtueel pakket geboden door libghc-gtk-dev
- libghc-gtk-doc (0.14.10-3)
- Binding to the Gtk+ graphical user interface library; documentation
- libghc-gtk-prof (0.14.10-3+b2 [amd64, arm64, armhf], 0.14.10-3+b1 [i386])
- Binding to the Gtk+ graphical user interface library; profiling libraries
- libghc-gtk-prof-0.14.10-179a4
- virtueel pakket geboden door libghc-gtk-prof
- libghc-gtk-prof-0.14.10-6cb8c
- virtueel pakket geboden door libghc-gtk-prof
- libghc-gtk-prof-0.14.10-84125
- virtueel pakket geboden door libghc-gtk-prof
- libghc-gtk-prof-0.14.10-d8c63
- virtueel pakket geboden door libghc-gtk-prof
- libghc-gtk-sni-tray-dev (0.1.5.0-1)
- standalone StatusNotifierItem/AppIndicator tray
- libghc-gtk-sni-tray-dev-0.1.5.0-18933
- virtueel pakket geboden door libghc-gtk-sni-tray-dev
- libghc-gtk-sni-tray-dev-0.1.5.0-41917
- virtueel pakket geboden door libghc-gtk-sni-tray-dev
- libghc-gtk-sni-tray-dev-0.1.5.0-6dfe7
- virtueel pakket geboden door libghc-gtk-sni-tray-dev
- libghc-gtk-sni-tray-dev-0.1.5.0-f6920
- virtueel pakket geboden door libghc-gtk-sni-tray-dev
- libghc-gtk-sni-tray-doc (0.1.5.0-1)
- standalone StatusNotifierItem/AppIndicator tray; documentation
- libghc-gtk-sni-tray-prof (0.1.5.0-1)
- standalone StatusNotifierItem/AppIndicator tray; profiling libraries
- libghc-gtk-sni-tray-prof-0.1.5.0-18933
- virtueel pakket geboden door libghc-gtk-sni-tray-prof
- libghc-gtk-sni-tray-prof-0.1.5.0-41917
- virtueel pakket geboden door libghc-gtk-sni-tray-prof
- libghc-gtk-sni-tray-prof-0.1.5.0-6dfe7
- virtueel pakket geboden door libghc-gtk-sni-tray-prof
- libghc-gtk-sni-tray-prof-0.1.5.0-f6920
- virtueel pakket geboden door libghc-gtk-sni-tray-prof
- libghc-gtk-strut-dev (0.1.2.1-1)
- create strut windows with gi-gtk
- libghc-gtk-strut-dev-0.1.2.1-18734
- virtueel pakket geboden door libghc-gtk-strut-dev
- libghc-gtk-strut-dev-0.1.2.1-23f1d
- virtueel pakket geboden door libghc-gtk-strut-dev
- libghc-gtk-strut-dev-0.1.2.1-25eca
- virtueel pakket geboden door libghc-gtk-strut-dev
- libghc-gtk-strut-dev-0.1.2.1-530d4
- virtueel pakket geboden door libghc-gtk-strut-dev
- libghc-gtk-strut-doc (0.1.2.1-1)
- create strut windows with gi-gtk; documentation
- libghc-gtk-strut-prof (0.1.2.1-1)
- create strut windows with gi-gtk; profiling libraries
- libghc-gtk-strut-prof-0.1.2.1-18734
- virtueel pakket geboden door libghc-gtk-strut-prof
- libghc-gtk-strut-prof-0.1.2.1-23f1d
- virtueel pakket geboden door libghc-gtk-strut-prof
- libghc-gtk-strut-prof-0.1.2.1-25eca
- virtueel pakket geboden door libghc-gtk-strut-prof
- libghc-gtk-strut-prof-0.1.2.1-530d4
- virtueel pakket geboden door libghc-gtk-strut-prof
- libghc-gtk-traymanager-dev (1.0.1-3+b1)
- bindings for eggtraymanager (GTK+)
- libghc-gtk-traymanager-dev-1.0.1-2a3bd
- virtueel pakket geboden door libghc-gtk-traymanager-dev
- libghc-gtk-traymanager-dev-1.0.1-44ae7
- virtueel pakket geboden door libghc-gtk-traymanager-dev
- libghc-gtk-traymanager-dev-1.0.1-4a91a
- virtueel pakket geboden door libghc-gtk-traymanager-dev
- libghc-gtk-traymanager-dev-1.0.1-be57c
- virtueel pakket geboden door libghc-gtk-traymanager-dev
- libghc-gtk-traymanager-doc (1.0.1-3)
- bindings for eggtraymanager (GTK+); documentation
- libghc-gtk-traymanager-prof (1.0.1-3+b1)
- bindings for eggtraymanager (GTK+); profiling libraries
- libghc-gtk-traymanager-prof-1.0.1-2a3bd
- virtueel pakket geboden door libghc-gtk-traymanager-prof
- libghc-gtk-traymanager-prof-1.0.1-44ae7
- virtueel pakket geboden door libghc-gtk-traymanager-prof
- libghc-gtk-traymanager-prof-1.0.1-4a91a
- virtueel pakket geboden door libghc-gtk-traymanager-prof
- libghc-gtk-traymanager-prof-1.0.1-be57c
- virtueel pakket geboden door libghc-gtk-traymanager-prof
- libghc-gtk2hs-buildtools-dev (0.13.4.0-2+b3 [amd64, arm64], 0.13.4.0-2+b2 [armhf], 0.13.4.0-2+b1 [i386])
- gtk2hs-buildtools libraries
- libghc-gtk2hs-buildtools-dev-0.13.4.0-098ea
- virtueel pakket geboden door libghc-gtk2hs-buildtools-dev
- libghc-gtk2hs-buildtools-dev-0.13.4.0-815a6
- virtueel pakket geboden door libghc-gtk2hs-buildtools-dev
- libghc-gtk2hs-buildtools-dev-0.13.4.0-93009
- virtueel pakket geboden door libghc-gtk2hs-buildtools-dev
- libghc-gtk2hs-buildtools-dev-0.13.4.0-bb2b4
- virtueel pakket geboden door libghc-gtk2hs-buildtools-dev
- libghc-gtk2hs-buildtools-doc (0.13.4.0-2)
- gtk2hs-buildtools libraries; documentation
- libghc-gtk2hs-buildtools-prof (0.13.4.0-2+b3 [amd64, arm64], 0.13.4.0-2+b2 [armhf], 0.13.4.0-2+b1 [i386])
- gtk2hs-buildtools libraries; profiling libraries
- libghc-gtk2hs-buildtools-prof-0.13.4.0-098ea
- virtueel pakket geboden door libghc-gtk2hs-buildtools-prof
- libghc-gtk2hs-buildtools-prof-0.13.4.0-815a6
- virtueel pakket geboden door libghc-gtk2hs-buildtools-prof
- libghc-gtk2hs-buildtools-prof-0.13.4.0-93009
- virtueel pakket geboden door libghc-gtk2hs-buildtools-prof
- libghc-gtk2hs-buildtools-prof-0.13.4.0-bb2b4
- virtueel pakket geboden door libghc-gtk2hs-buildtools-prof
- libghc-gtk3-dev (0.14.9-3+b2 [amd64, arm64, armhf], 0.14.9-3+b1 [i386])
- binding to the Gtk+ graphical user interface library
- libghc-gtk3-dev-0.14.9-317e1
- virtueel pakket geboden door libghc-gtk3-dev
- libghc-gtk3-dev-0.14.9-45ef3
- virtueel pakket geboden door libghc-gtk3-dev
- libghc-gtk3-dev-0.14.9-531aa
- virtueel pakket geboden door libghc-gtk3-dev
- libghc-gtk3-dev-0.14.9-8f3c1
- virtueel pakket geboden door libghc-gtk3-dev
- libghc-gtk3-doc (0.14.9-3)
- binding to the Gtk+ graphical user interface library; documentation
- libghc-gtk3-prof (0.14.9-3+b2 [amd64, arm64, armhf], 0.14.9-3+b1 [i386])
- binding to the Gtk+ graphical user interface library; profiling libraries
- libghc-gtk3-prof-0.14.9-317e1
- virtueel pakket geboden door libghc-gtk3-prof
- libghc-gtk3-prof-0.14.9-45ef3
- virtueel pakket geboden door libghc-gtk3-prof
- libghc-gtk3-prof-0.14.9-531aa
- virtueel pakket geboden door libghc-gtk3-prof
- libghc-gtk3-prof-0.14.9-8f3c1
- virtueel pakket geboden door libghc-gtk3-prof
- libghc-hackage-security-dev (0.5.3.0-8+b1)
- Hackage security library
- libghc-hackage-security-dev-0.5.3.0-4244e
- virtueel pakket geboden door libghc-hackage-security-dev
- libghc-hackage-security-dev-0.5.3.0-48420
- virtueel pakket geboden door libghc-hackage-security-dev
- libghc-hackage-security-dev-0.5.3.0-72145
- virtueel pakket geboden door libghc-hackage-security-dev
- libghc-hackage-security-dev-0.5.3.0-d260c
- virtueel pakket geboden door libghc-hackage-security-dev
- libghc-hackage-security-doc (0.5.3.0-8)
- Hackage security library; documentation
- libghc-hackage-security-prof (0.5.3.0-8+b1)
- Hackage security library; profiling libraries
- libghc-hackage-security-prof-0.5.3.0-4244e
- virtueel pakket geboden door libghc-hackage-security-prof
- libghc-hackage-security-prof-0.5.3.0-48420
- virtueel pakket geboden door libghc-hackage-security-prof
- libghc-hackage-security-prof-0.5.3.0-72145
- virtueel pakket geboden door libghc-hackage-security-prof
- libghc-hackage-security-prof-0.5.3.0-d260c
- virtueel pakket geboden door libghc-hackage-security-prof
- libghc-haddock-library-dev (1.5.0.1-2+b1)
- library exposing some functionality of Haddock
- libghc-haddock-library-dev-1.5.0.1-1c2dc
- virtueel pakket geboden door libghc-haddock-library-dev
- libghc-haddock-library-dev-1.5.0.1-63ad4
- virtueel pakket geboden door libghc-haddock-library-dev
- libghc-haddock-library-dev-1.5.0.1-71b7b
- virtueel pakket geboden door libghc-haddock-library-dev
- libghc-haddock-library-dev-1.5.0.1-d72f1
- virtueel pakket geboden door libghc-haddock-library-dev
- libghc-haddock-library-doc (1.5.0.1-2)
- library exposing some functionality of Haddock; documentation
- libghc-haddock-library-prof (1.5.0.1-2+b1)
- library exposing some functionality of Haddock; profiling libraries
- libghc-haddock-library-prof-1.5.0.1-1c2dc
- virtueel pakket geboden door libghc-haddock-library-prof
- libghc-haddock-library-prof-1.5.0.1-63ad4
- virtueel pakket geboden door libghc-haddock-library-prof
- libghc-haddock-library-prof-1.5.0.1-71b7b
- virtueel pakket geboden door libghc-haddock-library-prof
- libghc-haddock-library-prof-1.5.0.1-d72f1
- virtueel pakket geboden door libghc-haddock-library-prof
- libghc-hakyll-dev (4.12.3.0-4+b3)
- static website compiler library
- libghc-hakyll-dev-4.12.3.0-05286
- virtueel pakket geboden door libghc-hakyll-dev
- libghc-hakyll-dev-4.12.3.0-0b72a
- virtueel pakket geboden door libghc-hakyll-dev
- libghc-hakyll-dev-4.12.3.0-28cb0
- virtueel pakket geboden door libghc-hakyll-dev
- libghc-hakyll-dev-4.12.3.0-b2316
- virtueel pakket geboden door libghc-hakyll-dev
- libghc-hakyll-doc (4.12.3.0-4)
- static website compiler library; documentation
- libghc-hakyll-prof (4.12.3.0-4+b3)
- static website compiler library; profiling libraries
- libghc-hakyll-prof-4.12.3.0-05286
- virtueel pakket geboden door libghc-hakyll-prof
- libghc-hakyll-prof-4.12.3.0-0b72a
- virtueel pakket geboden door libghc-hakyll-prof
- libghc-hakyll-prof-4.12.3.0-28cb0
- virtueel pakket geboden door libghc-hakyll-prof
- libghc-hakyll-prof-4.12.3.0-b2316
- virtueel pakket geboden door libghc-hakyll-prof
- libghc-half-dev (0.3-1+b2)
- half-precision floating-point
- libghc-half-dev-0.3-7b1dd
- virtueel pakket geboden door libghc-half-dev
- libghc-half-dev-0.3-bc000
- virtueel pakket geboden door libghc-half-dev
- libghc-half-dev-0.3-dab99
- virtueel pakket geboden door libghc-half-dev
- libghc-half-dev-0.3-e3187
- virtueel pakket geboden door libghc-half-dev
- libghc-half-doc (0.3-1)
- half-precision floating-point; documentation
- libghc-half-prof (0.3-1+b2)
- half-precision floating-point; profiling libraries
- libghc-half-prof-0.3-7b1dd
- virtueel pakket geboden door libghc-half-prof
- libghc-half-prof-0.3-bc000
- virtueel pakket geboden door libghc-half-prof
- libghc-half-prof-0.3-dab99
- virtueel pakket geboden door libghc-half-prof
- libghc-half-prof-0.3-e3187
- virtueel pakket geboden door libghc-half-prof
- libghc-happstack-authenticate-dev (2.3.4.11-4+b1)
- Happstack authentication library
- libghc-happstack-authenticate-dev-2.3.4.11-05d7c
- virtueel pakket geboden door libghc-happstack-authenticate-dev
- libghc-happstack-authenticate-dev-2.3.4.11-58be1
- virtueel pakket geboden door libghc-happstack-authenticate-dev
- libghc-happstack-authenticate-dev-2.3.4.11-a1a15
- virtueel pakket geboden door libghc-happstack-authenticate-dev
- libghc-happstack-authenticate-dev-2.3.4.11-d3d98
- virtueel pakket geboden door libghc-happstack-authenticate-dev
- libghc-happstack-authenticate-doc (2.3.4.11-4)
- Happstack authentication library; documentation
- libghc-happstack-authenticate-prof (2.3.4.11-4+b1)
- Happstack authentication library; profiling libraries
- libghc-happstack-authenticate-prof-2.3.4.11-05d7c
- virtueel pakket geboden door libghc-happstack-authenticate-prof
- libghc-happstack-authenticate-prof-2.3.4.11-58be1
- virtueel pakket geboden door libghc-happstack-authenticate-prof
- libghc-happstack-authenticate-prof-2.3.4.11-a1a15
- virtueel pakket geboden door libghc-happstack-authenticate-prof
- libghc-happstack-authenticate-prof-2.3.4.11-d3d98
- virtueel pakket geboden door libghc-happstack-authenticate-prof
- libghc-happstack-hsp-dev (7.3.7.3-4+b1)
- support for using HSP templates in Happstack
- libghc-happstack-hsp-dev-7.3.7.3-0eb84
- virtueel pakket geboden door libghc-happstack-hsp-dev
- libghc-happstack-hsp-dev-7.3.7.3-92fef
- virtueel pakket geboden door libghc-happstack-hsp-dev
- libghc-happstack-hsp-dev-7.3.7.3-94aaa
- virtueel pakket geboden door libghc-happstack-hsp-dev
- libghc-happstack-hsp-dev-7.3.7.3-aee6d
- virtueel pakket geboden door libghc-happstack-hsp-dev
- libghc-happstack-hsp-doc (7.3.7.3-4)
- support for using HSP templates in Happstack; documentation
- libghc-happstack-hsp-prof (7.3.7.3-4+b1)
- support for using HSP templates in Happstack; profiling libraries
- libghc-happstack-hsp-prof-7.3.7.3-0eb84
- virtueel pakket geboden door libghc-happstack-hsp-prof
- libghc-happstack-hsp-prof-7.3.7.3-92fef
- virtueel pakket geboden door libghc-happstack-hsp-prof
- libghc-happstack-hsp-prof-7.3.7.3-94aaa
- virtueel pakket geboden door libghc-happstack-hsp-prof
- libghc-happstack-hsp-prof-7.3.7.3-aee6d
- virtueel pakket geboden door libghc-happstack-hsp-prof
- libghc-happstack-jmacro-dev (7.0.12.1-3+b1)
- Support for using JMacro with Happstack
- libghc-happstack-jmacro-dev-7.0.12.1-21a89
- virtueel pakket geboden door libghc-happstack-jmacro-dev
- libghc-happstack-jmacro-dev-7.0.12.1-2320e
- virtueel pakket geboden door libghc-happstack-jmacro-dev
- libghc-happstack-jmacro-dev-7.0.12.1-a7522
- virtueel pakket geboden door libghc-happstack-jmacro-dev
- libghc-happstack-jmacro-dev-7.0.12.1-c5252
- virtueel pakket geboden door libghc-happstack-jmacro-dev
- libghc-happstack-jmacro-doc (7.0.12.1-3)
- Support for using JMacro with Happstack; documentation
- libghc-happstack-jmacro-prof (7.0.12.1-3+b1)
- Support for using JMacro with Happstack; profiling libraries
- libghc-happstack-jmacro-prof-7.0.12.1-21a89
- virtueel pakket geboden door libghc-happstack-jmacro-prof
- libghc-happstack-jmacro-prof-7.0.12.1-2320e
- virtueel pakket geboden door libghc-happstack-jmacro-prof
- libghc-happstack-jmacro-prof-7.0.12.1-a7522
- virtueel pakket geboden door libghc-happstack-jmacro-prof
- libghc-happstack-jmacro-prof-7.0.12.1-c5252
- virtueel pakket geboden door libghc-happstack-jmacro-prof
- libghc-happstack-server-dev (7.5.1.1-4+b1)
- Happstack web framework, HTTP server
- libghc-happstack-server-dev-7.5.1.1-71ab6
- virtueel pakket geboden door libghc-happstack-server-dev
- libghc-happstack-server-dev-7.5.1.1-9c04e
- virtueel pakket geboden door libghc-happstack-server-dev
- libghc-happstack-server-dev-7.5.1.1-e3b70
- virtueel pakket geboden door libghc-happstack-server-dev
- libghc-happstack-server-dev-7.5.1.1-ec951
- virtueel pakket geboden door libghc-happstack-server-dev
- libghc-happstack-server-doc (7.5.1.1-4)
- Happstack web framework, HTTP server; documentation
- libghc-happstack-server-prof (7.5.1.1-4+b1)
- Happstack web framework, HTTP server; profiling libraries
- libghc-happstack-server-prof-7.5.1.1-71ab6
- virtueel pakket geboden door libghc-happstack-server-prof
- libghc-happstack-server-prof-7.5.1.1-9c04e
- virtueel pakket geboden door libghc-happstack-server-prof
- libghc-happstack-server-prof-7.5.1.1-e3b70
- virtueel pakket geboden door libghc-happstack-server-prof
- libghc-happstack-server-prof-7.5.1.1-ec951
- virtueel pakket geboden door libghc-happstack-server-prof
- libghc-harp-dev (0.4.3.1-2+b2)
- Haskell support for regexp based pattern matching
- libghc-harp-dev-0.4.3.1-70e50
- virtueel pakket geboden door libghc-harp-dev
- libghc-harp-dev-0.4.3.1-ea02d
- virtueel pakket geboden door libghc-harp-dev
- libghc-harp-doc (0.4.3.1-2)
- Haskell support for regexp based pattern matching; documentation
- libghc-harp-prof (0.4.3.1-2+b2)
- Haskell support for regexp based pattern matching; profiling libraries
- libghc-harp-prof-0.4.3.1-70e50
- virtueel pakket geboden door libghc-harp-prof
- libghc-harp-prof-0.4.3.1-ea02d
- virtueel pakket geboden door libghc-harp-prof
- libghc-hashable-dev (1.2.7.0-5+b1)
- class for types that can be converted to a hash value
- libghc-hashable-dev-1.2.7.0-5ded4
- virtueel pakket geboden door libghc-hashable-dev
- libghc-hashable-dev-1.2.7.0-5efa0
- virtueel pakket geboden door libghc-hashable-dev
- libghc-hashable-dev-1.2.7.0-68a88
- virtueel pakket geboden door libghc-hashable-dev
- libghc-hashable-dev-1.2.7.0-acac2
- virtueel pakket geboden door libghc-hashable-dev
- libghc-hashable-doc (1.2.7.0-5)
- class for types that can be converted to a hash value; documentation
- libghc-hashable-prof (1.2.7.0-5+b1)
- class for types that can be converted to a hash value; profiling libraries
- libghc-hashable-prof-1.2.7.0-5ded4
- virtueel pakket geboden door libghc-hashable-prof
- libghc-hashable-prof-1.2.7.0-5efa0
- virtueel pakket geboden door libghc-hashable-prof
- libghc-hashable-prof-1.2.7.0-68a88
- virtueel pakket geboden door libghc-hashable-prof
- libghc-hashable-prof-1.2.7.0-acac2
- virtueel pakket geboden door libghc-hashable-prof
- libghc-hashable-time-dev (0.2.0.2-1+b2)
- Hashable instances for Data.Time
- libghc-hashable-time-dev-0.2.0.2-09e5d
- virtueel pakket geboden door libghc-hashable-time-dev
- libghc-hashable-time-dev-0.2.0.2-55f5a
- virtueel pakket geboden door libghc-hashable-time-dev
- libghc-hashable-time-dev-0.2.0.2-78f14
- virtueel pakket geboden door libghc-hashable-time-dev
- libghc-hashable-time-dev-0.2.0.2-87d2e
- virtueel pakket geboden door libghc-hashable-time-dev
- libghc-hashable-time-doc (0.2.0.2-1)
- Hashable instances for Data.Time; documentation
- libghc-hashable-time-prof (0.2.0.2-1+b2)
- Hashable instances for Data.Time; profiling libraries
- libghc-hashable-time-prof-0.2.0.2-09e5d
- virtueel pakket geboden door libghc-hashable-time-prof
- libghc-hashable-time-prof-0.2.0.2-55f5a
- virtueel pakket geboden door libghc-hashable-time-prof
- libghc-hashable-time-prof-0.2.0.2-78f14
- virtueel pakket geboden door libghc-hashable-time-prof
- libghc-hashable-time-prof-0.2.0.2-87d2e
- virtueel pakket geboden door libghc-hashable-time-prof
- libghc-hashmap-dev (1.3.3-2+b2)
- persistent containers Map and Set based on hashing
- libghc-hashmap-dev-1.3.3-66d49
- virtueel pakket geboden door libghc-hashmap-dev
- libghc-hashmap-dev-1.3.3-a5701
- virtueel pakket geboden door libghc-hashmap-dev
- libghc-hashmap-dev-1.3.3-accf3
- virtueel pakket geboden door libghc-hashmap-dev
- libghc-hashmap-dev-1.3.3-e03e1
- virtueel pakket geboden door libghc-hashmap-dev
- libghc-hashmap-doc (1.3.3-2)
- persistent containers Map and Set based on hashing; documentation
- libghc-hashmap-prof (1.3.3-2+b2)
- persistent containers Map and Set based on hashing; profiling libraries
- libghc-hashmap-prof-1.3.3-66d49
- virtueel pakket geboden door libghc-hashmap-prof
- libghc-hashmap-prof-1.3.3-a5701
- virtueel pakket geboden door libghc-hashmap-prof
- libghc-hashmap-prof-1.3.3-accf3
- virtueel pakket geboden door libghc-hashmap-prof
- libghc-hashmap-prof-1.3.3-e03e1
- virtueel pakket geboden door libghc-hashmap-prof
- libghc-hashtables-dev (1.2.3.1-2+b3 [amd64, arm64], 1.2.3.1-2+b2 [armhf], 1.2.3.1-2+b1 [i386])
- mutable hash tables
- libghc-hashtables-dev-1.2.3.1-3ae44
- virtueel pakket geboden door libghc-hashtables-dev
- libghc-hashtables-dev-1.2.3.1-56d76
- virtueel pakket geboden door libghc-hashtables-dev
- libghc-hashtables-dev-1.2.3.1-8fdc6
- virtueel pakket geboden door libghc-hashtables-dev
- libghc-hashtables-dev-1.2.3.1-a61a4
- virtueel pakket geboden door libghc-hashtables-dev
- libghc-hashtables-doc (1.2.3.1-2)
- mutable hash tables -- documentation; documentation
- libghc-hashtables-prof (1.2.3.1-2+b3 [amd64, arm64], 1.2.3.1-2+b2 [armhf], 1.2.3.1-2+b1 [i386])
- mutable hash tables -- profiling libraries; profiling libraries
- libghc-hashtables-prof-1.2.3.1-3ae44
- virtueel pakket geboden door libghc-hashtables-prof
- libghc-hashtables-prof-1.2.3.1-56d76
- virtueel pakket geboden door libghc-hashtables-prof
- libghc-hashtables-prof-1.2.3.1-8fdc6
- virtueel pakket geboden door libghc-hashtables-prof
- libghc-hashtables-prof-1.2.3.1-a61a4
- virtueel pakket geboden door libghc-hashtables-prof
- libghc-haskeline-dev (= 0.7.4.2)
- virtueel pakket geboden door ghc
- libghc-haskeline-dev-0.7.4.2-6a7b6
- virtueel pakket geboden door ghc
- libghc-haskeline-dev-0.7.4.2-ca65a
- virtueel pakket geboden door ghc
- libghc-haskeline-dev-0.7.4.2-dc8b8
- virtueel pakket geboden door ghc
- libghc-haskeline-dev-0.7.4.2-df8c7
- virtueel pakket geboden door ghc
- libghc-haskeline-doc (= 0.7.4.2)
- virtueel pakket geboden door ghc-doc
- libghc-haskeline-prof (= 0.7.4.2)
- virtueel pakket geboden door ghc-prof
- libghc-haskeline-prof-0.7.4.2-6a7b6
- virtueel pakket geboden door ghc-prof
- libghc-haskeline-prof-0.7.4.2-ca65a
- virtueel pakket geboden door ghc-prof
- libghc-haskeline-prof-0.7.4.2-dc8b8
- virtueel pakket geboden door ghc-prof
- libghc-haskeline-prof-0.7.4.2-df8c7
- virtueel pakket geboden door ghc-prof
- libghc-haskell-gi-base-dev (0.21.1-1+b1)
- Foundation for libraries generated by haskell-gi
- libghc-haskell-gi-base-dev-0.21.1-05532
- virtueel pakket geboden door libghc-haskell-gi-base-dev
- libghc-haskell-gi-base-dev-0.21.1-4479b
- virtueel pakket geboden door libghc-haskell-gi-base-dev
- libghc-haskell-gi-base-dev-0.21.1-89dd7
- virtueel pakket geboden door libghc-haskell-gi-base-dev
- libghc-haskell-gi-base-dev-0.21.1-fa5fd
- virtueel pakket geboden door libghc-haskell-gi-base-dev
- libghc-haskell-gi-base-doc (0.21.1-1)
- Foundation for libraries generated by haskell-gi; documentation
- libghc-haskell-gi-base-prof (0.21.1-1+b1)
- Foundation for libraries generated by haskell-gi; profiling libraries
- libghc-haskell-gi-base-prof-0.21.1-05532
- virtueel pakket geboden door libghc-haskell-gi-base-prof
- libghc-haskell-gi-base-prof-0.21.1-4479b
- virtueel pakket geboden door libghc-haskell-gi-base-prof
- libghc-haskell-gi-base-prof-0.21.1-89dd7
- virtueel pakket geboden door libghc-haskell-gi-base-prof
- libghc-haskell-gi-base-prof-0.21.1-fa5fd
- virtueel pakket geboden door libghc-haskell-gi-base-prof
- libghc-haskell-gi-dev (0.21.4-3+b1)
- generate Haskell bindings for GI-capable libraries
- libghc-haskell-gi-dev-0.21.4-0a7f5
- virtueel pakket geboden door libghc-haskell-gi-dev
- libghc-haskell-gi-dev-0.21.4-964aa
- virtueel pakket geboden door libghc-haskell-gi-dev
- libghc-haskell-gi-dev-0.21.4-a1432
- virtueel pakket geboden door libghc-haskell-gi-dev
- libghc-haskell-gi-dev-0.21.4-e1eea
- virtueel pakket geboden door libghc-haskell-gi-dev
- libghc-haskell-gi-doc (0.21.4-3)
- generate Haskell bindings for GI-capable libraries; documentation
- libghc-haskell-gi-prof (0.21.4-3+b1)
- generate Haskell bindings for GI-capable libraries; profiling libraries
- libghc-haskell-gi-prof-0.21.4-0a7f5
- virtueel pakket geboden door libghc-haskell-gi-prof
- libghc-haskell-gi-prof-0.21.4-964aa
- virtueel pakket geboden door libghc-haskell-gi-prof
- libghc-haskell-gi-prof-0.21.4-a1432
- virtueel pakket geboden door libghc-haskell-gi-prof
- libghc-haskell-gi-prof-0.21.4-e1eea
- virtueel pakket geboden door libghc-haskell-gi-prof
- libghc-haskell-lexer-dev (1.0.1-7+b2)
- A fully compliant Haskell 98 lexer
- libghc-haskell-lexer-dev-1.0.1-57fc4
- virtueel pakket geboden door libghc-haskell-lexer-dev
- libghc-haskell-lexer-dev-1.0.1-c69ae
- virtueel pakket geboden door libghc-haskell-lexer-dev
- libghc-haskell-lexer-doc (1.0.1-7)
- Documentation for a fully compliant Haskell 98 lexer; documentation
- libghc-haskell-lexer-prof (1.0.1-7+b2)
- Profiling libraries for a fully compliant Haskell 98 lexer; profiling libraries
- libghc-haskell-lexer-prof-1.0.1-57fc4
- virtueel pakket geboden door libghc-haskell-lexer-prof
- libghc-haskell-lexer-prof-1.0.1-c69ae
- virtueel pakket geboden door libghc-haskell-lexer-prof
- libghc-haskell-qrencode-dev-1.0.4-2c635
- virtueel pakket geboden door libghc-qrencode-dev
- libghc-haskell-qrencode-dev-1.0.4-c41f5
- virtueel pakket geboden door libghc-qrencode-dev
- libghc-haskell-qrencode-dev-1.0.4-cae9b
- virtueel pakket geboden door libghc-qrencode-dev
- libghc-haskell-qrencode-dev-1.0.4-fb585
- virtueel pakket geboden door libghc-qrencode-dev
- libghc-haskell-qrencode-prof-1.0.4-2c635
- virtueel pakket geboden door libghc-qrencode-prof
- libghc-haskell-qrencode-prof-1.0.4-c41f5
- virtueel pakket geboden door libghc-qrencode-prof
- libghc-haskell-qrencode-prof-1.0.4-cae9b
- virtueel pakket geboden door libghc-qrencode-prof
- libghc-haskell-qrencode-prof-1.0.4-fb585
- virtueel pakket geboden door libghc-qrencode-prof
- libghc-haskell-src-dev (1.0.3.0-2+b2)
- Haskell module to manipulate Haskell source
- libghc-haskell-src-dev-1.0.3.0-77999
- virtueel pakket geboden door libghc-haskell-src-dev
- libghc-haskell-src-dev-1.0.3.0-7a05a
- virtueel pakket geboden door libghc-haskell-src-dev
- libghc-haskell-src-dev-1.0.3.0-a4f2a
- virtueel pakket geboden door libghc-haskell-src-dev
- libghc-haskell-src-dev-1.0.3.0-d9959
- virtueel pakket geboden door libghc-haskell-src-dev
- libghc-haskell-src-doc (1.0.3.0-2)
- Haskell module to manipulate Haskell source; documentation
- libghc-haskell-src-exts-dev-1.20.2-6a914
- virtueel pakket geboden door libghc-src-exts-dev
- libghc-haskell-src-exts-dev-1.20.2-ec8d2
- virtueel pakket geboden door libghc-src-exts-dev
- libghc-haskell-src-exts-dev-1.20.2-f3d66
- virtueel pakket geboden door libghc-src-exts-dev
- libghc-haskell-src-exts-dev-1.20.2-f5464
- virtueel pakket geboden door libghc-src-exts-dev
- libghc-haskell-src-exts-prof-1.20.2-6a914
- virtueel pakket geboden door libghc-src-exts-prof
- libghc-haskell-src-exts-prof-1.20.2-ec8d2
- virtueel pakket geboden door libghc-src-exts-prof
- libghc-haskell-src-exts-prof-1.20.2-f3d66
- virtueel pakket geboden door libghc-src-exts-prof
- libghc-haskell-src-exts-prof-1.20.2-f5464
- virtueel pakket geboden door libghc-src-exts-prof
- libghc-haskell-src-exts-simple-dev-1.20.0.0-0a684
- virtueel pakket geboden door libghc-src-exts-simple-dev
- libghc-haskell-src-exts-simple-dev-1.20.0.0-7da4f
- virtueel pakket geboden door libghc-src-exts-simple-dev
- libghc-haskell-src-exts-simple-dev-1.20.0.0-c6770
- virtueel pakket geboden door libghc-src-exts-simple-dev
- libghc-haskell-src-exts-simple-dev-1.20.0.0-edcb7
- virtueel pakket geboden door libghc-src-exts-simple-dev
- libghc-haskell-src-exts-simple-prof-1.20.0.0-0a684
- virtueel pakket geboden door libghc-src-exts-simple-prof
- libghc-haskell-src-exts-simple-prof-1.20.0.0-7da4f
- virtueel pakket geboden door libghc-src-exts-simple-prof
- libghc-haskell-src-exts-simple-prof-1.20.0.0-c6770
- virtueel pakket geboden door libghc-src-exts-simple-prof
- libghc-haskell-src-exts-simple-prof-1.20.0.0-edcb7
- virtueel pakket geboden door libghc-src-exts-simple-prof
- libghc-haskell-src-exts-util-dev-0.2.3-2c438
- virtueel pakket geboden door libghc-src-exts-util-dev
- libghc-haskell-src-exts-util-dev-0.2.3-3d7ec
- virtueel pakket geboden door libghc-src-exts-util-dev
- libghc-haskell-src-exts-util-dev-0.2.3-41437
- virtueel pakket geboden door libghc-src-exts-util-dev
- libghc-haskell-src-exts-util-dev-0.2.3-d35f0
- virtueel pakket geboden door libghc-src-exts-util-dev
- libghc-haskell-src-exts-util-prof-0.2.3-2c438
- virtueel pakket geboden door libghc-src-exts-util-prof
- libghc-haskell-src-exts-util-prof-0.2.3-3d7ec
- virtueel pakket geboden door libghc-src-exts-util-prof
- libghc-haskell-src-exts-util-prof-0.2.3-41437
- virtueel pakket geboden door libghc-src-exts-util-prof
- libghc-haskell-src-exts-util-prof-0.2.3-d35f0
- virtueel pakket geboden door libghc-src-exts-util-prof
- libghc-haskell-src-meta-dev-0.8.0.3-04590
- virtueel pakket geboden door libghc-src-meta-dev
- libghc-haskell-src-meta-dev-0.8.0.3-a56b6
- virtueel pakket geboden door libghc-src-meta-dev
- libghc-haskell-src-meta-dev-0.8.0.3-d1549
- virtueel pakket geboden door libghc-src-meta-dev
- libghc-haskell-src-meta-dev-0.8.0.3-f8fcb
- virtueel pakket geboden door libghc-src-meta-dev
- libghc-haskell-src-meta-prof-0.8.0.3-04590
- virtueel pakket geboden door libghc-src-meta-prof
- libghc-haskell-src-meta-prof-0.8.0.3-a56b6
- virtueel pakket geboden door libghc-src-meta-prof
- libghc-haskell-src-meta-prof-0.8.0.3-d1549
- virtueel pakket geboden door libghc-src-meta-prof
- libghc-haskell-src-meta-prof-0.8.0.3-f8fcb
- virtueel pakket geboden door libghc-src-meta-prof
- libghc-haskell-src-prof (1.0.3.0-2+b2)
- Haskell module to manipulate Haskell source; profiling libraries
- libghc-haskell-src-prof-1.0.3.0-77999
- virtueel pakket geboden door libghc-haskell-src-prof
- libghc-haskell-src-prof-1.0.3.0-7a05a
- virtueel pakket geboden door libghc-haskell-src-prof
- libghc-haskell-src-prof-1.0.3.0-a4f2a
- virtueel pakket geboden door libghc-haskell-src-prof
- libghc-haskell-src-prof-1.0.3.0-d9959
- virtueel pakket geboden door libghc-haskell-src-prof
- libghc-haskellformaths-dev-0.4.8-64e67
- virtueel pakket geboden door libghc-maths-dev
- libghc-haskellformaths-dev-0.4.8-8ab0d
- virtueel pakket geboden door libghc-maths-dev
- libghc-haskellformaths-dev-0.4.8-94366
- virtueel pakket geboden door libghc-maths-dev
- libghc-haskellformaths-dev-0.4.8-d8d2a
- virtueel pakket geboden door libghc-maths-dev
- libghc-haskellformaths-prof-0.4.8-64e67
- virtueel pakket geboden door libghc-maths-prof
- libghc-haskellformaths-prof-0.4.8-8ab0d
- virtueel pakket geboden door libghc-maths-prof
- libghc-haskellformaths-prof-0.4.8-94366
- virtueel pakket geboden door libghc-maths-prof
- libghc-haskellformaths-prof-0.4.8-d8d2a
- virtueel pakket geboden door libghc-maths-prof
- libghc-haxml-dev (1:1.25.4-4+b2)
- GHC libraries for using XML documents with Haskell
- libghc-haxml-dev-1.25.4-57338
- virtueel pakket geboden door libghc-haxml-dev
- libghc-haxml-dev-1.25.4-bbe32
- virtueel pakket geboden door libghc-haxml-dev
- libghc-haxml-dev-1.25.4-c629f
- virtueel pakket geboden door libghc-haxml-dev
- libghc-haxml-dev-1.25.4-c90f5
- virtueel pakket geboden door libghc-haxml-dev
- libghc-haxml-doc (1:1.25.4-4)
- Documentation of HaXml
- libghc-haxml-prof (1:1.25.4-4+b2)
- Profiling libraries for the haskell haxml library
- libghc-haxml-prof-1.25.4-57338
- virtueel pakket geboden door libghc-haxml-prof
- libghc-haxml-prof-1.25.4-bbe32
- virtueel pakket geboden door libghc-haxml-prof
- libghc-haxml-prof-1.25.4-c629f
- virtueel pakket geboden door libghc-haxml-prof
- libghc-haxml-prof-1.25.4-c90f5
- virtueel pakket geboden door libghc-haxml-prof
- libghc-haxr-dev (3000.11.2-7+b1)
- XML-RPC client and server library for Haskell
- libghc-haxr-dev-3000.11.2-1ee8f
- virtueel pakket geboden door libghc-haxr-dev
- libghc-haxr-dev-3000.11.2-963a9
- virtueel pakket geboden door libghc-haxr-dev
- libghc-haxr-dev-3000.11.2-a886c
- virtueel pakket geboden door libghc-haxr-dev
- libghc-haxr-dev-3000.11.2-ada02
- virtueel pakket geboden door libghc-haxr-dev
- libghc-haxr-doc (3000.11.2-7)
- XML-RPC client and server library for Haskell; documentation
- libghc-haxr-prof (3000.11.2-7+b1)
- XML-RPC client and server library for Haskell; profiling library
- libghc-haxr-prof-3000.11.2-1ee8f
- virtueel pakket geboden door libghc-haxr-prof
- libghc-haxr-prof-3000.11.2-963a9
- virtueel pakket geboden door libghc-haxr-prof
- libghc-haxr-prof-3000.11.2-a886c
- virtueel pakket geboden door libghc-haxr-prof
- libghc-haxr-prof-3000.11.2-ada02
- virtueel pakket geboden door libghc-haxr-prof
- libghc-hclip-dev (3.0.0.4-6+b2)
- library to read/modify the system clipboard
- libghc-hclip-dev-3.0.0.4-256c0
- virtueel pakket geboden door libghc-hclip-dev
- libghc-hclip-dev-3.0.0.4-71cbf
- virtueel pakket geboden door libghc-hclip-dev
- libghc-hclip-dev-3.0.0.4-87091
- virtueel pakket geboden door libghc-hclip-dev
- libghc-hclip-doc (3.0.0.4-6)
- library to read/modify the system clipboard; documentation
- libghc-hclip-prof (3.0.0.4-6+b2)
- library to read/modify the system clipboard; profiling libraries
- libghc-hclip-prof-3.0.0.4-256c0
- virtueel pakket geboden door libghc-hclip-prof
- libghc-hclip-prof-3.0.0.4-71cbf
- virtueel pakket geboden door libghc-hclip-prof
- libghc-hclip-prof-3.0.0.4-87091
- virtueel pakket geboden door libghc-hclip-prof
- libghc-hcwiid-dev (0.0.6.1-3+b2)
- Library to interface with the wiimote
- libghc-hcwiid-dev-0.0.6.1-6af2f
- virtueel pakket geboden door libghc-hcwiid-dev
- libghc-hcwiid-dev-0.0.6.1-7f17f
- virtueel pakket geboden door libghc-hcwiid-dev
- libghc-hcwiid-dev-0.0.6.1-d7299
- virtueel pakket geboden door libghc-hcwiid-dev
- libghc-hcwiid-dev-0.0.6.1-f20fb
- virtueel pakket geboden door libghc-hcwiid-dev
- libghc-hcwiid-doc (0.0.6.1-3)
- Library to interface with the wiimote; documentation
- libghc-hcwiid-prof (0.0.6.1-3+b2)
- Library to interface with the wiimote; profiling libraries
- libghc-hcwiid-prof-0.0.6.1-6af2f
- virtueel pakket geboden door libghc-hcwiid-prof
- libghc-hcwiid-prof-0.0.6.1-7f17f
- virtueel pakket geboden door libghc-hcwiid-prof
- libghc-hcwiid-prof-0.0.6.1-d7299
- virtueel pakket geboden door libghc-hcwiid-prof
- libghc-hcwiid-prof-0.0.6.1-f20fb
- virtueel pakket geboden door libghc-hcwiid-prof
- libghc-hdbc-dev (2.4.0.2-4+b2)
- Haskell Database Connectivity, GHC package
- libghc-hdbc-dev-2.4.0.2-16275
- virtueel pakket geboden door libghc-hdbc-dev
- libghc-hdbc-dev-2.4.0.2-9f842
- virtueel pakket geboden door libghc-hdbc-dev
- libghc-hdbc-dev-2.4.0.2-b819d
- virtueel pakket geboden door libghc-hdbc-dev
- libghc-hdbc-dev-2.4.0.2-c4135
- virtueel pakket geboden door libghc-hdbc-dev
- libghc-hdbc-doc (2.4.0.2-4)
- Haskell Database Connectivity, Documentation
- libghc-hdbc-postgresql-dev (2.3.2.5-4+b2)
- PostgreSQL HDBC (Haskell Database Connectivity) Driver for GHC
- libghc-hdbc-postgresql-dev-2.3.2.5-297e2
- virtueel pakket geboden door libghc-hdbc-postgresql-dev
- libghc-hdbc-postgresql-dev-2.3.2.5-3daec
- virtueel pakket geboden door libghc-hdbc-postgresql-dev
- libghc-hdbc-postgresql-dev-2.3.2.5-99106
- virtueel pakket geboden door libghc-hdbc-postgresql-dev
- libghc-hdbc-postgresql-dev-2.3.2.5-c7a21
- virtueel pakket geboden door libghc-hdbc-postgresql-dev
- libghc-hdbc-postgresql-doc (2.3.2.5-4)
- PostgreSQL HDBC (Haskell Database Connectivity) documentation
- libghc-hdbc-postgresql-prof (2.3.2.5-4+b2)
- PostgreSQL HDBC Driver for GHC; profiling libraries
- libghc-hdbc-postgresql-prof-2.3.2.5-297e2
- virtueel pakket geboden door libghc-hdbc-postgresql-prof
- libghc-hdbc-postgresql-prof-2.3.2.5-3daec
- virtueel pakket geboden door libghc-hdbc-postgresql-prof
- libghc-hdbc-postgresql-prof-2.3.2.5-99106
- virtueel pakket geboden door libghc-hdbc-postgresql-prof
- libghc-hdbc-postgresql-prof-2.3.2.5-c7a21
- virtueel pakket geboden door libghc-hdbc-postgresql-prof
- libghc-hdbc-prof (2.4.0.2-4+b2)
- Haskell Database Connectivity, GHC profiling data
- libghc-hdbc-prof-2.4.0.2-16275
- virtueel pakket geboden door libghc-hdbc-prof
- libghc-hdbc-prof-2.4.0.2-9f842
- virtueel pakket geboden door libghc-hdbc-prof
- libghc-hdbc-prof-2.4.0.2-b819d
- virtueel pakket geboden door libghc-hdbc-prof
- libghc-hdbc-prof-2.4.0.2-c4135
- virtueel pakket geboden door libghc-hdbc-prof
- libghc-hdbc-session-dev (0.1.2.0-2+b2)
- bracketed connection for HDBC
- libghc-hdbc-session-dev-0.1.2.0-67c26
- virtueel pakket geboden door libghc-hdbc-session-dev
- libghc-hdbc-session-dev-0.1.2.0-8250a
- virtueel pakket geboden door libghc-hdbc-session-dev
- libghc-hdbc-session-dev-0.1.2.0-8c57c
- virtueel pakket geboden door libghc-hdbc-session-dev
- libghc-hdbc-session-dev-0.1.2.0-bdc9b
- virtueel pakket geboden door libghc-hdbc-session-dev
- libghc-hdbc-session-doc (0.1.2.0-2)
- bracketed connection for HDBC; documentation
- libghc-hdbc-session-prof (0.1.2.0-2+b2)
- bracketed connection for HDBC; profiling libraries
- libghc-hdbc-session-prof-0.1.2.0-67c26
- virtueel pakket geboden door libghc-hdbc-session-prof
- libghc-hdbc-session-prof-0.1.2.0-8250a
- virtueel pakket geboden door libghc-hdbc-session-prof
- libghc-hdbc-session-prof-0.1.2.0-8c57c
- virtueel pakket geboden door libghc-hdbc-session-prof
- libghc-hdbc-session-prof-0.1.2.0-bdc9b
- virtueel pakket geboden door libghc-hdbc-session-prof
- libghc-hdbc-sqlite3-dev (2.3.3.1-7+b2)
- Sqlite v3 HDBC (Haskell Database Connectivity) Driver for GHC
- libghc-hdbc-sqlite3-dev-2.3.3.1-0b383
- virtueel pakket geboden door libghc-hdbc-sqlite3-dev
- libghc-hdbc-sqlite3-dev-2.3.3.1-13eee
- virtueel pakket geboden door libghc-hdbc-sqlite3-dev
- libghc-hdbc-sqlite3-dev-2.3.3.1-52111
- virtueel pakket geboden door libghc-hdbc-sqlite3-dev
- libghc-hdbc-sqlite3-dev-2.3.3.1-e1f92
- virtueel pakket geboden door libghc-hdbc-sqlite3-dev
- libghc-hdbc-sqlite3-doc (2.3.3.1-7)
- Sqlite v3 HDBC (Haskell Database Connectivity) Documentation
- libghc-hdbc-sqlite3-prof (2.3.3.1-7+b2)
- Sqlite v3 HDBC Driver for GHC; profiling libraries
- libghc-hdbc-sqlite3-prof-2.3.3.1-0b383
- virtueel pakket geboden door libghc-hdbc-sqlite3-prof
- libghc-hdbc-sqlite3-prof-2.3.3.1-13eee
- virtueel pakket geboden door libghc-hdbc-sqlite3-prof
- libghc-hdbc-sqlite3-prof-2.3.3.1-52111
- virtueel pakket geboden door libghc-hdbc-sqlite3-prof
- libghc-hdbc-sqlite3-prof-2.3.3.1-e1f92
- virtueel pakket geboden door libghc-hdbc-sqlite3-prof
- libghc-hedgehog-dev (0.6-3+b1)
- Hedgehog will eat all your bugs
- libghc-hedgehog-dev-0.6-373d3
- virtueel pakket geboden door libghc-hedgehog-dev
- libghc-hedgehog-dev-0.6-376a7
- virtueel pakket geboden door libghc-hedgehog-dev
- libghc-hedgehog-dev-0.6-975de
- virtueel pakket geboden door libghc-hedgehog-dev
- libghc-hedgehog-dev-0.6-bda95
- virtueel pakket geboden door libghc-hedgehog-dev
- libghc-hedgehog-doc (0.6-3)
- Hedgehog will eat all your bugs; documentation
- libghc-hedgehog-prof (0.6-3+b1)
- Hedgehog will eat all your bugs; profiling libraries
- libghc-hedgehog-prof-0.6-373d3
- virtueel pakket geboden door libghc-hedgehog-prof
- libghc-hedgehog-prof-0.6-376a7
- virtueel pakket geboden door libghc-hedgehog-prof
- libghc-hedgehog-prof-0.6-975de
- virtueel pakket geboden door libghc-hedgehog-prof
- libghc-hedgehog-prof-0.6-bda95
- virtueel pakket geboden door libghc-hedgehog-prof
- libghc-hedis-dev (0.10.4-2+b1)
- client library for the Redis datastore
- libghc-hedis-dev-0.10.4-44c29
- virtueel pakket geboden door libghc-hedis-dev
- libghc-hedis-dev-0.10.4-46a27
- virtueel pakket geboden door libghc-hedis-dev
- libghc-hedis-dev-0.10.4-969dd
- virtueel pakket geboden door libghc-hedis-dev
- libghc-hedis-dev-0.10.4-f711a
- virtueel pakket geboden door libghc-hedis-dev
- libghc-hedis-doc (0.10.4-2)
- client library for the Redis datastore; documentation
- libghc-hedis-prof (0.10.4-2+b1)
- client library for the Redis datastore; profiling libraries
- libghc-hedis-prof-0.10.4-44c29
- virtueel pakket geboden door libghc-hedis-prof
- libghc-hedis-prof-0.10.4-46a27
- virtueel pakket geboden door libghc-hedis-prof
- libghc-hedis-prof-0.10.4-969dd
- virtueel pakket geboden door libghc-hedis-prof
- libghc-hedis-prof-0.10.4-f711a
- virtueel pakket geboden door libghc-hedis-prof
- libghc-heist-dev (1.1-4+b1)
- template system supporting both HTML5 and XML
- libghc-heist-dev-1.1-10f14
- virtueel pakket geboden door libghc-heist-dev
- libghc-heist-dev-1.1-50d9d
- virtueel pakket geboden door libghc-heist-dev
- libghc-heist-dev-1.1-733e8
- virtueel pakket geboden door libghc-heist-dev
- libghc-heist-dev-1.1-a7f70
- virtueel pakket geboden door libghc-heist-dev
- libghc-heist-doc (1.1-4)
- template system supporting both HTML5 and XML; documentation
- libghc-heist-prof (1.1-4+b1)
- template system supporting both HTML5 and XML; profiling libraries
- libghc-heist-prof-1.1-10f14
- virtueel pakket geboden door libghc-heist-prof
- libghc-heist-prof-1.1-50d9d
- virtueel pakket geboden door libghc-heist-prof
- libghc-heist-prof-1.1-733e8
- virtueel pakket geboden door libghc-heist-prof
- libghc-heist-prof-1.1-a7f70
- virtueel pakket geboden door libghc-heist-prof
- libghc-here-dev (1.2.13-4+b3 [amd64, arm64], 1.2.13-4+b2 [armhf], 1.2.13-4+b1 [i386])
- Here documents and interpolated strings via quasiquotation
- libghc-here-dev-1.2.13-12a24
- virtueel pakket geboden door libghc-here-dev
- libghc-here-dev-1.2.13-7106a
- virtueel pakket geboden door libghc-here-dev
- libghc-here-dev-1.2.13-b3c06
- virtueel pakket geboden door libghc-here-dev
- libghc-here-dev-1.2.13-d6864
- virtueel pakket geboden door libghc-here-dev
- libghc-here-doc (1.2.13-4)
- Here documents and interpolated strings via quasiquotation; documentation
- libghc-here-prof (1.2.13-4+b3 [amd64, arm64], 1.2.13-4+b2 [armhf], 1.2.13-4+b1 [i386])
- Here documents and interpolated strings via quasiquotation; profiling libraries
- libghc-here-prof-1.2.13-12a24
- virtueel pakket geboden door libghc-here-prof
- libghc-here-prof-1.2.13-7106a
- virtueel pakket geboden door libghc-here-prof
- libghc-here-prof-1.2.13-b3c06
- virtueel pakket geboden door libghc-here-prof
- libghc-here-prof-1.2.13-d6864
- virtueel pakket geboden door libghc-here-prof
- libghc-heredoc-dev (0.2.0.0-5+b2)
- multi-line string / here document using QuasiQuotes
- libghc-heredoc-dev-0.2.0.0-2a3f2
- virtueel pakket geboden door libghc-heredoc-dev
- libghc-heredoc-dev-0.2.0.0-a91e3
- virtueel pakket geboden door libghc-heredoc-dev
- libghc-heredoc-dev-0.2.0.0-ce6bc
- virtueel pakket geboden door libghc-heredoc-dev
- libghc-heredoc-dev-0.2.0.0-e66ca
- virtueel pakket geboden door libghc-heredoc-dev
- libghc-heredoc-doc (0.2.0.0-5)
- multi-line string / here document using QuasiQuotes; documentation
- libghc-heredoc-prof (0.2.0.0-5+b2)
- multi-line string / here document using QuasiQuotes; profiling libraries
- libghc-heredoc-prof-0.2.0.0-2a3f2
- virtueel pakket geboden door libghc-heredoc-prof
- libghc-heredoc-prof-0.2.0.0-a91e3
- virtueel pakket geboden door libghc-heredoc-prof
- libghc-heredoc-prof-0.2.0.0-ce6bc
- virtueel pakket geboden door libghc-heredoc-prof
- libghc-heredoc-prof-0.2.0.0-e66ca
- virtueel pakket geboden door libghc-heredoc-prof
- libghc-heterocephalus-dev (1.0.5.2-1)
- type-safe template engine for popular front-end tools
- libghc-heterocephalus-dev-1.0.5.2-5d94a
- virtueel pakket geboden door libghc-heterocephalus-dev
- libghc-heterocephalus-dev-1.0.5.2-8eea9
- virtueel pakket geboden door libghc-heterocephalus-dev
- libghc-heterocephalus-dev-1.0.5.2-b8030
- virtueel pakket geboden door libghc-heterocephalus-dev
- libghc-heterocephalus-dev-1.0.5.2-f31de
- virtueel pakket geboden door libghc-heterocephalus-dev
- libghc-heterocephalus-doc (1.0.5.2-1)
- type-safe template engine for popular front-end tools; documentation
- libghc-heterocephalus-prof (1.0.5.2-1)
- type-safe template engine for popular front-end tools; profiling libraries
- libghc-heterocephalus-prof-1.0.5.2-5d94a
- virtueel pakket geboden door libghc-heterocephalus-prof
- libghc-heterocephalus-prof-1.0.5.2-8eea9
- virtueel pakket geboden door libghc-heterocephalus-prof
- libghc-heterocephalus-prof-1.0.5.2-b8030
- virtueel pakket geboden door libghc-heterocephalus-prof
- libghc-heterocephalus-prof-1.0.5.2-f31de
- virtueel pakket geboden door libghc-heterocephalus-prof
- libghc-hex-dev (0.1.2-6+b2)
- Convert strings into hexadecimal and back.
- libghc-hex-dev-0.1.2-8a87a
- virtueel pakket geboden door libghc-hex-dev
- libghc-hex-dev-0.1.2-ec85d
- virtueel pakket geboden door libghc-hex-dev
- libghc-hex-dev-0.1.2-f0ba7
- virtueel pakket geboden door libghc-hex-dev
- libghc-hex-dev-0.1.2-f5b30
- virtueel pakket geboden door libghc-hex-dev
- libghc-hex-doc (0.1.2-6)
- Convert strings into hexadecimal and back.; documentation
- libghc-hex-prof (0.1.2-6+b2)
- Convert strings into hexadecimal and back.; profiling libraries
- libghc-hex-prof-0.1.2-8a87a
- virtueel pakket geboden door libghc-hex-prof
- libghc-hex-prof-0.1.2-ec85d
- virtueel pakket geboden door libghc-hex-prof
- libghc-hex-prof-0.1.2-f0ba7
- virtueel pakket geboden door libghc-hex-prof
- libghc-hex-prof-0.1.2-f5b30
- virtueel pakket geboden door libghc-hex-prof
- libghc-hgettext-dev (0.1.31.0-4)
- Gettext bindings for haskell
- libghc-hgettext-dev-0.1.31.0-6ad9d
- virtueel pakket geboden door libghc-hgettext-dev
- libghc-hgettext-dev-0.1.31.0-a65e6
- virtueel pakket geboden door libghc-hgettext-dev
- libghc-hgettext-dev-0.1.31.0-aa5f6
- virtueel pakket geboden door libghc-hgettext-dev
- libghc-hgettext-dev-0.1.31.0-ecc1d
- virtueel pakket geboden door libghc-hgettext-dev
- libghc-hgettext-doc (0.1.31.0-4)
- Gettext bindings for haskell; documentation
- libghc-hgettext-prof (0.1.31.0-4)
- Gettext bindings for haskell; profiling libraries
- libghc-hgettext-prof-0.1.31.0-6ad9d
- virtueel pakket geboden door libghc-hgettext-prof
- libghc-hgettext-prof-0.1.31.0-a65e6
- virtueel pakket geboden door libghc-hgettext-prof
- libghc-hgettext-prof-0.1.31.0-aa5f6
- virtueel pakket geboden door libghc-hgettext-prof
- libghc-hgettext-prof-0.1.31.0-ecc1d
- virtueel pakket geboden door libghc-hgettext-prof
- libghc-hierarchical-clustering-dev (0.4.6-5+b2)
- fast algorithms for single, average/UPGMA and complete linkage clustering
- libghc-hierarchical-clustering-dev-0.4.6-0f9b5
- virtueel pakket geboden door libghc-hierarchical-clustering-dev
- libghc-hierarchical-clustering-dev-0.4.6-5a2d3
- virtueel pakket geboden door libghc-hierarchical-clustering-dev
- libghc-hierarchical-clustering-dev-0.4.6-9ec4a
- virtueel pakket geboden door libghc-hierarchical-clustering-dev
- libghc-hierarchical-clustering-doc (0.4.6-5)
- fast algorithms for single, average/UPGMA and complete linkage clustering; documentation
- libghc-hierarchical-clustering-prof (0.4.6-5+b2)
- fast algorithms for single, average/UPGMA and complete linkage clustering; profiling libraries
- libghc-hierarchical-clustering-prof-0.4.6-0f9b5
- virtueel pakket geboden door libghc-hierarchical-clustering-prof
- libghc-hierarchical-clustering-prof-0.4.6-5a2d3
- virtueel pakket geboden door libghc-hierarchical-clustering-prof
- libghc-hierarchical-clustering-prof-0.4.6-9ec4a
- virtueel pakket geboden door libghc-hierarchical-clustering-prof
- libghc-highlighting-kate-dev (0.6.4-5+b1)
- syntax highlighting library based on Kate syntax descriptions
- libghc-highlighting-kate-dev-0.6.4-2c1e4
- virtueel pakket geboden door libghc-highlighting-kate-dev
- libghc-highlighting-kate-dev-0.6.4-48006
- virtueel pakket geboden door libghc-highlighting-kate-dev
- libghc-highlighting-kate-dev-0.6.4-9ef1d
- virtueel pakket geboden door libghc-highlighting-kate-dev
- libghc-highlighting-kate-dev-0.6.4-b2517
- virtueel pakket geboden door libghc-highlighting-kate-dev
- libghc-highlighting-kate-doc (0.6.4-5)
- library documentation for highlighting-kate; documentation
- libghc-highlighting-kate-prof (0.6.4-5+b1)
- highlighting-kate library with profiling enabled; profiling libraries
- libghc-highlighting-kate-prof-0.6.4-2c1e4
- virtueel pakket geboden door libghc-highlighting-kate-prof
- libghc-highlighting-kate-prof-0.6.4-48006
- virtueel pakket geboden door libghc-highlighting-kate-prof
- libghc-highlighting-kate-prof-0.6.4-9ef1d
- virtueel pakket geboden door libghc-highlighting-kate-prof
- libghc-highlighting-kate-prof-0.6.4-b2517
- virtueel pakket geboden door libghc-highlighting-kate-prof
- libghc-hinotify-dev (0.3.10-1+b2)
- Haskell inotify library
- libghc-hinotify-dev-0.3.10-175cf
- virtueel pakket geboden door libghc-hinotify-dev
- libghc-hinotify-dev-0.3.10-4e254
- virtueel pakket geboden door libghc-hinotify-dev
- libghc-hinotify-dev-0.3.10-75568
- virtueel pakket geboden door libghc-hinotify-dev
- libghc-hinotify-dev-0.3.10-97d3f
- virtueel pakket geboden door libghc-hinotify-dev
- libghc-hinotify-doc (0.3.10-1)
- Haskell inotify library; documentation
- libghc-hinotify-prof (0.3.10-1+b2)
- Haskell inotify library; profiling libraries
- libghc-hinotify-prof-0.3.10-175cf
- virtueel pakket geboden door libghc-hinotify-prof
- libghc-hinotify-prof-0.3.10-4e254
- virtueel pakket geboden door libghc-hinotify-prof
- libghc-hinotify-prof-0.3.10-75568
- virtueel pakket geboden door libghc-hinotify-prof
- libghc-hinotify-prof-0.3.10-97d3f
- virtueel pakket geboden door libghc-hinotify-prof
- libghc-hint-dev (0.8.0-3+b2)
- runtime Haskell interpreter (GHC API wrapper)
- libghc-hint-dev-0.8.0-5aec8
- virtueel pakket geboden door libghc-hint-dev
- libghc-hint-dev-0.8.0-6156f
- virtueel pakket geboden door libghc-hint-dev
- libghc-hint-dev-0.8.0-b1f08
- virtueel pakket geboden door libghc-hint-dev
- libghc-hint-dev-0.8.0-df9ad
- virtueel pakket geboden door libghc-hint-dev
- libghc-hint-doc (0.8.0-3)
- runtime Haskell interpreter (GHC API wrapper); documentation
- libghc-hint-prof (0.8.0-3+b2)
- runtime Haskell interpreter (GHC API wrapper); profiling libraries
- libghc-hint-prof-0.8.0-5aec8
- virtueel pakket geboden door libghc-hint-prof
- libghc-hint-prof-0.8.0-6156f
- virtueel pakket geboden door libghc-hint-prof
- libghc-hint-prof-0.8.0-b1f08
- virtueel pakket geboden door libghc-hint-prof
- libghc-hint-prof-0.8.0-df9ad
- virtueel pakket geboden door libghc-hint-prof
- libghc-hjsmin-dev (0.2.0.2-6+b2)
- JavaScript minifier
- libghc-hjsmin-dev-0.2.0.2-45c51
- virtueel pakket geboden door libghc-hjsmin-dev
- libghc-hjsmin-dev-0.2.0.2-636e6
- virtueel pakket geboden door libghc-hjsmin-dev
- libghc-hjsmin-dev-0.2.0.2-a50bf
- virtueel pakket geboden door libghc-hjsmin-dev
- libghc-hjsmin-dev-0.2.0.2-a9b61
- virtueel pakket geboden door libghc-hjsmin-dev
- libghc-hjsmin-doc (0.2.0.2-6)
- JavaScript minifier; documentation
- libghc-hjsmin-prof (0.2.0.2-6+b2)
- JavaScript minifier; profiling libraries
- libghc-hjsmin-prof-0.2.0.2-45c51
- virtueel pakket geboden door libghc-hjsmin-prof
- libghc-hjsmin-prof-0.2.0.2-636e6
- virtueel pakket geboden door libghc-hjsmin-prof
- libghc-hjsmin-prof-0.2.0.2-a50bf
- virtueel pakket geboden door libghc-hjsmin-prof
- libghc-hjsmin-prof-0.2.0.2-a9b61
- virtueel pakket geboden door libghc-hjsmin-prof
- libghc-hledger-dev (1.10-3+b2)
- CLI libraries for hledger
- libghc-hledger-dev-1.10-467f3
- virtueel pakket geboden door libghc-hledger-dev
- libghc-hledger-dev-1.10-a6a35
- virtueel pakket geboden door libghc-hledger-dev
- libghc-hledger-dev-1.10-c8478
- virtueel pakket geboden door libghc-hledger-dev
- libghc-hledger-dev-1.10-eb11b
- virtueel pakket geboden door libghc-hledger-dev
- libghc-hledger-doc (1.10-3)
- CLI libraries for hledger; documentation
- libghc-hledger-lib-dev (1.10-3+b1)
- core data types, parsers and utilities for the hledger accounting tool
- libghc-hledger-lib-dev-1.10-177a3
- virtueel pakket geboden door libghc-hledger-lib-dev
- libghc-hledger-lib-dev-1.10-b46a8
- virtueel pakket geboden door libghc-hledger-lib-dev
- libghc-hledger-lib-dev-1.10-f2529
- virtueel pakket geboden door libghc-hledger-lib-dev
- libghc-hledger-lib-dev-1.10-fd404
- virtueel pakket geboden door libghc-hledger-lib-dev
- libghc-hledger-lib-doc (1.10-3)
- core data types, parsers and utilities for hledger; documentation
- libghc-hledger-lib-prof (1.10-3+b1)
- core data types, parsers and utilities for hledger; profiling libraries
- libghc-hledger-lib-prof-1.10-177a3
- virtueel pakket geboden door libghc-hledger-lib-prof
- libghc-hledger-lib-prof-1.10-b46a8
- virtueel pakket geboden door libghc-hledger-lib-prof
- libghc-hledger-lib-prof-1.10-f2529
- virtueel pakket geboden door libghc-hledger-lib-prof
- libghc-hledger-lib-prof-1.10-fd404
- virtueel pakket geboden door libghc-hledger-lib-prof
- libghc-hledger-prof (1.10-3+b2)
- CLI libraries for hledger; profiling libraries
- libghc-hledger-prof-1.10-467f3
- virtueel pakket geboden door libghc-hledger-prof
- libghc-hledger-prof-1.10-a6a35
- virtueel pakket geboden door libghc-hledger-prof
- libghc-hledger-prof-1.10-c8478
- virtueel pakket geboden door libghc-hledger-prof
- libghc-hledger-prof-1.10-eb11b
- virtueel pakket geboden door libghc-hledger-prof
- libghc-hlint-dev (2.1.10-2+b1)
- Haskell source code suggestions
- libghc-hlint-dev-2.1.10-33d61
- virtueel pakket geboden door libghc-hlint-dev
- libghc-hlint-dev-2.1.10-552fd
- virtueel pakket geboden door libghc-hlint-dev
- libghc-hlint-dev-2.1.10-d9e63
- virtueel pakket geboden door libghc-hlint-dev
- libghc-hlint-dev-2.1.10-e5c0a
- virtueel pakket geboden door libghc-hlint-dev
- libghc-hlint-doc (2.1.10-2)
- Haskell source code suggestions; documentation
- libghc-hlint-prof (2.1.10-2+b1)
- Haskell source code suggestions; profiling libraries
- libghc-hlint-prof-2.1.10-33d61
- virtueel pakket geboden door libghc-hlint-prof
- libghc-hlint-prof-2.1.10-552fd
- virtueel pakket geboden door libghc-hlint-prof
- libghc-hlint-prof-2.1.10-d9e63
- virtueel pakket geboden door libghc-hlint-prof
- libghc-hlint-prof-2.1.10-e5c0a
- virtueel pakket geboden door libghc-hlint-prof
- libghc-hmatrix-dev (0.19.0.0-1+b3 [amd64, arm64], 0.19.0.0-1+b2 [armhf], 0.19.0.0-1+b1 [i386])
- Linear algebra in Haskell
- libghc-hmatrix-dev-0.19.0.0-02350
- virtueel pakket geboden door libghc-hmatrix-dev
- libghc-hmatrix-dev-0.19.0.0-166c3
- virtueel pakket geboden door libghc-hmatrix-dev
- libghc-hmatrix-dev-0.19.0.0-ca4b0
- virtueel pakket geboden door libghc-hmatrix-dev
- libghc-hmatrix-dev-0.19.0.0-f1590
- virtueel pakket geboden door libghc-hmatrix-dev
- libghc-hmatrix-doc (0.19.0.0-1)
- Linear algebra in Haskell; documentation
- libghc-hmatrix-gsl-dev (0.19.0.1-1+b3 [amd64, arm64], 0.19.0.1-1+b2 [armhf], 0.19.0.1-1+b1 [i386])
- numerical computation
- libghc-hmatrix-gsl-dev-0.19.0.1-055da
- virtueel pakket geboden door libghc-hmatrix-gsl-dev
- libghc-hmatrix-gsl-dev-0.19.0.1-63e4f
- virtueel pakket geboden door libghc-hmatrix-gsl-dev
- libghc-hmatrix-gsl-dev-0.19.0.1-e57b5
- virtueel pakket geboden door libghc-hmatrix-gsl-dev
- libghc-hmatrix-gsl-dev-0.19.0.1-f67c2
- virtueel pakket geboden door libghc-hmatrix-gsl-dev
- libghc-hmatrix-gsl-doc (0.19.0.1-1)
- numerical computation; documentation
- libghc-hmatrix-gsl-prof (0.19.0.1-1+b3 [amd64, arm64], 0.19.0.1-1+b2 [armhf], 0.19.0.1-1+b1 [i386])
- numerical computation; profiling libraries
- libghc-hmatrix-gsl-prof-0.19.0.1-055da
- virtueel pakket geboden door libghc-hmatrix-gsl-prof
- libghc-hmatrix-gsl-prof-0.19.0.1-63e4f
- virtueel pakket geboden door libghc-hmatrix-gsl-prof
- libghc-hmatrix-gsl-prof-0.19.0.1-e57b5
- virtueel pakket geboden door libghc-hmatrix-gsl-prof
- libghc-hmatrix-gsl-prof-0.19.0.1-f67c2
- virtueel pakket geboden door libghc-hmatrix-gsl-prof
- libghc-hmatrix-prof (0.19.0.0-1+b3 [amd64, arm64], 0.19.0.0-1+b2 [armhf], 0.19.0.0-1+b1 [i386])
- Linear algebra in Haskell; profiling libraries
- libghc-hmatrix-prof-0.19.0.0-02350
- virtueel pakket geboden door libghc-hmatrix-prof
- libghc-hmatrix-prof-0.19.0.0-166c3
- virtueel pakket geboden door libghc-hmatrix-prof
- libghc-hmatrix-prof-0.19.0.0-ca4b0
- virtueel pakket geboden door libghc-hmatrix-prof
- libghc-hmatrix-prof-0.19.0.0-f1590
- virtueel pakket geboden door libghc-hmatrix-prof
- libghc-hoauth2-dev (1.7.2-4+b1)
- OAuth2 authentication for Haskell
- libghc-hoauth2-dev-1.7.2-16fe4
- virtueel pakket geboden door libghc-hoauth2-dev
- libghc-hoauth2-dev-1.7.2-59952
- virtueel pakket geboden door libghc-hoauth2-dev
- libghc-hoauth2-dev-1.7.2-700fc
- virtueel pakket geboden door libghc-hoauth2-dev
- libghc-hoauth2-dev-1.7.2-931cc
- virtueel pakket geboden door libghc-hoauth2-dev
- libghc-hoauth2-doc (1.7.2-4)
- OAuth2 authentication for Haskell; documentation
- libghc-hoauth2-prof (1.7.2-4+b1)
- OAuth2 authentication for Haskell; profiling libraries
- libghc-hoauth2-prof-1.7.2-16fe4
- virtueel pakket geboden door libghc-hoauth2-prof
- libghc-hoauth2-prof-1.7.2-59952
- virtueel pakket geboden door libghc-hoauth2-prof
- libghc-hoauth2-prof-1.7.2-700fc
- virtueel pakket geboden door libghc-hoauth2-prof
- libghc-hoauth2-prof-1.7.2-931cc
- virtueel pakket geboden door libghc-hoauth2-prof
- libghc-hoogle-dev (5.0.17.3+dfsg1-5+b1)
- Haskell API Search
- libghc-hoogle-dev-5.0.17.3-26db6
- virtueel pakket geboden door libghc-hoogle-dev
- libghc-hoogle-dev-5.0.17.3-45679
- virtueel pakket geboden door libghc-hoogle-dev
- libghc-hoogle-dev-5.0.17.3-4d5ed
- virtueel pakket geboden door libghc-hoogle-dev
- libghc-hoogle-dev-5.0.17.3-99adc
- virtueel pakket geboden door libghc-hoogle-dev
- libghc-hoogle-doc (5.0.17.3+dfsg1-5)
- Haskell API Search; documentation
- libghc-hoogle-prof (5.0.17.3+dfsg1-5+b1)
- Haskell API Search; profiling libraries
- libghc-hoogle-prof-5.0.17.3-26db6
- virtueel pakket geboden door libghc-hoogle-prof
- libghc-hoogle-prof-5.0.17.3-45679
- virtueel pakket geboden door libghc-hoogle-prof
- libghc-hoogle-prof-5.0.17.3-4d5ed
- virtueel pakket geboden door libghc-hoogle-prof
- libghc-hoogle-prof-5.0.17.3-99adc
- virtueel pakket geboden door libghc-hoogle-prof
- libghc-hookup-dev (0.2.2-1+b1)
- abstraction over network connections with SOCKS5 and TLS
- libghc-hookup-dev-0.2.2-17827
- virtueel pakket geboden door libghc-hookup-dev
- libghc-hookup-dev-0.2.2-19f3f
- virtueel pakket geboden door libghc-hookup-dev
- libghc-hookup-dev-0.2.2-7acd9
- virtueel pakket geboden door libghc-hookup-dev
- libghc-hookup-dev-0.2.2-bfc49
- virtueel pakket geboden door libghc-hookup-dev
- libghc-hookup-doc (0.2.2-1)
- abstraction over network connections with SOCKS5 and TLS; documentation
- libghc-hookup-prof (0.2.2-1+b1)
- abstraction over network connections with SOCKS5 and TLS; profiling libraries
- libghc-hookup-prof-0.2.2-17827
- virtueel pakket geboden door libghc-hookup-prof
- libghc-hookup-prof-0.2.2-19f3f
- virtueel pakket geboden door libghc-hookup-prof
- libghc-hookup-prof-0.2.2-7acd9
- virtueel pakket geboden door libghc-hookup-prof
- libghc-hookup-prof-0.2.2-bfc49
- virtueel pakket geboden door libghc-hookup-prof
- libghc-hopenpgp-dev (2.7.4.1-1)
- implementation of OpenPGP (RFC4880)
- libghc-hopenpgp-dev-2.7.4.1-66838
- virtueel pakket geboden door libghc-hopenpgp-dev
- libghc-hopenpgp-dev-2.7.4.1-7fff9
- virtueel pakket geboden door libghc-hopenpgp-dev
- libghc-hopenpgp-dev-2.7.4.1-c5c04
- virtueel pakket geboden door libghc-hopenpgp-dev
- libghc-hopenpgp-dev-2.7.4.1-ebad0
- virtueel pakket geboden door libghc-hopenpgp-dev
- libghc-hopenpgp-doc (2.7.4.1-1)
- implementation of OpenPGP (RFC4880); documentation
- libghc-hopenpgp-prof (2.7.4.1-1)
- OpenPGP (RFC4880); profiling libraries
- libghc-hopenpgp-prof-2.7.4.1-66838
- virtueel pakket geboden door libghc-hopenpgp-prof
- libghc-hopenpgp-prof-2.7.4.1-7fff9
- virtueel pakket geboden door libghc-hopenpgp-prof
- libghc-hopenpgp-prof-2.7.4.1-c5c04
- virtueel pakket geboden door libghc-hopenpgp-prof
- libghc-hopenpgp-prof-2.7.4.1-ebad0
- virtueel pakket geboden door libghc-hopenpgp-prof
- libghc-hosc-dev (0.16-2+b2)
- Haskell Open Sound Control
- libghc-hosc-dev-0.16-008ca
- virtueel pakket geboden door libghc-hosc-dev
- libghc-hosc-dev-0.16-614e8
- virtueel pakket geboden door libghc-hosc-dev
- libghc-hosc-dev-0.16-e7a5a
- virtueel pakket geboden door libghc-hosc-dev
- libghc-hosc-dev-0.16-ea32c
- virtueel pakket geboden door libghc-hosc-dev
- libghc-hosc-doc (0.16-2)
- Haskell Open Sound Control; documentation
- libghc-hosc-prof (0.16-2+b2)
- Haskell Open Sound Control; profiling libraries
- libghc-hosc-prof-0.16-008ca
- virtueel pakket geboden door libghc-hosc-prof
- libghc-hosc-prof-0.16-614e8
- virtueel pakket geboden door libghc-hosc-prof
- libghc-hosc-prof-0.16-e7a5a
- virtueel pakket geboden door libghc-hosc-prof
- libghc-hosc-prof-0.16-ea32c
- virtueel pakket geboden door libghc-hosc-prof
- libghc-hostname-dev (1.0-14+b2)
- providing a cross-platform means of determining the hostname
- libghc-hostname-dev-1.0-304e6
- virtueel pakket geboden door libghc-hostname-dev
- libghc-hostname-dev-1.0-a3746
- virtueel pakket geboden door libghc-hostname-dev
- libghc-hostname-dev-1.0-a7466
- virtueel pakket geboden door libghc-hostname-dev
- libghc-hostname-dev-1.0-ccd54
- virtueel pakket geboden door libghc-hostname-dev
- libghc-hostname-doc (1.0-14)
- providing a cross-platform means of determining the hostname; documentation
- libghc-hostname-prof (1.0-14+b2)
- providing a cross-platform means of determining the hostname; profiling lib
- libghc-hostname-prof-1.0-304e6
- virtueel pakket geboden door libghc-hostname-prof
- libghc-hostname-prof-1.0-a3746
- virtueel pakket geboden door libghc-hostname-prof
- libghc-hostname-prof-1.0-a7466
- virtueel pakket geboden door libghc-hostname-prof
- libghc-hostname-prof-1.0-ccd54
- virtueel pakket geboden door libghc-hostname-prof
- libghc-hourglass-dev (0.2.12-2+b1)
- simple performant time-related library
- libghc-hourglass-dev-0.2.12-50abf
- virtueel pakket geboden door libghc-hourglass-dev
- libghc-hourglass-dev-0.2.12-72115
- virtueel pakket geboden door libghc-hourglass-dev
- libghc-hourglass-dev-0.2.12-af7cd
- virtueel pakket geboden door libghc-hourglass-dev
- libghc-hourglass-dev-0.2.12-b9599
- virtueel pakket geboden door libghc-hourglass-dev
- libghc-hourglass-doc (0.2.12-2)
- simple performant time-related library; documentation
- libghc-hourglass-prof (0.2.12-2+b1)
- simple performant time-related library; profiling libraries
- libghc-hourglass-prof-0.2.12-50abf
- virtueel pakket geboden door libghc-hourglass-prof
- libghc-hourglass-prof-0.2.12-72115
- virtueel pakket geboden door libghc-hourglass-prof
- libghc-hourglass-prof-0.2.12-af7cd
- virtueel pakket geboden door libghc-hourglass-prof
- libghc-hourglass-prof-0.2.12-b9599
- virtueel pakket geboden door libghc-hourglass-prof
- libghc-hpack-dev (0.28.2-2+b1)
- alternative format for Haskell packages
- libghc-hpack-dev-0.28.2-31408
- virtueel pakket geboden door libghc-hpack-dev
- libghc-hpack-dev-0.28.2-a06c9
- virtueel pakket geboden door libghc-hpack-dev
- libghc-hpack-dev-0.28.2-a7ef4
- virtueel pakket geboden door libghc-hpack-dev
- libghc-hpack-dev-0.28.2-a809e
- virtueel pakket geboden door libghc-hpack-dev
- libghc-hpack-doc (0.28.2-2)
- alternative format for Haskell packages; documentation
- libghc-hpack-prof (0.28.2-2+b1)
- alternative format for Haskell packages; profiling libraries
- libghc-hpack-prof-0.28.2-31408
- virtueel pakket geboden door libghc-hpack-prof
- libghc-hpack-prof-0.28.2-a06c9
- virtueel pakket geboden door libghc-hpack-prof
- libghc-hpack-prof-0.28.2-a7ef4
- virtueel pakket geboden door libghc-hpack-prof
- libghc-hpack-prof-0.28.2-a809e
- virtueel pakket geboden door libghc-hpack-prof
- libghc-hpc-dev (= 0.6.0.3)
- virtueel pakket geboden door ghc
- libghc-hpc-dev-0.6.0.3-7464d
- virtueel pakket geboden door ghc
- libghc-hpc-dev-0.6.0.3-88777
- virtueel pakket geboden door ghc
- libghc-hpc-dev-0.6.0.3-b0226
- virtueel pakket geboden door ghc
- libghc-hpc-dev-0.6.0.3-bc277
- virtueel pakket geboden door ghc
- libghc-hpc-doc (= 0.6.0.3)
- virtueel pakket geboden door ghc-doc
- libghc-hpc-prof (= 0.6.0.3)
- virtueel pakket geboden door ghc-prof
- libghc-hpc-prof-0.6.0.3-7464d
- virtueel pakket geboden door ghc-prof
- libghc-hpc-prof-0.6.0.3-88777
- virtueel pakket geboden door ghc-prof
- libghc-hpc-prof-0.6.0.3-b0226
- virtueel pakket geboden door ghc-prof
- libghc-hpc-prof-0.6.0.3-bc277
- virtueel pakket geboden door ghc-prof
- libghc-hs-bibutils-dev (6.6.0.0-2+b1)
- Haskell bindings to bibutils library
- libghc-hs-bibutils-dev-6.6.0.0-37a92
- virtueel pakket geboden door libghc-hs-bibutils-dev
- libghc-hs-bibutils-dev-6.6.0.0-c9c39
- virtueel pakket geboden door libghc-hs-bibutils-dev
- libghc-hs-bibutils-dev-6.6.0.0-dc58d
- virtueel pakket geboden door libghc-hs-bibutils-dev
- libghc-hs-bibutils-dev-6.6.0.0-edba4
- virtueel pakket geboden door libghc-hs-bibutils-dev
- libghc-hs-bibutils-doc (6.6.0.0-2)
- Haskell bindings to bibutils library; documentation
- libghc-hs-bibutils-prof (6.6.0.0-2+b1)
- Haskell bindings to bibutils library; profiling libraries
- libghc-hs-bibutils-prof-6.6.0.0-37a92
- virtueel pakket geboden door libghc-hs-bibutils-prof
- libghc-hs-bibutils-prof-6.6.0.0-c9c39
- virtueel pakket geboden door libghc-hs-bibutils-prof
- libghc-hs-bibutils-prof-6.6.0.0-dc58d
- virtueel pakket geboden door libghc-hs-bibutils-prof
- libghc-hs-bibutils-prof-6.6.0.0-edba4
- virtueel pakket geboden door libghc-hs-bibutils-prof
- libghc-hscolour-dev (1.24.4-2+b2)
- GHC libraries for colourising Haskell code
- libghc-hscolour-dev-1.24.4-1c100
- virtueel pakket geboden door libghc-hscolour-dev
- libghc-hscolour-dev-1.24.4-49dcb
- virtueel pakket geboden door libghc-hscolour-dev
- libghc-hscolour-dev-1.24.4-5f937
- virtueel pakket geboden door libghc-hscolour-dev
- libghc-hscolour-dev-1.24.4-a5c3c
- virtueel pakket geboden door libghc-hscolour-dev
- libghc-hscolour-doc (1.24.4-2)
- Documentation for libghc-hscolour-dev; documentation
- libghc-hscolour-prof (1.24.4-2+b2)
- Profiling libraries for colourising Haskell code; profiling libraries
- libghc-hscolour-prof-1.24.4-1c100
- virtueel pakket geboden door libghc-hscolour-prof
- libghc-hscolour-prof-1.24.4-49dcb
- virtueel pakket geboden door libghc-hscolour-prof
- libghc-hscolour-prof-1.24.4-5f937
- virtueel pakket geboden door libghc-hscolour-prof
- libghc-hscolour-prof-1.24.4-a5c3c
- virtueel pakket geboden door libghc-hscolour-prof
- libghc-hsemail-dev (2-5+b2)
- Haskell parser for emails and SMTP conversations
- libghc-hsemail-dev-2-56ee6
- virtueel pakket geboden door libghc-hsemail-dev
- libghc-hsemail-dev-2-57925
- virtueel pakket geboden door libghc-hsemail-dev
- libghc-hsemail-dev-2-931ac
- virtueel pakket geboden door libghc-hsemail-dev
- libghc-hsemail-dev-2-db73f
- virtueel pakket geboden door libghc-hsemail-dev
- libghc-hsemail-doc (2-5)
- Haskell parser for emails and SMTP conversations; documentation
- libghc-hsemail-prof (2-5+b2)
- Haskell parser for emails and SMTP conversations; profiling libraries
- libghc-hsemail-prof-2-56ee6
- virtueel pakket geboden door libghc-hsemail-prof
- libghc-hsemail-prof-2-57925
- virtueel pakket geboden door libghc-hsemail-prof
- libghc-hsemail-prof-2-931ac
- virtueel pakket geboden door libghc-hsemail-prof
- libghc-hsemail-prof-2-db73f
- virtueel pakket geboden door libghc-hsemail-prof
- libghc-hsh-dev (2.1.3-3+b2)
- Library to mix shell scripting with Haskell programs
- libghc-hsh-dev-2.1.3-35ce2
- virtueel pakket geboden door libghc-hsh-dev
- libghc-hsh-dev-2.1.3-4ec24
- virtueel pakket geboden door libghc-hsh-dev
- libghc-hsh-dev-2.1.3-83724
- virtueel pakket geboden door libghc-hsh-dev
- libghc-hsh-dev-2.1.3-900b1
- virtueel pakket geboden door libghc-hsh-dev
- libghc-hsh-doc (2.1.3-3)
- Library to mix shell scripting with Haskell programs; documentation
- libghc-hsh-prof (2.1.3-3+b2)
- Library to mix shell scripting with Haskell programs; profiling libraries
- libghc-hsh-prof-2.1.3-35ce2
- virtueel pakket geboden door libghc-hsh-prof
- libghc-hsh-prof-2.1.3-4ec24
- virtueel pakket geboden door libghc-hsh-prof
- libghc-hsh-prof-2.1.3-83724
- virtueel pakket geboden door libghc-hsh-prof
- libghc-hsh-prof-2.1.3-900b1
- virtueel pakket geboden door libghc-hsh-prof
- libghc-hsini-dev (0.5.1.2-5+b1)
- user configuration files (INI) parser
- libghc-hsini-dev-0.5.1.2-1545a
- virtueel pakket geboden door libghc-hsini-dev
- libghc-hsini-dev-0.5.1.2-7ba5b
- virtueel pakket geboden door libghc-hsini-dev
- libghc-hsini-dev-0.5.1.2-90db6
- virtueel pakket geboden door libghc-hsini-dev
- libghc-hsini-dev-0.5.1.2-bdba3
- virtueel pakket geboden door libghc-hsini-dev
- libghc-hsini-doc (0.5.1.2-5)
- user configuration files (INI) parser; documentation
- libghc-hsini-prof (0.5.1.2-5+b1)
- user configuration files (INI) parser; profiling libraries
- libghc-hsini-prof-0.5.1.2-1545a
- virtueel pakket geboden door libghc-hsini-prof
- libghc-hsini-prof-0.5.1.2-7ba5b
- virtueel pakket geboden door libghc-hsini-prof
- libghc-hsini-prof-0.5.1.2-90db6
- virtueel pakket geboden door libghc-hsini-prof
- libghc-hsini-prof-0.5.1.2-bdba3
- virtueel pakket geboden door libghc-hsini-prof
- libghc-hslogger-dev (1.2.10+dfsg-6+b2)
- logging framework for Haskell
- libghc-hslogger-dev-1.2.10-403fd
- virtueel pakket geboden door libghc-hslogger-dev
- libghc-hslogger-dev-1.2.10-97c1f
- virtueel pakket geboden door libghc-hslogger-dev
- libghc-hslogger-dev-1.2.10-aaad4
- virtueel pakket geboden door libghc-hslogger-dev
- libghc-hslogger-dev-1.2.10-f6242
- virtueel pakket geboden door libghc-hslogger-dev
- libghc-hslogger-doc (1.2.10+dfsg-6)
- logging framework for Haskell; documentation
- libghc-hslogger-prof (1.2.10+dfsg-6+b2)
- logging framework for Haskell; profiling libraries
- libghc-hslogger-prof-1.2.10-403fd
- virtueel pakket geboden door libghc-hslogger-prof
- libghc-hslogger-prof-1.2.10-97c1f
- virtueel pakket geboden door libghc-hslogger-prof
- libghc-hslogger-prof-1.2.10-aaad4
- virtueel pakket geboden door libghc-hslogger-prof
- libghc-hslogger-prof-1.2.10-f6242
- virtueel pakket geboden door libghc-hslogger-prof
- libghc-hslua-dev (0.9.5.2-3+b1)
- Lua language interpreter embedding in Haskell
- libghc-hslua-dev-0.9.5.2-05f72
- virtueel pakket geboden door libghc-hslua-dev
- libghc-hslua-dev-0.9.5.2-238e2
- virtueel pakket geboden door libghc-hslua-dev
- libghc-hslua-dev-0.9.5.2-6b14a
- virtueel pakket geboden door libghc-hslua-dev
- libghc-hslua-dev-0.9.5.2-c5771
- virtueel pakket geboden door libghc-hslua-dev
- libghc-hslua-doc (0.9.5.2-3)
- Lua language interpreter embedding in Haskell; documentation
- libghc-hslua-module-text-dev (0.1.2.1-4+b1)
- Lua module for text
- libghc-hslua-module-text-dev-0.1.2.1-0a6e3
- virtueel pakket geboden door libghc-hslua-module-text-dev
- libghc-hslua-module-text-dev-0.1.2.1-51f19
- virtueel pakket geboden door libghc-hslua-module-text-dev
- libghc-hslua-module-text-dev-0.1.2.1-b0a76
- virtueel pakket geboden door libghc-hslua-module-text-dev
- libghc-hslua-module-text-dev-0.1.2.1-b1cd6
- virtueel pakket geboden door libghc-hslua-module-text-dev
- libghc-hslua-module-text-doc (0.1.2.1-4)
- Lua module for text; documentation
- libghc-hslua-module-text-prof (0.1.2.1-4+b1)
- Lua module for text; profiling libraries
- libghc-hslua-module-text-prof-0.1.2.1-0a6e3
- virtueel pakket geboden door libghc-hslua-module-text-prof
- libghc-hslua-module-text-prof-0.1.2.1-51f19
- virtueel pakket geboden door libghc-hslua-module-text-prof
- libghc-hslua-module-text-prof-0.1.2.1-b0a76
- virtueel pakket geboden door libghc-hslua-module-text-prof
- libghc-hslua-module-text-prof-0.1.2.1-b1cd6
- virtueel pakket geboden door libghc-hslua-module-text-prof
- libghc-hslua-prof (0.9.5.2-3+b1)
- Lua language interpreter embedding in Haskell; profiling libraries
- libghc-hslua-prof-0.9.5.2-05f72
- virtueel pakket geboden door libghc-hslua-prof
- libghc-hslua-prof-0.9.5.2-238e2
- virtueel pakket geboden door libghc-hslua-prof
- libghc-hslua-prof-0.9.5.2-6b14a
- virtueel pakket geboden door libghc-hslua-prof
- libghc-hslua-prof-0.9.5.2-c5771
- virtueel pakket geboden door libghc-hslua-prof
- libghc-hsopenssl-dev (0.11.4.15-2+b2)
- partial OpenSSL binding for Haskell
- libghc-hsopenssl-dev-0.11.4.15-6df79
- virtueel pakket geboden door libghc-hsopenssl-dev
- libghc-hsopenssl-dev-0.11.4.15-82dbd
- virtueel pakket geboden door libghc-hsopenssl-dev
- libghc-hsopenssl-dev-0.11.4.15-b6719
- virtueel pakket geboden door libghc-hsopenssl-dev
- libghc-hsopenssl-dev-0.11.4.15-de887
- virtueel pakket geboden door libghc-hsopenssl-dev
- libghc-hsopenssl-doc (0.11.4.15-2)
- partial OpenSSL binding for Haskell; documentation
- libghc-hsopenssl-prof (0.11.4.15-2+b2)
- partial OpenSSL binding for Haskell; profiling libraries
- libghc-hsopenssl-prof-0.11.4.15-6df79
- virtueel pakket geboden door libghc-hsopenssl-prof
- libghc-hsopenssl-prof-0.11.4.15-82dbd
- virtueel pakket geboden door libghc-hsopenssl-prof
- libghc-hsopenssl-prof-0.11.4.15-b6719
- virtueel pakket geboden door libghc-hsopenssl-prof
- libghc-hsopenssl-prof-0.11.4.15-de887
- virtueel pakket geboden door libghc-hsopenssl-prof
- libghc-hsopenssl-x509-system-dev (0.1.0.3-4+b2)
- use system's native CA certificate store with HsOpenSSL
- libghc-hsopenssl-x509-system-dev-0.1.0.3-182bb
- virtueel pakket geboden door libghc-hsopenssl-x509-system-dev
- libghc-hsopenssl-x509-system-dev-0.1.0.3-7c186
- virtueel pakket geboden door libghc-hsopenssl-x509-system-dev
- libghc-hsopenssl-x509-system-dev-0.1.0.3-8dfe3
- virtueel pakket geboden door libghc-hsopenssl-x509-system-dev
- libghc-hsopenssl-x509-system-dev-0.1.0.3-cbbea
- virtueel pakket geboden door libghc-hsopenssl-x509-system-dev
- libghc-hsopenssl-x509-system-doc (0.1.0.3-4)
- use system's native CA certificate store with HsOpenSSL; documentation
- libghc-hsopenssl-x509-system-prof (0.1.0.3-4+b2)
- use system's native CA certificate store with HsOpenSSL; profiling libraries
- libghc-hsopenssl-x509-system-prof-0.1.0.3-182bb
- virtueel pakket geboden door libghc-hsopenssl-x509-system-prof
- libghc-hsopenssl-x509-system-prof-0.1.0.3-7c186
- virtueel pakket geboden door libghc-hsopenssl-x509-system-prof
- libghc-hsopenssl-x509-system-prof-0.1.0.3-8dfe3
- virtueel pakket geboden door libghc-hsopenssl-x509-system-prof
- libghc-hsopenssl-x509-system-prof-0.1.0.3-cbbea
- virtueel pakket geboden door libghc-hsopenssl-x509-system-prof
- libghc-hsp-dev (0.10.0-8+b2)
- Haskell library for dynamic server-side web pages
- libghc-hsp-dev-0.10.0-33093
- virtueel pakket geboden door libghc-hsp-dev
- libghc-hsp-dev-0.10.0-4fb71
- virtueel pakket geboden door libghc-hsp-dev
- libghc-hsp-dev-0.10.0-5426c
- virtueel pakket geboden door libghc-hsp-dev
- libghc-hsp-dev-0.10.0-cb3f2
- virtueel pakket geboden door libghc-hsp-dev
- libghc-hsp-doc (0.10.0-8)
- Haskell library for dynamic server-side web pages; documentation
- libghc-hsp-prof (0.10.0-8+b2)
- Haskell library for dynamic server-side web pages; profiling libraries
- libghc-hsp-prof-0.10.0-33093
- virtueel pakket geboden door libghc-hsp-prof
- libghc-hsp-prof-0.10.0-4fb71
- virtueel pakket geboden door libghc-hsp-prof
- libghc-hsp-prof-0.10.0-5426c
- virtueel pakket geboden door libghc-hsp-prof
- libghc-hsp-prof-0.10.0-cb3f2
- virtueel pakket geboden door libghc-hsp-prof
- libghc-hspec-attoparsec-dev (0.1.0.2-6+b1)
- utility functions for testing your attoparsec parsers with hspec
- libghc-hspec-attoparsec-dev-0.1.0.2-22b30
- virtueel pakket geboden door libghc-hspec-attoparsec-dev
- libghc-hspec-attoparsec-dev-0.1.0.2-9b328
- virtueel pakket geboden door libghc-hspec-attoparsec-dev
- libghc-hspec-attoparsec-dev-0.1.0.2-c1e00
- virtueel pakket geboden door libghc-hspec-attoparsec-dev
- libghc-hspec-attoparsec-dev-0.1.0.2-fbcfb
- virtueel pakket geboden door libghc-hspec-attoparsec-dev
- libghc-hspec-attoparsec-doc (0.1.0.2-6)
- utility functions for testing your attoparsec parsers with hspec; documentation
- libghc-hspec-attoparsec-prof (0.1.0.2-6+b1)
- utility functions for testing your attoparsec parsers with hspec; profiling libraries
- libghc-hspec-attoparsec-prof-0.1.0.2-22b30
- virtueel pakket geboden door libghc-hspec-attoparsec-prof
- libghc-hspec-attoparsec-prof-0.1.0.2-9b328
- virtueel pakket geboden door libghc-hspec-attoparsec-prof
- libghc-hspec-attoparsec-prof-0.1.0.2-c1e00
- virtueel pakket geboden door libghc-hspec-attoparsec-prof
- libghc-hspec-attoparsec-prof-0.1.0.2-fbcfb
- virtueel pakket geboden door libghc-hspec-attoparsec-prof
- libghc-hspec-contrib-dev (0.5.0-1+b2)
- contributed functionality for Hspec
- libghc-hspec-contrib-dev-0.5.0-2bda4
- virtueel pakket geboden door libghc-hspec-contrib-dev
- libghc-hspec-contrib-dev-0.5.0-6fc55
- virtueel pakket geboden door libghc-hspec-contrib-dev
- libghc-hspec-contrib-dev-0.5.0-70207
- virtueel pakket geboden door libghc-hspec-contrib-dev
- libghc-hspec-contrib-dev-0.5.0-99b99
- virtueel pakket geboden door libghc-hspec-contrib-dev
- libghc-hspec-contrib-doc (0.5.0-1)
- contributed functionality for Hspec; documentation
- libghc-hspec-contrib-prof (0.5.0-1+b2)
- contributed functionality for Hspec; profiling libraries
- libghc-hspec-contrib-prof-0.5.0-2bda4
- virtueel pakket geboden door libghc-hspec-contrib-prof
- libghc-hspec-contrib-prof-0.5.0-6fc55
- virtueel pakket geboden door libghc-hspec-contrib-prof
- libghc-hspec-contrib-prof-0.5.0-70207
- virtueel pakket geboden door libghc-hspec-contrib-prof
- libghc-hspec-contrib-prof-0.5.0-99b99
- virtueel pakket geboden door libghc-hspec-contrib-prof
- libghc-hspec-core-dev (2.5.5-2+b2)
- testing Framework for Haskell
- libghc-hspec-core-dev-2.5.5-810a4
- virtueel pakket geboden door libghc-hspec-core-dev
- libghc-hspec-core-dev-2.5.5-d78eb
- virtueel pakket geboden door libghc-hspec-core-dev
- libghc-hspec-core-dev-2.5.5-dccf1
- virtueel pakket geboden door libghc-hspec-core-dev
- libghc-hspec-core-dev-2.5.5-e4d2e
- virtueel pakket geboden door libghc-hspec-core-dev
- libghc-hspec-core-doc (2.5.5-2)
- testing Framework for Haskell; documentation
- libghc-hspec-core-prof (2.5.5-2+b2)
- testing Framework for Haskell; profiling libraries
- libghc-hspec-core-prof-2.5.5-810a4
- virtueel pakket geboden door libghc-hspec-core-prof
- libghc-hspec-core-prof-2.5.5-d78eb
- virtueel pakket geboden door libghc-hspec-core-prof
- libghc-hspec-core-prof-2.5.5-dccf1
- virtueel pakket geboden door libghc-hspec-core-prof
- libghc-hspec-core-prof-2.5.5-e4d2e
- virtueel pakket geboden door libghc-hspec-core-prof
- libghc-hspec-dev (2.5.5-2+b2)
- behavior-driven development for Haskell
- libghc-hspec-dev-2.5.5-6bbcd
- virtueel pakket geboden door libghc-hspec-dev
- libghc-hspec-dev-2.5.5-d36c0
- virtueel pakket geboden door libghc-hspec-dev
- libghc-hspec-dev-2.5.5-e1eb3
- virtueel pakket geboden door libghc-hspec-dev
- libghc-hspec-dev-2.5.5-f2858
- virtueel pakket geboden door libghc-hspec-dev
- libghc-hspec-doc (2.5.5-2)
- behavior-driven development for Haskell; documentation
- libghc-hspec-expectations-dev (0.8.2-3+b2)
- catchy combinators for HUnit
- libghc-hspec-expectations-dev-0.8.2-06286
- virtueel pakket geboden door libghc-hspec-expectations-dev
- libghc-hspec-expectations-dev-0.8.2-25b22
- virtueel pakket geboden door libghc-hspec-expectations-dev
- libghc-hspec-expectations-dev-0.8.2-936c0
- virtueel pakket geboden door libghc-hspec-expectations-dev
- libghc-hspec-expectations-doc (0.8.2-3)
- catchy combinators for HUnit; documentation
- libghc-hspec-expectations-prof (0.8.2-3+b2)
- catchy combinators for HUnit; profiling libraries
- libghc-hspec-expectations-prof-0.8.2-06286
- virtueel pakket geboden door libghc-hspec-expectations-prof
- libghc-hspec-expectations-prof-0.8.2-25b22
- virtueel pakket geboden door libghc-hspec-expectations-prof
- libghc-hspec-expectations-prof-0.8.2-936c0
- virtueel pakket geboden door libghc-hspec-expectations-prof
- libghc-hspec-prof (2.5.5-2+b2)
- behavior-driven development for Haskell; profiling libraries
- libghc-hspec-prof-2.5.5-6bbcd
- virtueel pakket geboden door libghc-hspec-prof
- libghc-hspec-prof-2.5.5-d36c0
- virtueel pakket geboden door libghc-hspec-prof
- libghc-hspec-prof-2.5.5-e1eb3
- virtueel pakket geboden door libghc-hspec-prof
- libghc-hspec-prof-2.5.5-f2858
- virtueel pakket geboden door libghc-hspec-prof
- libghc-hspec-smallcheck-dev (0.5.2-1+b2)
- SmallCheck support for the Hspec testing framework
- libghc-hspec-smallcheck-dev-0.5.2-02cf3
- virtueel pakket geboden door libghc-hspec-smallcheck-dev
- libghc-hspec-smallcheck-dev-0.5.2-3bfda
- virtueel pakket geboden door libghc-hspec-smallcheck-dev
- libghc-hspec-smallcheck-dev-0.5.2-5efe3
- virtueel pakket geboden door libghc-hspec-smallcheck-dev
- libghc-hspec-smallcheck-dev-0.5.2-d1636
- virtueel pakket geboden door libghc-hspec-smallcheck-dev
- libghc-hspec-smallcheck-doc (0.5.2-1)
- SmallCheck support for the Hspec testing framework; documentation
- libghc-hspec-smallcheck-prof (0.5.2-1+b2)
- SmallCheck support for the Hspec testing framework; profiling libraries
- libghc-hspec-smallcheck-prof-0.5.2-02cf3
- virtueel pakket geboden door libghc-hspec-smallcheck-prof
- libghc-hspec-smallcheck-prof-0.5.2-3bfda
- virtueel pakket geboden door libghc-hspec-smallcheck-prof
- libghc-hspec-smallcheck-prof-0.5.2-5efe3
- virtueel pakket geboden door libghc-hspec-smallcheck-prof
- libghc-hspec-smallcheck-prof-0.5.2-d1636
- virtueel pakket geboden door libghc-hspec-smallcheck-prof
- libghc-hspec-wai-dev (0.9.0-3+b1)
- hspec support for testing WAI applications
- libghc-hspec-wai-dev-0.9.0-1a36f
- virtueel pakket geboden door libghc-hspec-wai-dev
- libghc-hspec-wai-dev-0.9.0-2dbf0
- virtueel pakket geboden door libghc-hspec-wai-dev
- libghc-hspec-wai-dev-0.9.0-37633
- virtueel pakket geboden door libghc-hspec-wai-dev
- libghc-hspec-wai-dev-0.9.0-c79b6
- virtueel pakket geboden door libghc-hspec-wai-dev
- libghc-hspec-wai-doc (0.9.0-3)
- hspec support for testing WAI applications; documentation
- libghc-hspec-wai-prof (0.9.0-3+b1)
- hspec support for testing WAI applications; profiling libraries
- libghc-hspec-wai-prof-0.9.0-1a36f
- virtueel pakket geboden door libghc-hspec-wai-prof
- libghc-hspec-wai-prof-0.9.0-2dbf0
- virtueel pakket geboden door libghc-hspec-wai-prof
- libghc-hspec-wai-prof-0.9.0-37633
- virtueel pakket geboden door libghc-hspec-wai-prof
- libghc-hspec-wai-prof-0.9.0-c79b6
- virtueel pakket geboden door libghc-hspec-wai-prof
- libghc-hstatsd-dev (0.1-7+b2)
- Quick and dirty statsd interface
- libghc-hstatsd-dev-0.1-32d9f
- virtueel pakket geboden door libghc-hstatsd-dev
- libghc-hstatsd-dev-0.1-5046c
- virtueel pakket geboden door libghc-hstatsd-dev
- libghc-hstatsd-dev-0.1-5e958
- virtueel pakket geboden door libghc-hstatsd-dev
- libghc-hstatsd-dev-0.1-90bf2
- virtueel pakket geboden door libghc-hstatsd-dev
- libghc-hstatsd-doc (0.1-7)
- Quick and dirty statsd interface; documentation
- libghc-hstatsd-prof (0.1-7+b2)
- Quick and dirty statsd interface; profiling libraries
- libghc-hstatsd-prof-0.1-32d9f
- virtueel pakket geboden door libghc-hstatsd-prof
- libghc-hstatsd-prof-0.1-5046c
- virtueel pakket geboden door libghc-hstatsd-prof
- libghc-hstatsd-prof-0.1-5e958
- virtueel pakket geboden door libghc-hstatsd-prof
- libghc-hstatsd-prof-0.1-90bf2
- virtueel pakket geboden door libghc-hstatsd-prof
- libghc-hstringtemplate-dev (0.8.7-4+b2)
- Haskell port of the Java library StringTemplate
- libghc-hstringtemplate-dev-0.8.7-3deb8
- virtueel pakket geboden door libghc-hstringtemplate-dev
- libghc-hstringtemplate-dev-0.8.7-4c38b
- virtueel pakket geboden door libghc-hstringtemplate-dev
- libghc-hstringtemplate-dev-0.8.7-7be19
- virtueel pakket geboden door libghc-hstringtemplate-dev
- libghc-hstringtemplate-dev-0.8.7-e7222
- virtueel pakket geboden door libghc-hstringtemplate-dev
- libghc-hstringtemplate-doc (0.8.7-4)
- Haskell port of the Java library StringTemplate; documentation
- libghc-hstringtemplate-prof (0.8.7-4+b2)
- Haskell port of the Java library StringTemplate; profiling libraries
- libghc-hstringtemplate-prof-0.8.7-3deb8
- virtueel pakket geboden door libghc-hstringtemplate-prof
- libghc-hstringtemplate-prof-0.8.7-4c38b
- virtueel pakket geboden door libghc-hstringtemplate-prof
- libghc-hstringtemplate-prof-0.8.7-7be19
- virtueel pakket geboden door libghc-hstringtemplate-prof
- libghc-hstringtemplate-prof-0.8.7-e7222
- virtueel pakket geboden door libghc-hstringtemplate-prof
- libghc-hsx-jmacro-dev (7.3.8.1-3+b1)
- HSP/JMacro integration
- libghc-hsx-jmacro-dev-7.3.8.1-14c1d
- virtueel pakket geboden door libghc-hsx-jmacro-dev
- libghc-hsx-jmacro-dev-7.3.8.1-48d03
- virtueel pakket geboden door libghc-hsx-jmacro-dev
- libghc-hsx-jmacro-dev-7.3.8.1-5ca6b
- virtueel pakket geboden door libghc-hsx-jmacro-dev
- libghc-hsx-jmacro-dev-7.3.8.1-a0867
- virtueel pakket geboden door libghc-hsx-jmacro-dev
- libghc-hsx-jmacro-doc (7.3.8.1-3)
- HSP/JMacro integration; documentation
- libghc-hsx-jmacro-prof (7.3.8.1-3+b1)
- HSP/JMacro integration; profiling libraries
- libghc-hsx-jmacro-prof-7.3.8.1-14c1d
- virtueel pakket geboden door libghc-hsx-jmacro-prof
- libghc-hsx-jmacro-prof-7.3.8.1-48d03
- virtueel pakket geboden door libghc-hsx-jmacro-prof
- libghc-hsx-jmacro-prof-7.3.8.1-5ca6b
- virtueel pakket geboden door libghc-hsx-jmacro-prof
- libghc-hsx-jmacro-prof-7.3.8.1-a0867
- virtueel pakket geboden door libghc-hsx-jmacro-prof
- libghc-hsx2hs-dev (0.14.1.3-3+b3 [amd64, arm64], 0.14.1.3-3+b2 [armhf], 0.14.1.3-3+b1 [i386])
- literal XML syntax in Haskell source code
- libghc-hsx2hs-dev-0.14.1.3-09372
- virtueel pakket geboden door libghc-hsx2hs-dev
- libghc-hsx2hs-dev-0.14.1.3-253df
- virtueel pakket geboden door libghc-hsx2hs-dev
- libghc-hsx2hs-dev-0.14.1.3-fc2de
- virtueel pakket geboden door libghc-hsx2hs-dev
- libghc-hsx2hs-doc (0.14.1.3-3)
- literal XML syntax in Haskell source code; documentation
- libghc-hsx2hs-prof (0.14.1.3-3+b3 [amd64, arm64], 0.14.1.3-3+b2 [armhf], 0.14.1.3-3+b1 [i386])
- literal XML syntax in Haskell source code; profiling libraries
- libghc-hsx2hs-prof-0.14.1.3-09372
- virtueel pakket geboden door libghc-hsx2hs-prof
- libghc-hsx2hs-prof-0.14.1.3-253df
- virtueel pakket geboden door libghc-hsx2hs-prof
- libghc-hsx2hs-prof-0.14.1.3-fc2de
- virtueel pakket geboden door libghc-hsx2hs-prof
- libghc-hsyaml-dev (0.1.1.3-1)
- pure Haskell YAML 1.2 parser - libraries
- libghc-hsyaml-dev-0.1.1.3-33083
- virtueel pakket geboden door libghc-hsyaml-dev
- libghc-hsyaml-dev-0.1.1.3-5902e
- virtueel pakket geboden door libghc-hsyaml-dev
- libghc-hsyaml-dev-0.1.1.3-a23c9
- virtueel pakket geboden door libghc-hsyaml-dev
- libghc-hsyaml-dev-0.1.1.3-dd7d0
- virtueel pakket geboden door libghc-hsyaml-dev
- libghc-hsyaml-doc (0.1.1.3-1)
- pure Haskell YAML 1.2 parser - library documentation
- libghc-hsyaml-prof (0.1.1.3-1)
- pure Haskell YAML 1.2 parser - profiling libraries
- libghc-hsyaml-prof-0.1.1.3-33083
- virtueel pakket geboden door libghc-hsyaml-prof
- libghc-hsyaml-prof-0.1.1.3-5902e
- virtueel pakket geboden door libghc-hsyaml-prof
- libghc-hsyaml-prof-0.1.1.3-a23c9
- virtueel pakket geboden door libghc-hsyaml-prof
- libghc-hsyaml-prof-0.1.1.3-dd7d0
- virtueel pakket geboden door libghc-hsyaml-prof
- libghc-hsyslog-dev (5.0.1-3+b2)
- syslog bindings for Haskell
- libghc-hsyslog-dev-5.0.1-2da2e
- virtueel pakket geboden door libghc-hsyslog-dev
- libghc-hsyslog-dev-5.0.1-b5bf5
- virtueel pakket geboden door libghc-hsyslog-dev
- libghc-hsyslog-dev-5.0.1-d8089
- virtueel pakket geboden door libghc-hsyslog-dev
- libghc-hsyslog-dev-5.0.1-dffbf
- virtueel pakket geboden door libghc-hsyslog-dev
- libghc-hsyslog-doc (5.0.1-3)
- syslog bindings for Haskell; documentation
- libghc-hsyslog-prof (5.0.1-3+b2)
- syslog bindings for Haskell; profiling libraries
- libghc-hsyslog-prof-5.0.1-2da2e
- virtueel pakket geboden door libghc-hsyslog-prof
- libghc-hsyslog-prof-5.0.1-b5bf5
- virtueel pakket geboden door libghc-hsyslog-prof
- libghc-hsyslog-prof-5.0.1-d8089
- virtueel pakket geboden door libghc-hsyslog-prof
- libghc-hsyslog-prof-5.0.1-dffbf
- virtueel pakket geboden door libghc-hsyslog-prof
- libghc-html-conduit-dev (1.3.1-3+b1)
- parse HTML documents using xml-conduit datatypes
- libghc-html-conduit-dev-1.3.1-0e285
- virtueel pakket geboden door libghc-html-conduit-dev
- libghc-html-conduit-dev-1.3.1-367bd
- virtueel pakket geboden door libghc-html-conduit-dev
- libghc-html-conduit-dev-1.3.1-a24a4
- virtueel pakket geboden door libghc-html-conduit-dev
- libghc-html-conduit-dev-1.3.1-a4067
- virtueel pakket geboden door libghc-html-conduit-dev
- libghc-html-conduit-doc (1.3.1-3)
- parse HTML documents using xml-conduit datatypes; documentation
- libghc-html-conduit-prof (1.3.1-3+b1)
- parse HTML documents using xml-conduit datatypes; profiling libraries
- libghc-html-conduit-prof-1.3.1-0e285
- virtueel pakket geboden door libghc-html-conduit-prof
- libghc-html-conduit-prof-1.3.1-367bd
- virtueel pakket geboden door libghc-html-conduit-prof
- libghc-html-conduit-prof-1.3.1-a24a4
- virtueel pakket geboden door libghc-html-conduit-prof
- libghc-html-conduit-prof-1.3.1-a4067
- virtueel pakket geboden door libghc-html-conduit-prof
- libghc-html-dev (1.0.1.2-15+b2)
- Haskell html library for GHC
- libghc-html-dev-1.0.1.2-6bb15
- virtueel pakket geboden door libghc-html-dev
- libghc-html-dev-1.0.1.2-b1871
- virtueel pakket geboden door libghc-html-dev
- libghc-html-doc (1.0.1.2-15)
- Haskell html library for GHC; documentation
- libghc-html-prof (1.0.1.2-15+b2)
- Haskell html library for GHC; profiling libraries
- libghc-html-prof-1.0.1.2-6bb15
- virtueel pakket geboden door libghc-html-prof
- libghc-html-prof-1.0.1.2-b1871
- virtueel pakket geboden door libghc-html-prof
- libghc-http-api-data-dev (0.3.8.1-4+b1)
- converting to/from HTTP API data
- libghc-http-api-data-dev-0.3.8.1-62d87
- virtueel pakket geboden door libghc-http-api-data-dev
- libghc-http-api-data-dev-0.3.8.1-660bd
- virtueel pakket geboden door libghc-http-api-data-dev
- libghc-http-api-data-dev-0.3.8.1-91d75
- virtueel pakket geboden door libghc-http-api-data-dev
- libghc-http-api-data-dev-0.3.8.1-c69f7
- virtueel pakket geboden door libghc-http-api-data-dev
- libghc-http-api-data-doc (0.3.8.1-4)
- converting to/from HTTP API data; documentation
- libghc-http-api-data-prof (0.3.8.1-4+b1)
- converting to/from HTTP API data; profiling libraries
- libghc-http-api-data-prof-0.3.8.1-62d87
- virtueel pakket geboden door libghc-http-api-data-prof
- libghc-http-api-data-prof-0.3.8.1-660bd
- virtueel pakket geboden door libghc-http-api-data-prof
- libghc-http-api-data-prof-0.3.8.1-91d75
- virtueel pakket geboden door libghc-http-api-data-prof
- libghc-http-api-data-prof-0.3.8.1-c69f7
- virtueel pakket geboden door libghc-http-api-data-prof
- libghc-http-client-dev (0.5.13.1-2+b1)
- HTTP client engine
- libghc-http-client-dev-0.5.13.1-ae2e4
- virtueel pakket geboden door libghc-http-client-dev
- libghc-http-client-dev-0.5.13.1-b22fc
- virtueel pakket geboden door libghc-http-client-dev
- libghc-http-client-dev-0.5.13.1-d1200
- virtueel pakket geboden door libghc-http-client-dev
- libghc-http-client-dev-0.5.13.1-eafe4
- virtueel pakket geboden door libghc-http-client-dev
- libghc-http-client-doc (0.5.13.1-2)
- HTTP client engine; documentation
- libghc-http-client-prof (0.5.13.1-2+b1)
- HTTP client engine; profiling libraries
- libghc-http-client-prof-0.5.13.1-ae2e4
- virtueel pakket geboden door libghc-http-client-prof
- libghc-http-client-prof-0.5.13.1-b22fc
- virtueel pakket geboden door libghc-http-client-prof
- libghc-http-client-prof-0.5.13.1-d1200
- virtueel pakket geboden door libghc-http-client-prof
- libghc-http-client-prof-0.5.13.1-eafe4
- virtueel pakket geboden door libghc-http-client-prof
- libghc-http-client-tls-dev (0.3.5.3-3+b1)
- http-client backend using connection and tls libraries
- libghc-http-client-tls-dev-0.3.5.3-26b4f
- virtueel pakket geboden door libghc-http-client-tls-dev
- libghc-http-client-tls-dev-0.3.5.3-8e69d
- virtueel pakket geboden door libghc-http-client-tls-dev
- libghc-http-client-tls-dev-0.3.5.3-d544f
- virtueel pakket geboden door libghc-http-client-tls-dev
- libghc-http-client-tls-dev-0.3.5.3-df840
- virtueel pakket geboden door libghc-http-client-tls-dev
- libghc-http-client-tls-doc (0.3.5.3-3)
- http-client backend using connection and tls libraries; documentation
- libghc-http-client-tls-prof (0.3.5.3-3+b1)
- http-client backend using connection and tls libraries; profiling libraries
- libghc-http-client-tls-prof-0.3.5.3-26b4f
- virtueel pakket geboden door libghc-http-client-tls-prof
- libghc-http-client-tls-prof-0.3.5.3-8e69d
- virtueel pakket geboden door libghc-http-client-tls-prof
- libghc-http-client-tls-prof-0.3.5.3-d544f
- virtueel pakket geboden door libghc-http-client-tls-prof
- libghc-http-client-tls-prof-0.3.5.3-df840
- virtueel pakket geboden door libghc-http-client-tls-prof
- libghc-http-common-dev (0.8.2.0-7+b2)
- common types for HTTP clients and servers
- libghc-http-common-dev-0.8.2.0-8f825
- virtueel pakket geboden door libghc-http-common-dev
- libghc-http-common-dev-0.8.2.0-d2927
- virtueel pakket geboden door libghc-http-common-dev
- libghc-http-common-dev-0.8.2.0-ef792
- virtueel pakket geboden door libghc-http-common-dev
- libghc-http-common-dev-0.8.2.0-f0bb1
- virtueel pakket geboden door libghc-http-common-dev
- libghc-http-common-doc (0.8.2.0-7)
- common types for HTTP clients and servers; documentation
- libghc-http-common-prof (0.8.2.0-7+b2)
- common types for HTTP clients and servers; profiling libraries
- libghc-http-common-prof-0.8.2.0-8f825
- virtueel pakket geboden door libghc-http-common-prof
- libghc-http-common-prof-0.8.2.0-d2927
- virtueel pakket geboden door libghc-http-common-prof
- libghc-http-common-prof-0.8.2.0-ef792
- virtueel pakket geboden door libghc-http-common-prof
- libghc-http-common-prof-0.8.2.0-f0bb1
- virtueel pakket geboden door libghc-http-common-prof
- libghc-http-conduit-dev (2.3.2-2+b1)
- HTTP client package with conduit interface and HTTPS support
- libghc-http-conduit-dev-2.3.2-4fb18
- virtueel pakket geboden door libghc-http-conduit-dev
- libghc-http-conduit-dev-2.3.2-9f93c
- virtueel pakket geboden door libghc-http-conduit-dev
- libghc-http-conduit-dev-2.3.2-b35ed
- virtueel pakket geboden door libghc-http-conduit-dev
- libghc-http-conduit-dev-2.3.2-e174e
- virtueel pakket geboden door libghc-http-conduit-dev
- libghc-http-conduit-doc (2.3.2-2)
- HTTP client package with conduit interface and HTTPS support; documentation
- libghc-http-conduit-prof (2.3.2-2+b1)
- HTTP/HTTPS client package with conduit interface, profiling libraries; profiling libraries
- libghc-http-conduit-prof-2.3.2-4fb18
- virtueel pakket geboden door libghc-http-conduit-prof
- libghc-http-conduit-prof-2.3.2-9f93c
- virtueel pakket geboden door libghc-http-conduit-prof
- libghc-http-conduit-prof-2.3.2-b35ed
- virtueel pakket geboden door libghc-http-conduit-prof
- libghc-http-conduit-prof-2.3.2-e174e
- virtueel pakket geboden door libghc-http-conduit-prof
- libghc-http-date-dev (0.0.8-1+b1)
- HTTP Date parser/formatter
- libghc-http-date-dev-0.0.8-12b50
- virtueel pakket geboden door libghc-http-date-dev
- libghc-http-date-dev-0.0.8-4348c
- virtueel pakket geboden door libghc-http-date-dev
- libghc-http-date-dev-0.0.8-48779
- virtueel pakket geboden door libghc-http-date-dev
- libghc-http-date-dev-0.0.8-51af9
- virtueel pakket geboden door libghc-http-date-dev
- libghc-http-date-doc (0.0.8-1)
- HTTP Date parser/formatter; documentation
- libghc-http-date-prof (0.0.8-1+b1)
- HTTP Date parser/formatter; profiling libraries
- libghc-http-date-prof-0.0.8-12b50
- virtueel pakket geboden door libghc-http-date-prof
- libghc-http-date-prof-0.0.8-4348c
- virtueel pakket geboden door libghc-http-date-prof
- libghc-http-date-prof-0.0.8-48779
- virtueel pakket geboden door libghc-http-date-prof
- libghc-http-date-prof-0.0.8-51af9
- virtueel pakket geboden door libghc-http-date-prof
- libghc-http-dev (1:4000.3.12-4+b2)
- GHC libraries for the Haskell HTTP client library
- libghc-http-dev-4000.3.12-01241
- virtueel pakket geboden door libghc-http-dev
- libghc-http-dev-4000.3.12-48782
- virtueel pakket geboden door libghc-http-dev
- libghc-http-dev-4000.3.12-eb838
- virtueel pakket geboden door libghc-http-dev
- libghc-http-dev-4000.3.12-fbe29
- virtueel pakket geboden door libghc-http-dev
- libghc-http-doc (1:4000.3.12-4)
- GHC libraries for the Haskell HTTP client library; documentation
- (1.0.3.1-2+b1)
- parser/writer for RFC 5988's HTTP Link header
- virtueel pakket geboden door libghc-http-link-header-dev
- virtueel pakket geboden door libghc-http-link-header-dev
- virtueel pakket geboden door libghc-http-link-header-dev
- virtueel pakket geboden door libghc-http-link-header-dev
- (1.0.3.1-2)
- parser/writer for RFC 5988's HTTP Link header; documentation
- (1.0.3.1-2+b1)
- parser/writer for RFC 5988's HTTP Link header; profiling libraries
- virtueel pakket geboden door libghc-http-link-header-prof
- virtueel pakket geboden door libghc-http-link-header-prof
- virtueel pakket geboden door libghc-http-link-header-prof
- virtueel pakket geboden door libghc-http-link-header-prof
- libghc-http-media-dev (0.7.1.2-3+b2)
- processing HTTP Content-Type and Accept headers
- libghc-http-media-dev-0.7.1.2-372b7
- virtueel pakket geboden door libghc-http-media-dev
- libghc-http-media-dev-0.7.1.2-41852
- virtueel pakket geboden door libghc-http-media-dev
- libghc-http-media-dev-0.7.1.2-af152
- virtueel pakket geboden door libghc-http-media-dev
- libghc-http-media-dev-0.7.1.2-ea2cd
- virtueel pakket geboden door libghc-http-media-dev
- libghc-http-media-doc (0.7.1.2-3)
- processing HTTP Content-Type and Accept headers; documentation
- libghc-http-media-prof (0.7.1.2-3+b2)
- processing HTTP Content-Type and Accept headers; profiling libraries
- libghc-http-media-prof-0.7.1.2-372b7
- virtueel pakket geboden door libghc-http-media-prof
- libghc-http-media-prof-0.7.1.2-41852
- virtueel pakket geboden door libghc-http-media-prof
- libghc-http-media-prof-0.7.1.2-af152
- virtueel pakket geboden door libghc-http-media-prof
- libghc-http-media-prof-0.7.1.2-ea2cd
- virtueel pakket geboden door libghc-http-media-prof
- libghc-http-prof (1:4000.3.12-4+b2)
- GHC libraries for the Haskell HTTP client library; profiling libraries
- libghc-http-prof-4000.3.12-01241
- virtueel pakket geboden door libghc-http-prof
- libghc-http-prof-4000.3.12-48782
- virtueel pakket geboden door libghc-http-prof
- libghc-http-prof-4000.3.12-eb838
- virtueel pakket geboden door libghc-http-prof
- libghc-http-prof-4000.3.12-fbe29
- virtueel pakket geboden door libghc-http-prof
- libghc-http-reverse-proxy-dev (0.6.0-2+b1)
- reverse-proxy HTTP requests, either over raw sockets or with WAI
- libghc-http-reverse-proxy-dev-0.6.0-5fb87
- virtueel pakket geboden door libghc-http-reverse-proxy-dev
- libghc-http-reverse-proxy-dev-0.6.0-8c5d1
- virtueel pakket geboden door libghc-http-reverse-proxy-dev
- libghc-http-reverse-proxy-dev-0.6.0-e5ec0
- virtueel pakket geboden door libghc-http-reverse-proxy-dev
- libghc-http-reverse-proxy-dev-0.6.0-f5a21
- virtueel pakket geboden door libghc-http-reverse-proxy-dev
- libghc-http-reverse-proxy-doc (0.6.0-2)
- reverse-proxy HTTP requests, over raw sockets or with WAI; documentation
- libghc-http-reverse-proxy-prof (0.6.0-2+b1)
- reverse-proxy HTTP requests, over raw sockets or with WAI; profiling libraries
- libghc-http-reverse-proxy-prof-0.6.0-5fb87
- virtueel pakket geboden door libghc-http-reverse-proxy-prof
- libghc-http-reverse-proxy-prof-0.6.0-8c5d1
- virtueel pakket geboden door libghc-http-reverse-proxy-prof
- libghc-http-reverse-proxy-prof-0.6.0-e5ec0
- virtueel pakket geboden door libghc-http-reverse-proxy-prof
- libghc-http-reverse-proxy-prof-0.6.0-f5a21
- virtueel pakket geboden door libghc-http-reverse-proxy-prof
- libghc-http-streams-dev (0.8.6.1-3+b1)
- An HTTP client using io-streams
- libghc-http-streams-dev-0.8.6.1-31902
- virtueel pakket geboden door libghc-http-streams-dev
- libghc-http-streams-dev-0.8.6.1-a84bc
- virtueel pakket geboden door libghc-http-streams-dev
- libghc-http-streams-dev-0.8.6.1-bf77d
- virtueel pakket geboden door libghc-http-streams-dev
- libghc-http-streams-dev-0.8.6.1-e4c60
- virtueel pakket geboden door libghc-http-streams-dev
- libghc-http-streams-doc (0.8.6.1-3)
- An HTTP client using io-streams; documentation
- libghc-http-streams-prof (0.8.6.1-3+b1)
- An HTTP client using io-streams; profiling libraries
- libghc-http-streams-prof-0.8.6.1-31902
- virtueel pakket geboden door libghc-http-streams-prof
- libghc-http-streams-prof-0.8.6.1-a84bc
- virtueel pakket geboden door libghc-http-streams-prof
- libghc-http-streams-prof-0.8.6.1-bf77d
- virtueel pakket geboden door libghc-http-streams-prof
- libghc-http-streams-prof-0.8.6.1-e4c60
- virtueel pakket geboden door libghc-http-streams-prof
- libghc-http-types-dev (0.12.1-3+b1)
- Generic HTTP types (for both client and server code)
- libghc-http-types-dev-0.12.1-5814e
- virtueel pakket geboden door libghc-http-types-dev
- libghc-http-types-dev-0.12.1-7ccaf
- virtueel pakket geboden door libghc-http-types-dev
- libghc-http-types-dev-0.12.1-7f285
- virtueel pakket geboden door libghc-http-types-dev
- libghc-http-types-dev-0.12.1-ae2e7
- virtueel pakket geboden door libghc-http-types-dev
- libghc-http-types-doc (0.12.1-3)
- Generic HTTP types (for both client and server code); documentation
- libghc-http-types-prof (0.12.1-3+b1)
- Generic HTTP types (for both client and server code); profiling libraries
- libghc-http-types-prof-0.12.1-5814e
- virtueel pakket geboden door libghc-http-types-prof
- libghc-http-types-prof-0.12.1-7ccaf
- virtueel pakket geboden door libghc-http-types-prof
- libghc-http-types-prof-0.12.1-7f285
- virtueel pakket geboden door libghc-http-types-prof
- libghc-http-types-prof-0.12.1-ae2e7
- virtueel pakket geboden door libghc-http-types-prof
- libghc-http2-dev (1.6.3-4+b1)
- HTTP/2.0 library including frames and HPACK
- libghc-http2-dev-1.6.3-03b35
- virtueel pakket geboden door libghc-http2-dev
- libghc-http2-dev-1.6.3-4d740
- virtueel pakket geboden door libghc-http2-dev
- libghc-http2-dev-1.6.3-4e05e
- virtueel pakket geboden door libghc-http2-dev
- libghc-http2-dev-1.6.3-b3eab
- virtueel pakket geboden door libghc-http2-dev
- libghc-http2-doc (1.6.3-4)
- HTTP/2.0 library including frames and HPACK; documentation
- libghc-http2-prof (1.6.3-4+b1)
- HTTP/2.0 library including frames and HPACK; profiling libraries
- libghc-http2-prof-1.6.3-03b35
- virtueel pakket geboden door libghc-http2-prof
- libghc-http2-prof-1.6.3-4d740
- virtueel pakket geboden door libghc-http2-prof
- libghc-http2-prof-1.6.3-4e05e
- virtueel pakket geboden door libghc-http2-prof
- libghc-http2-prof-1.6.3-b3eab
- virtueel pakket geboden door libghc-http2-prof
- libghc-hunit-dev (1.6.0.0-2+b2)
- Haskell unit testing framework for GHC
- libghc-hunit-dev-1.6.0.0-41219
- virtueel pakket geboden door libghc-hunit-dev
- libghc-hunit-dev-1.6.0.0-5cce2
- virtueel pakket geboden door libghc-hunit-dev
- libghc-hunit-dev-1.6.0.0-e0f61
- virtueel pakket geboden door libghc-hunit-dev
- libghc-hunit-doc (1.6.0.0-2)
- Haskell unit testing framework for GHC; documentation
- libghc-hunit-prof (1.6.0.0-2+b2)
- Haskell unit testing framework for GHC; profiling libraries
- libghc-hunit-prof-1.6.0.0-41219
- virtueel pakket geboden door libghc-hunit-prof
- libghc-hunit-prof-1.6.0.0-5cce2
- virtueel pakket geboden door libghc-hunit-prof
- libghc-hunit-prof-1.6.0.0-e0f61
- virtueel pakket geboden door libghc-hunit-prof
- libghc-hxt-charproperties-dev (9.2.0.1-8+b2)
- Character properties and classes for XML and Unicode
- libghc-hxt-charproperties-dev-9.2.0.1-7e124
- virtueel pakket geboden door libghc-hxt-charproperties-dev
- libghc-hxt-charproperties-dev-9.2.0.1-99ed6
- virtueel pakket geboden door libghc-hxt-charproperties-dev
- libghc-hxt-charproperties-doc (9.2.0.1-8)
- Character properties and classes for XML and Unicode; documentation
- libghc-hxt-charproperties-prof (9.2.0.1-8+b2)
- Character properties and classes for XML and Unicode; profiling libraries
- libghc-hxt-charproperties-prof-9.2.0.1-7e124
- virtueel pakket geboden door libghc-hxt-charproperties-prof
- libghc-hxt-charproperties-prof-9.2.0.1-99ed6
- virtueel pakket geboden door libghc-hxt-charproperties-prof
- libghc-hxt-curl-dev (9.1.1.1-9+b2)
- LibCurl interface for HXT
- libghc-hxt-curl-dev-9.1.1.1-0c818
- virtueel pakket geboden door libghc-hxt-curl-dev
- libghc-hxt-curl-dev-9.1.1.1-d07da
- virtueel pakket geboden door libghc-hxt-curl-dev
- libghc-hxt-curl-dev-9.1.1.1-da44e
- virtueel pakket geboden door libghc-hxt-curl-dev
- libghc-hxt-curl-dev-9.1.1.1-dc643
- virtueel pakket geboden door libghc-hxt-curl-dev
- libghc-hxt-curl-doc (9.1.1.1-9)
- LibCurl interface for HXT; documentation
- libghc-hxt-curl-prof (9.1.1.1-9+b2)
- LibCurl interface for HXT; profiling libraries
- libghc-hxt-curl-prof-9.1.1.1-0c818
- virtueel pakket geboden door libghc-hxt-curl-prof
- libghc-hxt-curl-prof-9.1.1.1-d07da
- virtueel pakket geboden door libghc-hxt-curl-prof
- libghc-hxt-curl-prof-9.1.1.1-da44e
- virtueel pakket geboden door libghc-hxt-curl-prof
- libghc-hxt-curl-prof-9.1.1.1-dc643
- virtueel pakket geboden door libghc-hxt-curl-prof
- libghc-hxt-dev (9.3.1.16-6+b2)
- collection of tools for processing XML with Haskell
- libghc-hxt-dev-9.3.1.16-5fbf6
- virtueel pakket geboden door libghc-hxt-dev
- libghc-hxt-dev-9.3.1.16-ac945
- virtueel pakket geboden door libghc-hxt-dev
- libghc-hxt-dev-9.3.1.16-ae45e
- virtueel pakket geboden door libghc-hxt-dev
- libghc-hxt-dev-9.3.1.16-dfa16
- virtueel pakket geboden door libghc-hxt-dev
- libghc-hxt-doc (9.3.1.16-6)
- collection of tools for processing XML with Haskell; documentation
- libghc-hxt-http-dev (9.1.5.2-10+b2)
- Interface to native Haskell HTTP package HTTP
- libghc-hxt-http-dev-9.1.5.2-12c61
- virtueel pakket geboden door libghc-hxt-http-dev
- libghc-hxt-http-dev-9.1.5.2-3a152
- virtueel pakket geboden door libghc-hxt-http-dev
- libghc-hxt-http-dev-9.1.5.2-7ea0f
- virtueel pakket geboden door libghc-hxt-http-dev
- libghc-hxt-http-dev-9.1.5.2-8a52f
- virtueel pakket geboden door libghc-hxt-http-dev
- libghc-hxt-http-doc (9.1.5.2-10)
- Interface to native Haskell HTTP package HTTP; documentation
- libghc-hxt-http-prof (9.1.5.2-10+b2)
- Interface to native Haskell HTTP package HTTP; profiling libraries
- libghc-hxt-http-prof-9.1.5.2-12c61
- virtueel pakket geboden door libghc-hxt-http-prof
- libghc-hxt-http-prof-9.1.5.2-3a152
- virtueel pakket geboden door libghc-hxt-http-prof
- libghc-hxt-http-prof-9.1.5.2-7ea0f
- virtueel pakket geboden door libghc-hxt-http-prof
- libghc-hxt-http-prof-9.1.5.2-8a52f
- virtueel pakket geboden door libghc-hxt-http-prof
- libghc-hxt-prof (9.3.1.16-6+b2)
- collection of tools for processing XML with Haskell; profiling libraries
- libghc-hxt-prof-9.3.1.16-5fbf6
- virtueel pakket geboden door libghc-hxt-prof
- libghc-hxt-prof-9.3.1.16-ac945
- virtueel pakket geboden door libghc-hxt-prof
- libghc-hxt-prof-9.3.1.16-ae45e
- virtueel pakket geboden door libghc-hxt-prof
- libghc-hxt-prof-9.3.1.16-dfa16
- virtueel pakket geboden door libghc-hxt-prof
- libghc-hxt-regex-xmlschema-dev (9.2.0.3-6+b2)
- W3C XML Schema regular expressions library
- libghc-hxt-regex-xmlschema-dev-9.2.0.3-1dc58
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-dev
- libghc-hxt-regex-xmlschema-dev-9.2.0.3-5c0ae
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-dev
- libghc-hxt-regex-xmlschema-dev-9.2.0.3-71eed
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-dev
- libghc-hxt-regex-xmlschema-dev-9.2.0.3-cf2b4
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-dev
- libghc-hxt-regex-xmlschema-doc (9.2.0.3-6)
- W3C XML Schema regular expressions library; documentation
- libghc-hxt-regex-xmlschema-prof (9.2.0.3-6+b2)
- W3C XML Schema regular expressions library; profiling libraries
- libghc-hxt-regex-xmlschema-prof-9.2.0.3-1dc58
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-prof
- libghc-hxt-regex-xmlschema-prof-9.2.0.3-5c0ae
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-prof
- libghc-hxt-regex-xmlschema-prof-9.2.0.3-71eed
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-prof
- libghc-hxt-regex-xmlschema-prof-9.2.0.3-cf2b4
- virtueel pakket geboden door libghc-hxt-regex-xmlschema-prof
- libghc-hxt-relaxng-dev (9.1.5.5-11+b2)
- HXT RelaxNG validator
- libghc-hxt-relaxng-dev-9.1.5.5-7f4d0
- virtueel pakket geboden door libghc-hxt-relaxng-dev
- libghc-hxt-relaxng-dev-9.1.5.5-9d41c
- virtueel pakket geboden door libghc-hxt-relaxng-dev
- libghc-hxt-relaxng-dev-9.1.5.5-c7c01
- virtueel pakket geboden door libghc-hxt-relaxng-dev
- libghc-hxt-relaxng-dev-9.1.5.5-da5b4
- virtueel pakket geboden door libghc-hxt-relaxng-dev
- libghc-hxt-relaxng-doc (9.1.5.5-11)
- HXT RelaxNG validator; documentation
- libghc-hxt-relaxng-prof (9.1.5.5-11+b2)
- HXT RelaxNG validator; profiling libraries
- libghc-hxt-relaxng-prof-9.1.5.5-7f4d0
- virtueel pakket geboden door libghc-hxt-relaxng-prof
- libghc-hxt-relaxng-prof-9.1.5.5-9d41c
- virtueel pakket geboden door libghc-hxt-relaxng-prof
- libghc-hxt-relaxng-prof-9.1.5.5-c7c01
- virtueel pakket geboden door libghc-hxt-relaxng-prof
- libghc-hxt-relaxng-prof-9.1.5.5-da5b4
- virtueel pakket geboden door libghc-hxt-relaxng-prof
- libghc-hxt-tagsoup-dev (9.1.4-5+b2)
- TagSoup parser for HXT
- libghc-hxt-tagsoup-dev-9.1.4-1c3c2
- virtueel pakket geboden door libghc-hxt-tagsoup-dev
- libghc-hxt-tagsoup-dev-9.1.4-63faf
- virtueel pakket geboden door libghc-hxt-tagsoup-dev
- libghc-hxt-tagsoup-dev-9.1.4-7bec6
- virtueel pakket geboden door libghc-hxt-tagsoup-dev
- libghc-hxt-tagsoup-dev-9.1.4-b5bf3
- virtueel pakket geboden door libghc-hxt-tagsoup-dev
- libghc-hxt-tagsoup-doc (9.1.4-5)
- TagSoup parser for HXT; documentation
- libghc-hxt-tagsoup-prof (9.1.4-5+b2)
- TagSoup parser for HXT; profiling libraries
- libghc-hxt-tagsoup-prof-9.1.4-1c3c2
- virtueel pakket geboden door libghc-hxt-tagsoup-prof
- libghc-hxt-tagsoup-prof-9.1.4-63faf
- virtueel pakket geboden door libghc-hxt-tagsoup-prof
- libghc-hxt-tagsoup-prof-9.1.4-7bec6
- virtueel pakket geboden door libghc-hxt-tagsoup-prof
- libghc-hxt-tagsoup-prof-9.1.4-b5bf3
- virtueel pakket geboden door libghc-hxt-tagsoup-prof
- libghc-hxt-unicode-dev (9.0.2.4-8+b2)
- Unicode en-/decoding functions
- libghc-hxt-unicode-dev-9.0.2.4-23e3f
- virtueel pakket geboden door libghc-hxt-unicode-dev
- libghc-hxt-unicode-dev-9.0.2.4-30304
- virtueel pakket geboden door libghc-hxt-unicode-dev
- libghc-hxt-unicode-doc (9.0.2.4-8)
- Unicode en-/decoding functions; documentation
- libghc-hxt-unicode-prof (9.0.2.4-8+b2)
- Unicode en-/decoding functions; profiling libraries
- libghc-hxt-unicode-prof-9.0.2.4-23e3f
- virtueel pakket geboden door libghc-hxt-unicode-prof
- libghc-hxt-unicode-prof-9.0.2.4-30304
- virtueel pakket geboden door libghc-hxt-unicode-prof
- libghc-icalendar-dev (0.4.0.4-4+b2)
- iCalendar data types, parser, and printer
- libghc-icalendar-dev-0.4.0.4-0424a
- virtueel pakket geboden door libghc-icalendar-dev
- libghc-icalendar-dev-0.4.0.4-238b8
- virtueel pakket geboden door libghc-icalendar-dev
- libghc-icalendar-dev-0.4.0.4-49f88
- virtueel pakket geboden door libghc-icalendar-dev
- libghc-icalendar-dev-0.4.0.4-b35ef
- virtueel pakket geboden door libghc-icalendar-dev
- libghc-icalendar-doc (0.4.0.4-4)
- iCalendar data types, parser, and printer; documentation
- libghc-icalendar-prof (0.4.0.4-4+b2)
- iCalendar data types, parser, and printer; profiling libraries
- libghc-icalendar-prof-0.4.0.4-0424a
- virtueel pakket geboden door libghc-icalendar-prof
- libghc-icalendar-prof-0.4.0.4-238b8
- virtueel pakket geboden door libghc-icalendar-prof
- libghc-icalendar-prof-0.4.0.4-49f88
- virtueel pakket geboden door libghc-icalendar-prof
- libghc-icalendar-prof-0.4.0.4-b35ef
- virtueel pakket geboden door libghc-icalendar-prof
- libghc-iconv-dev (0.4.1.3-7+b2)
- string-encoding conversion
- libghc-iconv-dev-0.4.1.3-2069f
- virtueel pakket geboden door libghc-iconv-dev
- libghc-iconv-dev-0.4.1.3-b8c55
- virtueel pakket geboden door libghc-iconv-dev
- libghc-iconv-dev-0.4.1.3-c5358
- virtueel pakket geboden door libghc-iconv-dev
- libghc-iconv-dev-0.4.1.3-f7d67
- virtueel pakket geboden door libghc-iconv-dev
- libghc-iconv-doc (0.4.1.3-7)
- string-encoding conversion; documentation
- libghc-iconv-prof (0.4.1.3-7+b2)
- string-encoding conversion; profiling libraries
- libghc-iconv-prof-0.4.1.3-2069f
- virtueel pakket geboden door libghc-iconv-prof
- libghc-iconv-prof-0.4.1.3-b8c55
- virtueel pakket geboden door libghc-iconv-prof
- libghc-iconv-prof-0.4.1.3-c5358
- virtueel pakket geboden door libghc-iconv-prof
- libghc-iconv-prof-0.4.1.3-f7d67
- virtueel pakket geboden door libghc-iconv-prof
- libghc-idna-dev (0.3.0-10+b3)
- Implements IDNA (RFC 3490)
- libghc-idna-dev-0.3.0-3968f
- virtueel pakket geboden door libghc-idna-dev
- libghc-idna-dev-0.3.0-710a9
- virtueel pakket geboden door libghc-idna-dev
- libghc-idna-dev-0.3.0-d4710
- virtueel pakket geboden door libghc-idna-dev
- libghc-idna-dev-0.3.0-fc11a
- virtueel pakket geboden door libghc-idna-dev
- libghc-idna-doc (0.3.0-10)
- Implements IDNA (RFC 3490); documentation
- libghc-idna-prof (0.3.0-10+b3)
- Implements IDNA (RFC 3490); profiling libraries
- libghc-idna-prof-0.3.0-3968f
- virtueel pakket geboden door libghc-idna-prof
- libghc-idna-prof-0.3.0-710a9
- virtueel pakket geboden door libghc-idna-prof
- libghc-idna-prof-0.3.0-d4710
- virtueel pakket geboden door libghc-idna-prof
- libghc-idna-prof-0.3.0-fc11a
- virtueel pakket geboden door libghc-idna-prof
- libghc-ieee754-dev (0.8.0-3+b2)
- Utilities for dealing with IEEE floating point numbers
- libghc-ieee754-dev-0.8.0-57e5d
- virtueel pakket geboden door libghc-ieee754-dev
- libghc-ieee754-dev-0.8.0-74071
- virtueel pakket geboden door libghc-ieee754-dev
- libghc-ieee754-dev-0.8.0-794a9
- virtueel pakket geboden door libghc-ieee754-dev
- libghc-ieee754-dev-0.8.0-c3313
- virtueel pakket geboden door libghc-ieee754-dev
- libghc-ieee754-doc (0.8.0-3)
- Utilities for dealing with IEEE floating point numbers; documentation
- libghc-ieee754-prof (0.8.0-3+b2)
- Utilities for dealing with IEEE floating point numbers; profiling libraries
- libghc-ieee754-prof-0.8.0-57e5d
- virtueel pakket geboden door libghc-ieee754-prof
- libghc-ieee754-prof-0.8.0-74071
- virtueel pakket geboden door libghc-ieee754-prof
- libghc-ieee754-prof-0.8.0-794a9
- virtueel pakket geboden door libghc-ieee754-prof
- libghc-ieee754-prof-0.8.0-c3313
- virtueel pakket geboden door libghc-ieee754-prof
- libghc-ifelse-dev (0.85-15+b2)
- anaphoric and miscellaneous useful control-flow
- libghc-ifelse-dev-0.85.0.0.1-34b03
- virtueel pakket geboden door libghc-ifelse-dev
- libghc-ifelse-dev-0.85.0.0.1-c28e6
- virtueel pakket geboden door libghc-ifelse-dev
- libghc-ifelse-doc (0.85-15)
- anaphoric and miscellaneous useful control-flow; documentation
- libghc-ifelse-prof (0.85-15+b2)
- anaphoric and miscellaneous useful control-flow; profiling libraries
- libghc-ifelse-prof-0.85.0.0.1-34b03
- virtueel pakket geboden door libghc-ifelse-prof
- libghc-ifelse-prof-0.85.0.0.1-c28e6
- virtueel pakket geboden door libghc-ifelse-prof
- libghc-incremental-parser-dev (0.3.1.1-1+b3 [amd64, arm64], 0.3.1.1-1+b2 [armhf], 0.3.1.1-1+b1 [i386])
- generic incremental parsers
- libghc-incremental-parser-dev-0.3.1.1-2b818
- virtueel pakket geboden door libghc-incremental-parser-dev
- libghc-incremental-parser-dev-0.3.1.1-473b2
- virtueel pakket geboden door libghc-incremental-parser-dev
- libghc-incremental-parser-dev-0.3.1.1-4abd8
- virtueel pakket geboden door libghc-incremental-parser-dev
- libghc-incremental-parser-dev-0.3.1.1-5c601
- virtueel pakket geboden door libghc-incremental-parser-dev
- libghc-incremental-parser-doc (0.3.1.1-1)
- generic incremental parsers; documentation
- libghc-incremental-parser-prof (0.3.1.1-1+b3 [amd64, arm64], 0.3.1.1-1+b2 [armhf], 0.3.1.1-1+b1 [i386])
- generic incremental parsers; profiling libraries
- libghc-incremental-parser-prof-0.3.1.1-2b818
- virtueel pakket geboden door libghc-incremental-parser-prof
- libghc-incremental-parser-prof-0.3.1.1-473b2
- virtueel pakket geboden door libghc-incremental-parser-prof
- libghc-incremental-parser-prof-0.3.1.1-4abd8
- virtueel pakket geboden door libghc-incremental-parser-prof
- libghc-incremental-parser-prof-0.3.1.1-5c601
- virtueel pakket geboden door libghc-incremental-parser-prof
- libghc-ini-dev (0.3.6-3+b1)
- quick and easy configuration files in the INI format
- libghc-ini-dev-0.3.6-000a7
- virtueel pakket geboden door libghc-ini-dev
- libghc-ini-dev-0.3.6-03313
- virtueel pakket geboden door libghc-ini-dev
- libghc-ini-dev-0.3.6-85580
- virtueel pakket geboden door libghc-ini-dev
- libghc-ini-dev-0.3.6-9e522
- virtueel pakket geboden door libghc-ini-dev
- libghc-ini-doc (0.3.6-3)
- quick and easy configuration files in the INI format; documentation
- libghc-ini-prof (0.3.6-3+b1)
- quick and easy configuration files in the INI format; profiling libraries
- libghc-ini-prof-0.3.6-000a7
- virtueel pakket geboden door libghc-ini-prof
- libghc-ini-prof-0.3.6-03313
- virtueel pakket geboden door libghc-ini-prof
- libghc-ini-prof-0.3.6-85580
- virtueel pakket geboden door libghc-ini-prof
- libghc-ini-prof-0.3.6-9e522
- virtueel pakket geboden door libghc-ini-prof
- libghc-integer-gmp-dev (= 1.0.2.0)
- virtueel pakket geboden door ghc
- libghc-integer-gmp-dev-1.0.2.0-28d9d
- virtueel pakket geboden door ghc
- libghc-integer-gmp-dev-1.0.2.0-e62ed
- virtueel pakket geboden door ghc
- libghc-integer-gmp-doc (= 1.0.2.0)
- virtueel pakket geboden door ghc-doc
- libghc-integer-gmp-prof (= 1.0.2.0)
- virtueel pakket geboden door ghc-prof
- libghc-integer-gmp-prof-1.0.2.0-28d9d
- virtueel pakket geboden door ghc-prof
- libghc-integer-gmp-prof-1.0.2.0-e62ed
- virtueel pakket geboden door ghc-prof
- libghc-integer-logarithms-dev (1.0.2.2-2+b1)
- integer logarithms
- libghc-integer-logarithms-dev-1.0.2.2-09d91
- virtueel pakket geboden door libghc-integer-logarithms-dev
- libghc-integer-logarithms-dev-1.0.2.2-2a43d
- virtueel pakket geboden door libghc-integer-logarithms-dev
- libghc-integer-logarithms-doc (1.0.2.2-2)
- integer logarithms; documentation
- libghc-integer-logarithms-prof (1.0.2.2-2+b1)
- integer logarithms; profiling libraries
- libghc-integer-logarithms-prof-1.0.2.2-09d91
- virtueel pakket geboden door libghc-integer-logarithms-prof
- libghc-integer-logarithms-prof-1.0.2.2-2a43d
- virtueel pakket geboden door libghc-integer-logarithms-prof
- libghc-intern-dev (0.9.2-4+b1)
- hash consing for data types - libraries
- libghc-intern-dev-0.9.2-0bf84
- virtueel pakket geboden door libghc-intern-dev
- libghc-intern-dev-0.9.2-74357
- virtueel pakket geboden door libghc-intern-dev
- libghc-intern-dev-0.9.2-7cecb
- virtueel pakket geboden door libghc-intern-dev
- libghc-intern-dev-0.9.2-d0a52
- virtueel pakket geboden door libghc-intern-dev
- libghc-intern-doc (0.9.2-4)
- hash consing for data types - library documentation
- libghc-intern-prof (0.9.2-4+b1)
- hash consing for data types - profiling libraries
- libghc-intern-prof-0.9.2-0bf84
- virtueel pakket geboden door libghc-intern-prof
- libghc-intern-prof-0.9.2-74357
- virtueel pakket geboden door libghc-intern-prof
- libghc-intern-prof-0.9.2-7cecb
- virtueel pakket geboden door libghc-intern-prof
- libghc-intern-prof-0.9.2-d0a52
- virtueel pakket geboden door libghc-intern-prof
- libghc-interpolate-dev (0.2.0-3+b1)
- string interpolation done right
- libghc-interpolate-dev-0.2.0-89e3a
- virtueel pakket geboden door libghc-interpolate-dev
- libghc-interpolate-dev-0.2.0-9dda2
- virtueel pakket geboden door libghc-interpolate-dev
- libghc-interpolate-dev-0.2.0-a2084
- virtueel pakket geboden door libghc-interpolate-dev
- libghc-interpolate-doc (0.2.0-3)
- string interpolation done right; documentation
- libghc-interpolate-prof (0.2.0-3+b1)
- string interpolation done right; profiling libraries
- libghc-interpolate-prof-0.2.0-89e3a
- virtueel pakket geboden door libghc-interpolate-prof
- libghc-interpolate-prof-0.2.0-9dda2
- virtueel pakket geboden door libghc-interpolate-prof
- libghc-interpolate-prof-0.2.0-a2084
- virtueel pakket geboden door libghc-interpolate-prof
- libghc-intervals-dev (0.8.1-3+b1)
- Haskell data type for intervals
- libghc-intervals-dev-0.8.1-3c2f5
- virtueel pakket geboden door libghc-intervals-dev
- libghc-intervals-dev-0.8.1-b4d56
- virtueel pakket geboden door libghc-intervals-dev
- libghc-intervals-dev-0.8.1-cec40
- virtueel pakket geboden door libghc-intervals-dev
- libghc-intervals-dev-0.8.1-db258
- virtueel pakket geboden door libghc-intervals-dev
- libghc-intervals-doc (0.8.1-3)
- Haskell data type for intervals; documentation
- libghc-intervals-prof (0.8.1-3+b1)
- Haskell data type for intervals; profiling libraries
- libghc-intervals-prof-0.8.1-3c2f5
- virtueel pakket geboden door libghc-intervals-prof
- libghc-intervals-prof-0.8.1-b4d56
- virtueel pakket geboden door libghc-intervals-prof
- libghc-intervals-prof-0.8.1-cec40
- virtueel pakket geboden door libghc-intervals-prof
- libghc-intervals-prof-0.8.1-db258
- virtueel pakket geboden door libghc-intervals-prof
- libghc-invariant-dev (0.5.1-1+b1)
- Haskell98 invariant functors
- libghc-invariant-dev-0.5.1-20a88
- virtueel pakket geboden door libghc-invariant-dev
- libghc-invariant-dev-0.5.1-60168
- virtueel pakket geboden door libghc-invariant-dev
- libghc-invariant-dev-0.5.1-9bb2a
- virtueel pakket geboden door libghc-invariant-dev
- libghc-invariant-dev-0.5.1-a6ee3
- virtueel pakket geboden door libghc-invariant-dev
- libghc-invariant-doc (0.5.1-1)
- Haskell98 invariant functors; documentation
- libghc-invariant-prof (0.5.1-1+b1)
- Haskell98 invariant functors; profiling libraries
- libghc-invariant-prof-0.5.1-20a88
- virtueel pakket geboden door libghc-invariant-prof
- libghc-invariant-prof-0.5.1-60168
- virtueel pakket geboden door libghc-invariant-prof
- libghc-invariant-prof-0.5.1-9bb2a
- virtueel pakket geboden door libghc-invariant-prof
- libghc-invariant-prof-0.5.1-a6ee3
- virtueel pakket geboden door libghc-invariant-prof
- libghc-io-choice-dev (0.0.6-5+b1)
- choice for IO and lifted IO
- libghc-io-choice-dev-0.0.6-12d0d
- virtueel pakket geboden door libghc-io-choice-dev
- libghc-io-choice-dev-0.0.6-42ad2
- virtueel pakket geboden door libghc-io-choice-dev
- libghc-io-choice-dev-0.0.6-a2242
- virtueel pakket geboden door libghc-io-choice-dev
- libghc-io-choice-doc (0.0.6-5)
- choice for IO and lifted IO; documentation
- libghc-io-choice-prof (0.0.6-5+b1)
- choice for IO and lifted IO; profiling libraries
- libghc-io-choice-prof-0.0.6-12d0d
- virtueel pakket geboden door libghc-io-choice-prof
- libghc-io-choice-prof-0.0.6-42ad2
- virtueel pakket geboden door libghc-io-choice-prof
- libghc-io-choice-prof-0.0.6-a2242
- virtueel pakket geboden door libghc-io-choice-prof
- libghc-io-storage-dev (0.3-13+b2)
- key-value store in the IO monad
- libghc-io-storage-dev-0.3-24f73
- virtueel pakket geboden door libghc-io-storage-dev
- libghc-io-storage-dev-0.3-68992
- virtueel pakket geboden door libghc-io-storage-dev
- libghc-io-storage-dev-0.3-6936f
- virtueel pakket geboden door libghc-io-storage-dev
- libghc-io-storage-dev-0.3-ae113
- virtueel pakket geboden door libghc-io-storage-dev
- libghc-io-storage-doc (0.3-13)
- key-value store in the IO monad; documentation
- libghc-io-storage-prof (0.3-13+b2)
- key-value store in the IO monad; profiling libraries
- libghc-io-storage-prof-0.3-24f73
- virtueel pakket geboden door libghc-io-storage-prof
- libghc-io-storage-prof-0.3-68992
- virtueel pakket geboden door libghc-io-storage-prof
- libghc-io-storage-prof-0.3-6936f
- virtueel pakket geboden door libghc-io-storage-prof
- libghc-io-storage-prof-0.3-ae113
- virtueel pakket geboden door libghc-io-storage-prof
- libghc-io-streams-dev (1.5.0.1-3+b1)
- simple, composable, and easy-to-use stream I/O
- libghc-io-streams-dev-1.5.0.1-05e14
- virtueel pakket geboden door libghc-io-streams-dev
- libghc-io-streams-dev-1.5.0.1-1f654
- virtueel pakket geboden door libghc-io-streams-dev
- libghc-io-streams-dev-1.5.0.1-8fdb2
- virtueel pakket geboden door libghc-io-streams-dev
- libghc-io-streams-dev-1.5.0.1-b6cbb
- virtueel pakket geboden door libghc-io-streams-dev
- libghc-io-streams-doc (1.5.0.1-3)
- simple, composable, and easy-to-use stream I/O; documentation
- libghc-io-streams-haproxy-dev (1.0.0.2-4+b1)
- HAProxy protocol 1.5 support for io-streams
- libghc-io-streams-haproxy-dev-1.0.0.2-609ed
- virtueel pakket geboden door libghc-io-streams-haproxy-dev
- libghc-io-streams-haproxy-dev-1.0.0.2-75287
- virtueel pakket geboden door libghc-io-streams-haproxy-dev
- libghc-io-streams-haproxy-dev-1.0.0.2-86592
- virtueel pakket geboden door libghc-io-streams-haproxy-dev
- libghc-io-streams-haproxy-dev-1.0.0.2-c6d39
- virtueel pakket geboden door libghc-io-streams-haproxy-dev
- libghc-io-streams-haproxy-doc (1.0.0.2-4)
- HAProxy protocol 1.5 support for io-streams; documentation
- libghc-io-streams-haproxy-prof (1.0.0.2-4+b1)
- HAProxy protocol 1.5 support for io-streams; profiling libraries
- libghc-io-streams-haproxy-prof-1.0.0.2-609ed
- virtueel pakket geboden door libghc-io-streams-haproxy-prof
- libghc-io-streams-haproxy-prof-1.0.0.2-75287
- virtueel pakket geboden door libghc-io-streams-haproxy-prof
- libghc-io-streams-haproxy-prof-1.0.0.2-86592
- virtueel pakket geboden door libghc-io-streams-haproxy-prof
- libghc-io-streams-haproxy-prof-1.0.0.2-c6d39
- virtueel pakket geboden door libghc-io-streams-haproxy-prof
- libghc-io-streams-prof (1.5.0.1-3+b1)
- simple, composable, and easy-to-use stream I/O; profiling libraries
- libghc-io-streams-prof-1.5.0.1-05e14
- virtueel pakket geboden door libghc-io-streams-prof
- libghc-io-streams-prof-1.5.0.1-1f654
- virtueel pakket geboden door libghc-io-streams-prof
- libghc-io-streams-prof-1.5.0.1-8fdb2
- virtueel pakket geboden door libghc-io-streams-prof
- libghc-io-streams-prof-1.5.0.1-b6cbb
- virtueel pakket geboden door libghc-io-streams-prof
- libghc-iospec-dev (0.3-7+b2)
- Pure specification of the IO monad
- libghc-iospec-dev-0.3-73482
- virtueel pakket geboden door libghc-iospec-dev
- libghc-iospec-dev-0.3-d32c2
- virtueel pakket geboden door libghc-iospec-dev
- libghc-iospec-dev-0.3-df02f
- virtueel pakket geboden door libghc-iospec-dev
- libghc-iospec-dev-0.3-e51e7
- virtueel pakket geboden door libghc-iospec-dev
- libghc-iospec-doc (0.3-7)
- Pure specification of the IO monad; documentation
- libghc-iospec-prof (0.3-7+b2)
- Pure specification of the IO monad; profiling libraries
- libghc-iospec-prof-0.3-73482
- virtueel pakket geboden door libghc-iospec-prof
- libghc-iospec-prof-0.3-d32c2
- virtueel pakket geboden door libghc-iospec-prof
- libghc-iospec-prof-0.3-df02f
- virtueel pakket geboden door libghc-iospec-prof
- libghc-iospec-prof-0.3-e51e7
- virtueel pakket geboden door libghc-iospec-prof
- libghc-iproute-dev (1.7.5-2+b2)
- Haskell IP routing table
- libghc-iproute-dev-1.7.5-1220f
- virtueel pakket geboden door libghc-iproute-dev
- libghc-iproute-dev-1.7.5-26b67
- virtueel pakket geboden door libghc-iproute-dev
- libghc-iproute-dev-1.7.5-9de59
- virtueel pakket geboden door libghc-iproute-dev
- libghc-iproute-dev-1.7.5-e50ae
- virtueel pakket geboden door libghc-iproute-dev
- libghc-iproute-doc (1.7.5-2)
- Haskell IP routing table; documentation
- libghc-iproute-prof (1.7.5-2+b2)
- Haskell IP routing table; profiling libraries
- libghc-iproute-prof-1.7.5-1220f
- virtueel pakket geboden door libghc-iproute-prof
- libghc-iproute-prof-1.7.5-26b67
- virtueel pakket geboden door libghc-iproute-prof
- libghc-iproute-prof-1.7.5-9de59
- virtueel pakket geboden door libghc-iproute-prof
- libghc-iproute-prof-1.7.5-e50ae
- virtueel pakket geboden door libghc-iproute-prof
- libghc-irc-core-dev (2.3.0-5+b1)
- IRC connection library
- libghc-irc-core-dev-2.3.0-1f3fa
- virtueel pakket geboden door libghc-irc-core-dev
- libghc-irc-core-dev-2.3.0-80a2e
- virtueel pakket geboden door libghc-irc-core-dev
- libghc-irc-core-dev-2.3.0-856e5
- virtueel pakket geboden door libghc-irc-core-dev
- libghc-irc-core-dev-2.3.0-e055f
- virtueel pakket geboden door libghc-irc-core-dev
- libghc-irc-core-doc (2.3.0-5)
- IRC connection library; documentation
- libghc-irc-core-prof (2.3.0-5+b1)
- IRC connection library; profiling libraries
- libghc-irc-core-prof-2.3.0-1f3fa
- virtueel pakket geboden door libghc-irc-core-prof
- libghc-irc-core-prof-2.3.0-80a2e
- virtueel pakket geboden door libghc-irc-core-prof
- libghc-irc-core-prof-2.3.0-856e5
- virtueel pakket geboden door libghc-irc-core-prof
- libghc-irc-core-prof-2.3.0-e055f
- virtueel pakket geboden door libghc-irc-core-prof
- libghc-irc-dev (0.6.1.0-9+b1)
- Haskell IRC library
- libghc-irc-dev-0.6.1.0-964a6
- virtueel pakket geboden door libghc-irc-dev
- libghc-irc-dev-0.6.1.0-9a4a0
- virtueel pakket geboden door libghc-irc-dev
- libghc-irc-dev-0.6.1.0-a6d8f
- virtueel pakket geboden door libghc-irc-dev
- libghc-irc-dev-0.6.1.0-b0e35
- virtueel pakket geboden door libghc-irc-dev
- libghc-irc-doc (0.6.1.0-9)
- Haskell IRC library; documentation
- libghc-irc-prof (0.6.1.0-9+b1)
- Haskell IRC library; profiling libraries
- libghc-irc-prof-0.6.1.0-964a6
- virtueel pakket geboden door libghc-irc-prof
- libghc-irc-prof-0.6.1.0-9a4a0
- virtueel pakket geboden door libghc-irc-prof
- libghc-irc-prof-0.6.1.0-a6d8f
- virtueel pakket geboden door libghc-irc-prof
- libghc-irc-prof-0.6.1.0-b0e35
- virtueel pakket geboden door libghc-irc-prof
- libghc-ircbot-dev (0.6.5.3-4+b1)
- library for writing IRC bots
- libghc-ircbot-dev-0.6.5.3-b52a6
- virtueel pakket geboden door libghc-ircbot-dev
- libghc-ircbot-dev-0.6.5.3-eaca6
- virtueel pakket geboden door libghc-ircbot-dev
- libghc-ircbot-dev-0.6.5.3-f9510
- virtueel pakket geboden door libghc-ircbot-dev
- libghc-ircbot-dev-0.6.5.3-ffd89
- virtueel pakket geboden door libghc-ircbot-dev
- libghc-ircbot-doc (0.6.5.3-4)
- library for writing IRC bots; documentation
- libghc-ircbot-prof (0.6.5.3-4+b1)
- library for writing IRC bots; profiling libraries
- libghc-ircbot-prof-0.6.5.3-b52a6
- virtueel pakket geboden door libghc-ircbot-prof
- libghc-ircbot-prof-0.6.5.3-eaca6
- virtueel pakket geboden door libghc-ircbot-prof
- libghc-ircbot-prof-0.6.5.3-f9510
- virtueel pakket geboden door libghc-ircbot-prof
- libghc-ircbot-prof-0.6.5.3-ffd89
- virtueel pakket geboden door libghc-ircbot-prof
- libghc-iso8601-time-dev (0.1.5-2+b2)
- convert to/from the ISO 8601 time format
- libghc-iso8601-time-dev-0.1.5-0fe88
- virtueel pakket geboden door libghc-iso8601-time-dev
- libghc-iso8601-time-dev-0.1.5-35c2b
- virtueel pakket geboden door libghc-iso8601-time-dev
- libghc-iso8601-time-dev-0.1.5-7d8ac
- virtueel pakket geboden door libghc-iso8601-time-dev
- libghc-iso8601-time-dev-0.1.5-bce1a
- virtueel pakket geboden door libghc-iso8601-time-dev
- libghc-iso8601-time-doc (0.1.5-2)
- convert to/from the ISO 8601 time format; documentation
- libghc-iso8601-time-prof (0.1.5-2+b2)
- convert to/from the ISO 8601 time format; profiling libraries
- libghc-iso8601-time-prof-0.1.5-0fe88
- virtueel pakket geboden door libghc-iso8601-time-prof
- libghc-iso8601-time-prof-0.1.5-35c2b
- virtueel pakket geboden door libghc-iso8601-time-prof
- libghc-iso8601-time-prof-0.1.5-7d8ac
- virtueel pakket geboden door libghc-iso8601-time-prof
- libghc-iso8601-time-prof-0.1.5-bce1a
- virtueel pakket geboden door libghc-iso8601-time-prof
- libghc-iwlib-dev (0.1.0-3+b2)
- binding to the iw library
- libghc-iwlib-dev-0.1.0-64b7f
- virtueel pakket geboden door libghc-iwlib-dev
- libghc-iwlib-dev-0.1.0-66582
- virtueel pakket geboden door libghc-iwlib-dev
- libghc-iwlib-doc (0.1.0-3)
- binding to the iw library; documentation
- libghc-iwlib-prof (0.1.0-3+b2)
- binding to the iw library; profiling libraries
- libghc-iwlib-prof-0.1.0-64b7f
- virtueel pakket geboden door libghc-iwlib-prof
- libghc-iwlib-prof-0.1.0-66582
- virtueel pakket geboden door libghc-iwlib-prof
- libghc-ixset-dev (1.1.1-1+b3 [amd64, arm64], 1.1.1-1+b2 [armhf], 1.1.1-1+b1 [i386])
- Haskell implementation of queryable sets - GHC libraries
- libghc-ixset-dev-1.1.1-18c5e
- virtueel pakket geboden door libghc-ixset-dev
- libghc-ixset-dev-1.1.1-78904
- virtueel pakket geboden door libghc-ixset-dev
- libghc-ixset-dev-1.1.1-be095
- virtueel pakket geboden door libghc-ixset-dev
- libghc-ixset-dev-1.1.1-f3edb
- virtueel pakket geboden door libghc-ixset-dev
- libghc-ixset-doc (1.1.1-1)
- Haskell implementation of queryable sets - documentation; documentation
- libghc-ixset-prof (1.1.1-1+b3 [amd64, arm64], 1.1.1-1+b2 [armhf], 1.1.1-1+b1 [i386])
- Haskell implementation of queryable sets - GHC profiling libraries; profiling libraries
- libghc-ixset-prof-1.1.1-18c5e
- virtueel pakket geboden door libghc-ixset-prof
- libghc-ixset-prof-1.1.1-78904
- virtueel pakket geboden door libghc-ixset-prof
- libghc-ixset-prof-1.1.1-be095
- virtueel pakket geboden door libghc-ixset-prof
- libghc-ixset-prof-1.1.1-f3edb
- virtueel pakket geboden door libghc-ixset-prof
- libghc-ixset-typed-dev (0.4-1+b3 [amd64, arm64], 0.4-1+b2 [armhf], 0.4-1+b1 [i386])
- efficient relational queries on Haskell sets
- libghc-ixset-typed-dev-0.4-28f7a
- virtueel pakket geboden door libghc-ixset-typed-dev
- libghc-ixset-typed-dev-0.4-6c9fa
- virtueel pakket geboden door libghc-ixset-typed-dev
- libghc-ixset-typed-dev-0.4-770c5
- virtueel pakket geboden door libghc-ixset-typed-dev
- libghc-ixset-typed-dev-0.4-a8a0a
- virtueel pakket geboden door libghc-ixset-typed-dev
- libghc-ixset-typed-doc (0.4-1)
- efficient relational queries on Haskell sets; documentation
- libghc-ixset-typed-prof (0.4-1+b3 [amd64, arm64], 0.4-1+b2 [armhf], 0.4-1+b1 [i386])
- efficient relational queries on Haskell sets; profiling libraries
- libghc-ixset-typed-prof-0.4-28f7a
- virtueel pakket geboden door libghc-ixset-typed-prof
- libghc-ixset-typed-prof-0.4-6c9fa
- virtueel pakket geboden door libghc-ixset-typed-prof
- libghc-ixset-typed-prof-0.4-770c5
- virtueel pakket geboden door libghc-ixset-typed-prof
- libghc-ixset-typed-prof-0.4-a8a0a
- virtueel pakket geboden door libghc-ixset-typed-prof
- libghc-jmacro-dev (0.6.15-4+b1)
- library for programmatic generation of JavaScript
- libghc-jmacro-dev-0.6.15-7f3bd
- virtueel pakket geboden door libghc-jmacro-dev
- libghc-jmacro-dev-0.6.15-808d3
- virtueel pakket geboden door libghc-jmacro-dev
- libghc-jmacro-dev-0.6.15-910e4
- virtueel pakket geboden door libghc-jmacro-dev
- libghc-jmacro-dev-0.6.15-edf22
- virtueel pakket geboden door libghc-jmacro-dev
- libghc-jmacro-doc (0.6.15-4)
- library for programmatic generation of JavaScript; documentation
- libghc-jmacro-prof (0.6.15-4+b1)
- library for programmatic generation of JavaScript; profiling libraries
- libghc-jmacro-prof-0.6.15-7f3bd
- virtueel pakket geboden door libghc-jmacro-prof
- libghc-jmacro-prof-0.6.15-808d3
- virtueel pakket geboden door libghc-jmacro-prof
- libghc-jmacro-prof-0.6.15-910e4
- virtueel pakket geboden door libghc-jmacro-prof
- libghc-jmacro-prof-0.6.15-edf22
- virtueel pakket geboden door libghc-jmacro-prof
- libghc-js-flot-data (0.8.3-8)
- bundles the jQuery Flot code into a Haskell package; runtime files
- libghc-js-flot-dev (0.8.3-8+b2)
- bundles the jQuery Flot code into a Haskell package
- libghc-js-flot-dev-0.8.3-390bc
- virtueel pakket geboden door libghc-js-flot-dev
- libghc-js-flot-dev-0.8.3-a8661
- virtueel pakket geboden door libghc-js-flot-dev
- libghc-js-flot-dev-0.8.3-d3d8f
- virtueel pakket geboden door libghc-js-flot-dev
- libghc-js-flot-dev-0.8.3-e10b7
- virtueel pakket geboden door libghc-js-flot-dev
- libghc-js-flot-doc (0.8.3-8)
- bundles the jQuery Flot code into a Haskell package; documentation
- libghc-js-flot-prof (0.8.3-8+b2)
- bundles the jQuery Flot code into a Haskell package; profiling libraries
- libghc-js-flot-prof-0.8.3-390bc
- virtueel pakket geboden door libghc-js-flot-prof
- libghc-js-flot-prof-0.8.3-a8661
- virtueel pakket geboden door libghc-js-flot-prof
- libghc-js-flot-prof-0.8.3-d3d8f
- virtueel pakket geboden door libghc-js-flot-prof
- libghc-js-flot-prof-0.8.3-e10b7
- virtueel pakket geboden door libghc-js-flot-prof
- libghc-js-jquery-data (3.3.1-2)
- bundles the minified jQuery code into a Haskell package
- libghc-js-jquery-dev (3.3.1-2+b2)
- bundles the minified jQuery code into a Haskell package
- libghc-js-jquery-dev-3.3.1-36764
- virtueel pakket geboden door libghc-js-jquery-dev
- libghc-js-jquery-dev-3.3.1-8d4de
- virtueel pakket geboden door libghc-js-jquery-dev
- libghc-js-jquery-dev-3.3.1-949c2
- virtueel pakket geboden door libghc-js-jquery-dev
- libghc-js-jquery-dev-3.3.1-95955
- virtueel pakket geboden door libghc-js-jquery-dev
- libghc-js-jquery-doc (3.3.1-2)
- bundles the minified jQuery code into a Haskell package; documentation
- libghc-js-jquery-prof (3.3.1-2+b2)
- bundles the minified jQuery code into a Haskell package; profiling libraries
- libghc-js-jquery-prof-3.3.1-36764
- virtueel pakket geboden door libghc-js-jquery-prof
- libghc-js-jquery-prof-3.3.1-8d4de
- virtueel pakket geboden door libghc-js-jquery-prof
- libghc-js-jquery-prof-3.3.1-949c2
- virtueel pakket geboden door libghc-js-jquery-prof
- libghc-js-jquery-prof-3.3.1-95955
- virtueel pakket geboden door libghc-js-jquery-prof
- libghc-json-dev (0.9.2-4+b2)
- Haskell library for serialising data to and from JSON
- libghc-json-dev-0.9.2-2af6f
- virtueel pakket geboden door libghc-json-dev
- libghc-json-dev-0.9.2-64229
- virtueel pakket geboden door libghc-json-dev
- libghc-json-dev-0.9.2-692c6
- virtueel pakket geboden door libghc-json-dev
- libghc-json-dev-0.9.2-99b9c
- virtueel pakket geboden door libghc-json-dev
- libghc-json-doc (0.9.2-4)
- Haskell library for serialising data to and from JSON; documentation
- libghc-json-prof (0.9.2-4+b2)
- Haskell library for serialising data to and from JSON; profiling libraries
- libghc-json-prof-0.9.2-2af6f
- virtueel pakket geboden door libghc-json-prof
- libghc-json-prof-0.9.2-64229
- virtueel pakket geboden door libghc-json-prof
- libghc-json-prof-0.9.2-692c6
- virtueel pakket geboden door libghc-json-prof
- libghc-json-prof-0.9.2-99b9c
- virtueel pakket geboden door libghc-json-prof
- libghc-juicypixels-dev (3.2.9.5-4+b1)
- Haskell picture loading and serialization library
- libghc-juicypixels-dev-3.2.9.5-193c4
- virtueel pakket geboden door libghc-juicypixels-dev
- libghc-juicypixels-dev-3.2.9.5-1ebaa
- virtueel pakket geboden door libghc-juicypixels-dev
- libghc-juicypixels-dev-3.2.9.5-84f21
- virtueel pakket geboden door libghc-juicypixels-dev
- libghc-juicypixels-dev-3.2.9.5-8e2d9
- virtueel pakket geboden door libghc-juicypixels-dev
- libghc-juicypixels-doc (3.2.9.5-4)
- Haskell picture loading and serialization library; documentation
- libghc-juicypixels-prof (3.2.9.5-4+b1)
- Haskell picture loading and serialization library; profiling libraries
- libghc-juicypixels-prof-3.2.9.5-193c4
- virtueel pakket geboden door libghc-juicypixels-prof
- libghc-juicypixels-prof-3.2.9.5-1ebaa
- virtueel pakket geboden door libghc-juicypixels-prof
- libghc-juicypixels-prof-3.2.9.5-84f21
- virtueel pakket geboden door libghc-juicypixels-prof
- libghc-juicypixels-prof-3.2.9.5-8e2d9
- virtueel pakket geboden door libghc-juicypixels-prof
- libghc-jwt-dev (0.7.2-9+b1)
- JSON Web Token (JWT) decoding and encoding
- libghc-jwt-dev-0.7.2-1ec64
- virtueel pakket geboden door libghc-jwt-dev
- libghc-jwt-dev-0.7.2-52c8d
- virtueel pakket geboden door libghc-jwt-dev
- libghc-jwt-dev-0.7.2-632e5
- virtueel pakket geboden door libghc-jwt-dev
- libghc-jwt-dev-0.7.2-b8a2e
- virtueel pakket geboden door libghc-jwt-dev
- libghc-jwt-doc (0.7.2-9)
- JSON Web Token (JWT) decoding and encoding; documentation
- libghc-jwt-prof (0.7.2-9+b1)
- JSON Web Token (JWT) decoding and encoding; profiling libraries
- libghc-jwt-prof-0.7.2-1ec64
- virtueel pakket geboden door libghc-jwt-prof
- libghc-jwt-prof-0.7.2-52c8d
- virtueel pakket geboden door libghc-jwt-prof
- libghc-jwt-prof-0.7.2-632e5
- virtueel pakket geboden door libghc-jwt-prof
- libghc-jwt-prof-0.7.2-b8a2e
- virtueel pakket geboden door libghc-jwt-prof
- libghc-kan-extensions-dev (5.2-2+b1)
- Kan extensions, Kan lifts, etc.
- libghc-kan-extensions-dev-5.2-1ba3e
- virtueel pakket geboden door libghc-kan-extensions-dev
- libghc-kan-extensions-dev-5.2-5af46
- virtueel pakket geboden door libghc-kan-extensions-dev
- libghc-kan-extensions-dev-5.2-6b434
- virtueel pakket geboden door libghc-kan-extensions-dev
- libghc-kan-extensions-dev-5.2-e3ff0
- virtueel pakket geboden door libghc-kan-extensions-dev
- libghc-kan-extensions-doc (5.2-2)
- Kan extensions, Kan lifts, etc.; documentation
- libghc-kan-extensions-prof (5.2-2+b1)
- Kan extensions, Kan lifts, etc.; profiling libraries
- libghc-kan-extensions-prof-5.2-1ba3e
- virtueel pakket geboden door libghc-kan-extensions-prof
- libghc-kan-extensions-prof-5.2-5af46
- virtueel pakket geboden door libghc-kan-extensions-prof
- libghc-kan-extensions-prof-5.2-6b434
- virtueel pakket geboden door libghc-kan-extensions-prof
- libghc-kan-extensions-prof-5.2-e3ff0
- virtueel pakket geboden door libghc-kan-extensions-prof
- libghc-keys-dev (3.12.1-1+b1)
- Keyed functors and containers
- libghc-keys-dev-3.12.1-3cdf7
- virtueel pakket geboden door libghc-keys-dev
- libghc-keys-dev-3.12.1-8994f
- virtueel pakket geboden door libghc-keys-dev
- libghc-keys-dev-3.12.1-a3b89
- virtueel pakket geboden door libghc-keys-dev
- libghc-keys-dev-3.12.1-c2dee
- virtueel pakket geboden door libghc-keys-dev
- libghc-keys-doc (3.12.1-1)
- Keyed functors and containers; documentation
- libghc-keys-prof (3.12.1-1+b1)
- Keyed functors and containers; profiling libraries
- libghc-keys-prof-3.12.1-3cdf7
- virtueel pakket geboden door libghc-keys-prof
- libghc-keys-prof-3.12.1-8994f
- virtueel pakket geboden door libghc-keys-prof
- libghc-keys-prof-3.12.1-a3b89
- virtueel pakket geboden door libghc-keys-prof
- libghc-keys-prof-3.12.1-c2dee
- virtueel pakket geboden door libghc-keys-prof
- libghc-knob-dev (0.1.1-12+b2)
- Haskell library for memory-backed handles
- libghc-knob-dev-0.1.1-03fea
- virtueel pakket geboden door libghc-knob-dev
- libghc-knob-dev-0.1.1-555be
- virtueel pakket geboden door libghc-knob-dev
- libghc-knob-dev-0.1.1-6d161
- virtueel pakket geboden door libghc-knob-dev
- libghc-knob-dev-0.1.1-9395a
- virtueel pakket geboden door libghc-knob-dev
- libghc-knob-doc (0.1.1-12)
- Haskell library for memory-backed handles; documentation
- libghc-knob-prof (0.1.1-12+b2)
- Haskell library for memory-backed handles; profiling libraries
- libghc-knob-prof-0.1.1-03fea
- virtueel pakket geboden door libghc-knob-prof
- libghc-knob-prof-0.1.1-555be
- virtueel pakket geboden door libghc-knob-prof
- libghc-knob-prof-0.1.1-6d161
- virtueel pakket geboden door libghc-knob-prof
- libghc-knob-prof-0.1.1-9395a
- virtueel pakket geboden door libghc-knob-prof
- libghc-lambdabot-core-dev (5.1.0.2-3+b1)
- Lambdabot core functionality
- libghc-lambdabot-core-dev-5.1.0.2-71f41
- virtueel pakket geboden door libghc-lambdabot-core-dev
- libghc-lambdabot-core-dev-5.1.0.2-bae2c
- virtueel pakket geboden door libghc-lambdabot-core-dev
- libghc-lambdabot-core-dev-5.1.0.2-d48b0
- virtueel pakket geboden door libghc-lambdabot-core-dev
- libghc-lambdabot-core-dev-5.1.0.2-dcb8d
- virtueel pakket geboden door libghc-lambdabot-core-dev
- libghc-lambdabot-core-doc (5.1.0.2-3)
- Lambdabot core functionality; documentation
- libghc-lambdabot-core-prof (5.1.0.2-3+b1)
- Lambdabot core functionality; profiling libraries
- libghc-lambdabot-core-prof-5.1.0.2-71f41
- virtueel pakket geboden door libghc-lambdabot-core-prof
- libghc-lambdabot-core-prof-5.1.0.2-bae2c
- virtueel pakket geboden door libghc-lambdabot-core-prof
- libghc-lambdabot-core-prof-5.1.0.2-d48b0
- virtueel pakket geboden door libghc-lambdabot-core-prof
- libghc-lambdabot-core-prof-5.1.0.2-dcb8d
- virtueel pakket geboden door libghc-lambdabot-core-prof
- libghc-lambdabot-haskell-plugins-dev (5.1.0.3-3+b1)
- Lambdabot Haskell plugins
- libghc-lambdabot-haskell-plugins-dev-5.1.0.3-10d30
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-dev
- libghc-lambdabot-haskell-plugins-dev-5.1.0.3-23599
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-dev
- libghc-lambdabot-haskell-plugins-dev-5.1.0.3-286bb
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-dev
- libghc-lambdabot-haskell-plugins-dev-5.1.0.3-3d5a5
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-dev
- libghc-lambdabot-haskell-plugins-doc (5.1.0.3-3)
- Lambdabot Haskell plugins; documentation
- libghc-lambdabot-haskell-plugins-prof (5.1.0.3-3+b1)
- Lambdabot Haskell plugins; profiling libraries
- libghc-lambdabot-haskell-plugins-prof-5.1.0.3-10d30
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-prof
- libghc-lambdabot-haskell-plugins-prof-5.1.0.3-23599
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-prof
- libghc-lambdabot-haskell-plugins-prof-5.1.0.3-286bb
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-prof
- libghc-lambdabot-haskell-plugins-prof-5.1.0.3-3d5a5
- virtueel pakket geboden door libghc-lambdabot-haskell-plugins-prof
- libghc-lambdabot-irc-plugins-dev (5.1.0.1-4+b1)
- IRC plugins for lambdabot
- libghc-lambdabot-irc-plugins-dev-5.1.0.1-46351
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-dev
- libghc-lambdabot-irc-plugins-dev-5.1.0.1-4fe8a
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-dev
- libghc-lambdabot-irc-plugins-dev-5.1.0.1-b8b9a
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-dev
- libghc-lambdabot-irc-plugins-dev-5.1.0.1-dc11b
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-dev
- libghc-lambdabot-irc-plugins-doc (5.1.0.1-4)
- IRC plugins for lambdabot; documentation
- libghc-lambdabot-irc-plugins-prof (5.1.0.1-4+b1)
- IRC plugins for lambdabot; profiling libraries
- libghc-lambdabot-irc-plugins-prof-5.1.0.1-46351
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-prof
- libghc-lambdabot-irc-plugins-prof-5.1.0.1-4fe8a
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-prof
- libghc-lambdabot-irc-plugins-prof-5.1.0.1-b8b9a
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-prof
- libghc-lambdabot-irc-plugins-prof-5.1.0.1-dc11b
- virtueel pakket geboden door libghc-lambdabot-irc-plugins-prof
- libghc-lambdabot-misc-plugins-dev (5.1.0.1-5+b1)
- Lambdabot miscellaneous plugins
- libghc-lambdabot-misc-plugins-dev-5.1.0.1-060fe
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-dev
- libghc-lambdabot-misc-plugins-dev-5.1.0.1-2cb11
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-dev
- libghc-lambdabot-misc-plugins-dev-5.1.0.1-9e883
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-dev
- libghc-lambdabot-misc-plugins-dev-5.1.0.1-bec2f
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-dev
- libghc-lambdabot-misc-plugins-doc (5.1.0.1-5)
- Lambdabot miscellaneous plugins; documentation
- libghc-lambdabot-misc-plugins-prof (5.1.0.1-5+b1)
- Lambdabot miscellaneous plugins; profiling libraries
- libghc-lambdabot-misc-plugins-prof-5.1.0.1-060fe
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-prof
- libghc-lambdabot-misc-plugins-prof-5.1.0.1-2cb11
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-prof
- libghc-lambdabot-misc-plugins-prof-5.1.0.1-9e883
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-prof
- libghc-lambdabot-misc-plugins-prof-5.1.0.1-bec2f
- virtueel pakket geboden door libghc-lambdabot-misc-plugins-prof
- libghc-lambdabot-novelty-plugins-dev (5.1.0.1-3+b1)
- novelty plugins for Lambdabot
- libghc-lambdabot-novelty-plugins-dev-5.1.0.1-4d970
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-dev
- libghc-lambdabot-novelty-plugins-dev-5.1.0.1-ab326
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-dev
- libghc-lambdabot-novelty-plugins-dev-5.1.0.1-b9178
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-dev
- libghc-lambdabot-novelty-plugins-dev-5.1.0.1-c9735
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-dev
- libghc-lambdabot-novelty-plugins-doc (5.1.0.1-3)
- novelty plugins for Lambdabot; documentation
- libghc-lambdabot-novelty-plugins-prof (5.1.0.1-3+b1)
- novelty plugins for Lambdabot; profiling libraries
- libghc-lambdabot-novelty-plugins-prof-5.1.0.1-4d970
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-prof
- libghc-lambdabot-novelty-plugins-prof-5.1.0.1-ab326
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-prof
- libghc-lambdabot-novelty-plugins-prof-5.1.0.1-b9178
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-prof
- libghc-lambdabot-novelty-plugins-prof-5.1.0.1-c9735
- virtueel pakket geboden door libghc-lambdabot-novelty-plugins-prof
- libghc-lambdabot-reference-plugins-dev (5.1.0.1-4+b1)
- Lambdabot reference plugins
- libghc-lambdabot-reference-plugins-dev-5.1.0.1-268fc
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-dev
- libghc-lambdabot-reference-plugins-dev-5.1.0.1-94554
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-dev
- libghc-lambdabot-reference-plugins-dev-5.1.0.1-9df03
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-dev
- libghc-lambdabot-reference-plugins-dev-5.1.0.1-ab21e
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-dev
- libghc-lambdabot-reference-plugins-doc (5.1.0.1-4)
- Lambdabot reference plugins; documentation
- libghc-lambdabot-reference-plugins-prof (5.1.0.1-4+b1)
- Lambdabot reference plugins; profiling libraries
- libghc-lambdabot-reference-plugins-prof-5.1.0.1-268fc
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-prof
- libghc-lambdabot-reference-plugins-prof-5.1.0.1-94554
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-prof
- libghc-lambdabot-reference-plugins-prof-5.1.0.1-9df03
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-prof
- libghc-lambdabot-reference-plugins-prof-5.1.0.1-ab21e
- virtueel pakket geboden door libghc-lambdabot-reference-plugins-prof
- libghc-lambdabot-social-plugins-dev (5.1.0.1-4+b1)
- social plugins for Lambdabot
- libghc-lambdabot-social-plugins-dev-5.1.0.1-36947
- virtueel pakket geboden door libghc-lambdabot-social-plugins-dev
- libghc-lambdabot-social-plugins-dev-5.1.0.1-4b10b
- virtueel pakket geboden door libghc-lambdabot-social-plugins-dev
- libghc-lambdabot-social-plugins-dev-5.1.0.1-c28a8
- virtueel pakket geboden door libghc-lambdabot-social-plugins-dev
- libghc-lambdabot-social-plugins-dev-5.1.0.1-dad50
- virtueel pakket geboden door libghc-lambdabot-social-plugins-dev
- libghc-lambdabot-social-plugins-doc (5.1.0.1-4)
- social plugins for Lambdabot; documentation
- libghc-lambdabot-social-plugins-prof (5.1.0.1-4+b1)
- social plugins for Lambdabot; profiling libraries
- libghc-lambdabot-social-plugins-prof-5.1.0.1-36947
- virtueel pakket geboden door libghc-lambdabot-social-plugins-prof
- libghc-lambdabot-social-plugins-prof-5.1.0.1-4b10b
- virtueel pakket geboden door libghc-lambdabot-social-plugins-prof
- libghc-lambdabot-social-plugins-prof-5.1.0.1-c28a8
- virtueel pakket geboden door libghc-lambdabot-social-plugins-prof
- libghc-lambdabot-social-plugins-prof-5.1.0.1-dad50
- virtueel pakket geboden door libghc-lambdabot-social-plugins-prof
- libghc-lambdabot-trusted-dev (5.1.0.1-2+b2)
- Lambdabot trusted code
- libghc-lambdabot-trusted-dev-5.1.0.1-2a016
- virtueel pakket geboden door libghc-lambdabot-trusted-dev
- libghc-lambdabot-trusted-dev-5.1.0.1-56fd0
- virtueel pakket geboden door libghc-lambdabot-trusted-dev
- libghc-lambdabot-trusted-dev-5.1.0.1-8a317
- virtueel pakket geboden door libghc-lambdabot-trusted-dev
- libghc-lambdabot-trusted-dev-5.1.0.1-9049a
- virtueel pakket geboden door libghc-lambdabot-trusted-dev
- libghc-lambdabot-trusted-doc (5.1.0.1-2)
- Lambdabot trusted code; documentation
- libghc-lambdabot-trusted-prof (5.1.0.1-2+b2)
- Lambdabot trusted code; profiling libraries
- libghc-lambdabot-trusted-prof-5.1.0.1-2a016
- virtueel pakket geboden door libghc-lambdabot-trusted-prof
- libghc-lambdabot-trusted-prof-5.1.0.1-56fd0
- virtueel pakket geboden door libghc-lambdabot-trusted-prof
- libghc-lambdabot-trusted-prof-5.1.0.1-8a317
- virtueel pakket geboden door libghc-lambdabot-trusted-prof
- libghc-lambdabot-trusted-prof-5.1.0.1-9049a
- virtueel pakket geboden door libghc-lambdabot-trusted-prof
- libghc-lambdahack-dev (0.8.3.0-4+b1)
- tactical squad ASCII roguelike dungeon crawler game engine
- libghc-lambdahack-dev-0.8.3.0-172f1
- virtueel pakket geboden door libghc-lambdahack-dev
- libghc-lambdahack-dev-0.8.3.0-1f6fe
- virtueel pakket geboden door libghc-lambdahack-dev
- libghc-lambdahack-dev-0.8.3.0-2032c
- virtueel pakket geboden door libghc-lambdahack-dev
- libghc-lambdahack-dev-0.8.3.0-fff27
- virtueel pakket geboden door libghc-lambdahack-dev
- libghc-lambdahack-doc (0.8.3.0-4)
- tactical squad ASCII roguelike dungeon crawler game engine; documentation
- libghc-lambdahack-prof (0.8.3.0-4+b1)
- tactical squad ASCII roguelike dungeon crawler game engine; profiling libraries
- libghc-lambdahack-prof-0.8.3.0-172f1
- virtueel pakket geboden door libghc-lambdahack-prof
- libghc-lambdahack-prof-0.8.3.0-1f6fe
- virtueel pakket geboden door libghc-lambdahack-prof
- libghc-lambdahack-prof-0.8.3.0-2032c
- virtueel pakket geboden door libghc-lambdahack-prof
- libghc-lambdahack-prof-0.8.3.0-fff27
- virtueel pakket geboden door libghc-lambdahack-prof
- libghc-language-c-dev (0.8.1-1+b2)
- Haskell library for the analysis and generation of C code
- libghc-language-c-dev-0.8.1-16b2a
- virtueel pakket geboden door libghc-language-c-dev
- libghc-language-c-dev-0.8.1-25a76
- virtueel pakket geboden door libghc-language-c-dev
- libghc-language-c-dev-0.8.1-6bbd5
- virtueel pakket geboden door libghc-language-c-dev
- libghc-language-c-dev-0.8.1-b2715
- virtueel pakket geboden door libghc-language-c-dev
- libghc-language-c-doc (0.8.1-1)
- Haskell library for the analysis and generation of C code; documentation
- libghc-language-c-prof (0.8.1-1+b2)
- Haskell library for the analysis and generation of C code; profiling libraries
- libghc-language-c-prof-0.8.1-16b2a
- virtueel pakket geboden door libghc-language-c-prof
- libghc-language-c-prof-0.8.1-25a76
- virtueel pakket geboden door libghc-language-c-prof
- libghc-language-c-prof-0.8.1-6bbd5
- virtueel pakket geboden door libghc-language-c-prof
- libghc-language-c-prof-0.8.1-b2715
- virtueel pakket geboden door libghc-language-c-prof
- (0.2.4-9+b2)
- automatically extract functions from the local code.
- virtueel pakket geboden door libghc-language-haskell-extract-dev
- virtueel pakket geboden door libghc-language-haskell-extract-dev
- virtueel pakket geboden door libghc-language-haskell-extract-dev
- virtueel pakket geboden door libghc-language-haskell-extract-dev
- (0.2.4-9)
- automatically extract functions from the local code.; documentation
- (0.2.4-9+b2)
- automatically extract functions from the local code.; profiling libraries
- virtueel pakket geboden door libghc-language-haskell-extract-prof
- virtueel pakket geboden door libghc-language-haskell-extract-prof
- virtueel pakket geboden door libghc-language-haskell-extract-prof
- virtueel pakket geboden door libghc-language-haskell-extract-prof
- libghc-language-javascript-dev (0.6.0.11-3+b2)
- JavaScript parser
- libghc-language-javascript-dev-0.6.0.11-073e4
- virtueel pakket geboden door libghc-language-javascript-dev
- libghc-language-javascript-dev-0.6.0.11-31bc7
- virtueel pakket geboden door libghc-language-javascript-dev
- libghc-language-javascript-dev-0.6.0.11-5d5ad
- virtueel pakket geboden door libghc-language-javascript-dev
- libghc-language-javascript-dev-0.6.0.11-f9d36
- virtueel pakket geboden door libghc-language-javascript-dev
- libghc-language-javascript-doc (0.6.0.11-3)
- JavaScript parser; documentation
- libghc-language-javascript-prof (0.6.0.11-3+b2)
- JavaScript parser; profiling libraries
- libghc-language-javascript-prof-0.6.0.11-073e4
- virtueel pakket geboden door libghc-language-javascript-prof
- libghc-language-javascript-prof-0.6.0.11-31bc7
- virtueel pakket geboden door libghc-language-javascript-prof
- libghc-language-javascript-prof-0.6.0.11-5d5ad
- virtueel pakket geboden door libghc-language-javascript-prof
- libghc-language-javascript-prof-0.6.0.11-f9d36
- virtueel pakket geboden door libghc-language-javascript-prof
- libghc-lazy-csv-dev (0.5.1-3+b2)
- efficient lazy parsers for CSV (comma-separated values)
- libghc-lazy-csv-dev-0.5.1-0284c
- virtueel pakket geboden door libghc-lazy-csv-dev
- libghc-lazy-csv-dev-0.5.1-67f3c
- virtueel pakket geboden door libghc-lazy-csv-dev
- libghc-lazy-csv-dev-0.5.1-c4b52
- virtueel pakket geboden door libghc-lazy-csv-dev
- libghc-lazy-csv-dev-0.5.1-ff143
- virtueel pakket geboden door libghc-lazy-csv-dev
- libghc-lazy-csv-doc (0.5.1-3)
- efficient lazy parsers for CSV (comma-separated values); documentation
- libghc-lazy-csv-prof (0.5.1-3+b2)
- efficient lazy parsers for CSV (comma-separated values); profiling libraries
- libghc-lazy-csv-prof-0.5.1-0284c
- virtueel pakket geboden door libghc-lazy-csv-prof
- libghc-lazy-csv-prof-0.5.1-67f3c
- virtueel pakket geboden door libghc-lazy-csv-prof
- libghc-lazy-csv-prof-0.5.1-c4b52
- virtueel pakket geboden door libghc-lazy-csv-prof
- libghc-lazy-csv-prof-0.5.1-ff143
- virtueel pakket geboden door libghc-lazy-csv-prof
- libghc-lazysmallcheck-dev (0.6-11+b2)
- A library for demand-driven testing of Haskell programs
- libghc-lazysmallcheck-dev-0.6-9d96a
- virtueel pakket geboden door libghc-lazysmallcheck-dev
- libghc-lazysmallcheck-dev-0.6-b4339
- virtueel pakket geboden door libghc-lazysmallcheck-dev
- libghc-lazysmallcheck-dev-0.6-d7ae6
- virtueel pakket geboden door libghc-lazysmallcheck-dev
- libghc-lazysmallcheck-doc (0.6-11)
- A library for demand-driven testing of Haskell programs; documentation
- libghc-lazysmallcheck-prof (0.6-11+b2)
- A library for demand-driven testing of Haskell programs; profiling libraries
- libghc-lazysmallcheck-prof-0.6-9d96a
- virtueel pakket geboden door libghc-lazysmallcheck-prof
- libghc-lazysmallcheck-prof-0.6-b4339
- virtueel pakket geboden door libghc-lazysmallcheck-prof
- libghc-lazysmallcheck-prof-0.6-d7ae6
- virtueel pakket geboden door libghc-lazysmallcheck-prof
- libghc-ldap-dev (0.6.11-3+b1)
- LDAP Interface for Haskell
- libghc-ldap-doc (0.6.11-3)
- LDAP Interface for Haskell
- libghc-ldap-prof (0.6.11-3+b1)
- LDAP Interface for Haskell; profiling libraries
- libghc-lens-action-dev (0.2.3-3+b1)
- Monadic Getters and Folds
- libghc-lens-action-dev-0.2.3-61e93
- virtueel pakket geboden door libghc-lens-action-dev
- libghc-lens-action-dev-0.2.3-80bb4
- virtueel pakket geboden door libghc-lens-action-dev
- libghc-lens-action-dev-0.2.3-91bfb
- virtueel pakket geboden door libghc-lens-action-dev
- libghc-lens-action-dev-0.2.3-bd6ac
- virtueel pakket geboden door libghc-lens-action-dev
- libghc-lens-action-doc (0.2.3-3)
- Monadic Getters and Folds; documentation
- libghc-lens-action-prof (0.2.3-3+b1)
- Monadic Getters and Folds; profiling libraries
- libghc-lens-action-prof-0.2.3-61e93
- virtueel pakket geboden door libghc-lens-action-prof
- libghc-lens-action-prof-0.2.3-80bb4
- virtueel pakket geboden door libghc-lens-action-prof
- libghc-lens-action-prof-0.2.3-91bfb
- virtueel pakket geboden door libghc-lens-action-prof
- libghc-lens-action-prof-0.2.3-bd6ac
- virtueel pakket geboden door libghc-lens-action-prof
- libghc-lens-aeson-dev (1.0.2-6+b1)
- law-abiding lenses for aeson
- libghc-lens-aeson-dev-1.0.2-0ed1e
- virtueel pakket geboden door libghc-lens-aeson-dev
- libghc-lens-aeson-dev-1.0.2-492ae
- virtueel pakket geboden door libghc-lens-aeson-dev
- libghc-lens-aeson-dev-1.0.2-c1cfa
- virtueel pakket geboden door libghc-lens-aeson-dev
- libghc-lens-aeson-dev-1.0.2-cebad
- virtueel pakket geboden door libghc-lens-aeson-dev
- libghc-lens-aeson-doc (1.0.2-6)
- law-abiding lenses for aeson; documentation
- libghc-lens-aeson-prof (1.0.2-6+b1)
- law-abiding lenses for aeson; profiling libraries
- libghc-lens-aeson-prof-1.0.2-0ed1e
- virtueel pakket geboden door libghc-lens-aeson-prof
- libghc-lens-aeson-prof-1.0.2-492ae
- virtueel pakket geboden door libghc-lens-aeson-prof
- libghc-lens-aeson-prof-1.0.2-c1cfa
- virtueel pakket geboden door libghc-lens-aeson-prof
- libghc-lens-aeson-prof-1.0.2-cebad
- virtueel pakket geboden door libghc-lens-aeson-prof
- libghc-lens-dev (4.16.1-3+b1)
- Lenses, Folds and Traversals
- libghc-lens-dev-4.16.1-2e0ff
- virtueel pakket geboden door libghc-lens-dev
- libghc-lens-dev-4.16.1-9cca8
- virtueel pakket geboden door libghc-lens-dev
- libghc-lens-dev-4.16.1-b82e2
- virtueel pakket geboden door libghc-lens-dev
- libghc-lens-dev-4.16.1-ee14f
- virtueel pakket geboden door libghc-lens-dev
- libghc-lens-doc (4.16.1-3)
- Lenses, Folds and Traversals; documentation
- libghc-lens-prof (4.16.1-3+b1)
- Lenses, Folds and Traversals; profiling libraries
- libghc-lens-prof-4.16.1-2e0ff
- virtueel pakket geboden door libghc-lens-prof
- libghc-lens-prof-4.16.1-9cca8
- virtueel pakket geboden door libghc-lens-prof
- libghc-lens-prof-4.16.1-b82e2
- virtueel pakket geboden door libghc-lens-prof
- libghc-lens-prof-4.16.1-ee14f
- virtueel pakket geboden door libghc-lens-prof
- libghc-libmpd-dev (0.9.0.8-6+b1)
- MPD client library
- libghc-libmpd-dev-0.9.0.8-09fb7
- virtueel pakket geboden door libghc-libmpd-dev
- libghc-libmpd-dev-0.9.0.8-23bc7
- virtueel pakket geboden door libghc-libmpd-dev
- libghc-libmpd-dev-0.9.0.8-3c8bb
- virtueel pakket geboden door libghc-libmpd-dev
- libghc-libmpd-dev-0.9.0.8-760e8
- virtueel pakket geboden door libghc-libmpd-dev
- libghc-libmpd-doc (0.9.0.8-6)
- MPD client library; documentation
- libghc-libmpd-prof (0.9.0.8-6+b1)
- MPD client library; profiling libraries
- libghc-libmpd-prof-0.9.0.8-09fb7
- virtueel pakket geboden door libghc-libmpd-prof
- libghc-libmpd-prof-0.9.0.8-23bc7
- virtueel pakket geboden door libghc-libmpd-prof
- libghc-libmpd-prof-0.9.0.8-3c8bb
- virtueel pakket geboden door libghc-libmpd-prof
- libghc-libmpd-prof-0.9.0.8-760e8
- virtueel pakket geboden door libghc-libmpd-prof
- libghc-libxml-sax-dev (0.7.5-10+b1)
- bindings for libXML2 SAX
- libghc-libxml-sax-dev-0.7.5-46da1
- virtueel pakket geboden door libghc-libxml-sax-dev
- libghc-libxml-sax-dev-0.7.5-68c37
- virtueel pakket geboden door libghc-libxml-sax-dev
- libghc-libxml-sax-dev-0.7.5-a6c54
- virtueel pakket geboden door libghc-libxml-sax-dev
- libghc-libxml-sax-dev-0.7.5-b42f0
- virtueel pakket geboden door libghc-libxml-sax-dev
- libghc-libxml-sax-doc (0.7.5-10)
- bindings for libXML2 SAX; documentation
- libghc-libxml-sax-prof (0.7.5-10+b1)
- bindings for libXML2 SAX; profiling libraries
- libghc-libxml-sax-prof-0.7.5-46da1
- virtueel pakket geboden door libghc-libxml-sax-prof
- libghc-libxml-sax-prof-0.7.5-68c37
- virtueel pakket geboden door libghc-libxml-sax-prof
- libghc-libxml-sax-prof-0.7.5-a6c54
- virtueel pakket geboden door libghc-libxml-sax-prof
- libghc-libxml-sax-prof-0.7.5-b42f0
- virtueel pakket geboden door libghc-libxml-sax-prof
- libghc-lifted-async-dev (0.10.0.2-1+b1)
- operations from async lifted to MonadBase
- libghc-lifted-async-dev-0.10.0.2-7c606
- virtueel pakket geboden door libghc-lifted-async-dev
- libghc-lifted-async-dev-0.10.0.2-b2e69
- virtueel pakket geboden door libghc-lifted-async-dev
- libghc-lifted-async-dev-0.10.0.2-cebb8
- virtueel pakket geboden door libghc-lifted-async-dev
- libghc-lifted-async-dev-0.10.0.2-e8926
- virtueel pakket geboden door libghc-lifted-async-dev
- libghc-lifted-async-doc (0.10.0.2-1)
- operations from async lifted to MonadBase; documentation
- libghc-lifted-async-prof (0.10.0.2-1+b1)
- operations from async lifted to MonadBase; profiling libraries
- libghc-lifted-async-prof-0.10.0.2-7c606
- virtueel pakket geboden door libghc-lifted-async-prof
- libghc-lifted-async-prof-0.10.0.2-b2e69
- virtueel pakket geboden door libghc-lifted-async-prof
- libghc-lifted-async-prof-0.10.0.2-cebb8
- virtueel pakket geboden door libghc-lifted-async-prof
- libghc-lifted-async-prof-0.10.0.2-e8926
- virtueel pakket geboden door libghc-lifted-async-prof
- libghc-lifted-base-dev (0.2.3.12-2+b2 [amd64, arm64, armhf], 0.2.3.12-2+b1 [i386])
- Lifted IO operations from the base library
- libghc-lifted-base-dev-0.2.3.12-91d57
- virtueel pakket geboden door libghc-lifted-base-dev
- libghc-lifted-base-dev-0.2.3.12-9d818
- virtueel pakket geboden door libghc-lifted-base-dev
- libghc-lifted-base-dev-0.2.3.12-df1cb
- virtueel pakket geboden door libghc-lifted-base-dev
- libghc-lifted-base-doc (0.2.3.12-2)
- Lifted IO operations from the base library; documentation
- libghc-lifted-base-prof (0.2.3.12-2+b2 [amd64, arm64, armhf], 0.2.3.12-2+b1 [i386])
- Lifted IO operations from the base library; profiling libraries
- libghc-lifted-base-prof-0.2.3.12-91d57
- virtueel pakket geboden door libghc-lifted-base-prof
- libghc-lifted-base-prof-0.2.3.12-9d818
- virtueel pakket geboden door libghc-lifted-base-prof
- libghc-lifted-base-prof-0.2.3.12-df1cb
- virtueel pakket geboden door libghc-lifted-base-prof
- libghc-linear-dev (1.20.8-1+b1)
- Linear Algebra
- libghc-linear-dev-1.20.8-4ceb5
- virtueel pakket geboden door libghc-linear-dev
- libghc-linear-dev-1.20.8-51356
- virtueel pakket geboden door libghc-linear-dev
- libghc-linear-dev-1.20.8-713c2
- virtueel pakket geboden door libghc-linear-dev
- libghc-linear-dev-1.20.8-b536a
- virtueel pakket geboden door libghc-linear-dev
- libghc-linear-doc (1.20.8-1)
- Linear Algebra; documentation
- libghc-linear-prof (1.20.8-1+b1)
- Linear Algebra; profiling libraries
- libghc-linear-prof-1.20.8-4ceb5
- virtueel pakket geboden door libghc-linear-prof
- libghc-linear-prof-1.20.8-51356
- virtueel pakket geboden door libghc-linear-prof
- libghc-linear-prof-1.20.8-713c2
- virtueel pakket geboden door libghc-linear-prof
- libghc-linear-prof-1.20.8-b536a
- virtueel pakket geboden door libghc-linear-prof
- libghc-listlike-dev (4.6-3+b3 [amd64, arm64], 4.6-3+b2 [armhf], 4.6-3+b1 [i386])
- Generic support for list-like structures
- libghc-listlike-dev-4.6-2a485
- virtueel pakket geboden door libghc-listlike-dev
- libghc-listlike-dev-4.6-739b8
- virtueel pakket geboden door libghc-listlike-dev
- libghc-listlike-dev-4.6-c5fc4
- virtueel pakket geboden door libghc-listlike-dev
- libghc-listlike-dev-4.6-ca1c0
- virtueel pakket geboden door libghc-listlike-dev
- libghc-listlike-doc (4.6-3)
- Generic support for list-like structures; documentation
- libghc-listlike-prof (4.6-3+b3 [amd64, arm64], 4.6-3+b2 [armhf], 4.6-3+b1 [i386])
- Generic support for list-like structures; profiling libraries
- libghc-listlike-prof-4.6-2a485
- virtueel pakket geboden door libghc-listlike-prof
- libghc-listlike-prof-4.6-739b8
- virtueel pakket geboden door libghc-listlike-prof
- libghc-listlike-prof-4.6-c5fc4
- virtueel pakket geboden door libghc-listlike-prof
- libghc-listlike-prof-4.6-ca1c0
- virtueel pakket geboden door libghc-listlike-prof
- libghc-load-env-dev (0.2.0.1-2+b2)
- load environment variables from a file
- libghc-load-env-dev-0.2.0.1-5d301
- virtueel pakket geboden door libghc-load-env-dev
- libghc-load-env-dev-0.2.0.1-8bae8
- virtueel pakket geboden door libghc-load-env-dev
- libghc-load-env-dev-0.2.0.1-a0884
- virtueel pakket geboden door libghc-load-env-dev
- libghc-load-env-dev-0.2.0.1-e6cf6
- virtueel pakket geboden door libghc-load-env-dev
- libghc-load-env-doc (0.2.0.1-2)
- load environment variables from a file; documentation
- libghc-load-env-prof (0.2.0.1-2+b2)
- load environment variables from a file; profiling libraries
- libghc-load-env-prof-0.2.0.1-5d301
- virtueel pakket geboden door libghc-load-env-prof
- libghc-load-env-prof-0.2.0.1-8bae8
- virtueel pakket geboden door libghc-load-env-prof
- libghc-load-env-prof-0.2.0.1-a0884
- virtueel pakket geboden door libghc-load-env-prof
- libghc-load-env-prof-0.2.0.1-e6cf6
- virtueel pakket geboden door libghc-load-env-prof
- libghc-log-domain-dev (0.12-2+b1)
- log-domain arithmetic
- libghc-log-domain-dev-0.12-a9d2f
- virtueel pakket geboden door libghc-log-domain-dev
- libghc-log-domain-dev-0.12-ad6f7
- virtueel pakket geboden door libghc-log-domain-dev
- libghc-log-domain-dev-0.12-e1d29
- virtueel pakket geboden door libghc-log-domain-dev
- libghc-log-domain-dev-0.12-fdc80
- virtueel pakket geboden door libghc-log-domain-dev
- libghc-log-domain-doc (0.12-2)
- log-domain arithmetic; documentation
- libghc-log-domain-prof (0.12-2+b1)
- log-domain arithmetic; profiling libraries
- libghc-log-domain-prof-0.12-a9d2f
- virtueel pakket geboden door libghc-log-domain-prof
- libghc-log-domain-prof-0.12-ad6f7
- virtueel pakket geboden door libghc-log-domain-prof
- libghc-log-domain-prof-0.12-e1d29
- virtueel pakket geboden door libghc-log-domain-prof
- libghc-log-domain-prof-0.12-fdc80
- virtueel pakket geboden door libghc-log-domain-prof
- libghc-logging-facade-dev (0.3.0-3+b2)
- simple logging abstraction that allows multiple backends
- libghc-logging-facade-dev-0.3.0-954ce
- virtueel pakket geboden door libghc-logging-facade-dev
- libghc-logging-facade-dev-0.3.0-a3984
- virtueel pakket geboden door libghc-logging-facade-dev
- libghc-logging-facade-dev-0.3.0-c61fd
- virtueel pakket geboden door libghc-logging-facade-dev
- libghc-logging-facade-doc (0.3.0-3)
- simple logging abstraction that allows multiple backends; documentation
- libghc-logging-facade-prof (0.3.0-3+b2)
- simple logging abstraction that allows multiple backends; profiling libraries
- libghc-logging-facade-prof-0.3.0-954ce
- virtueel pakket geboden door libghc-logging-facade-prof
- libghc-logging-facade-prof-0.3.0-a3984
- virtueel pakket geboden door libghc-logging-facade-prof
- libghc-logging-facade-prof-0.3.0-c61fd
- virtueel pakket geboden door libghc-logging-facade-prof
- libghc-logict-dev (0.6.0.2-10+b2)
- Backtracking logic-programming monad
- libghc-logict-dev-0.6.0.2-11dab
- virtueel pakket geboden door libghc-logict-dev
- libghc-logict-dev-0.6.0.2-401e7
- virtueel pakket geboden door libghc-logict-dev
- libghc-logict-dev-0.6.0.2-f15cd
- virtueel pakket geboden door libghc-logict-dev
- libghc-logict-doc (0.6.0.2-10)
- Backtracking logic-programming monad; documentation
- libghc-logict-prof (0.6.0.2-10+b2)
- Backtracking logic-programming monad; profiling libraries
- libghc-logict-prof-0.6.0.2-11dab
- virtueel pakket geboden door libghc-logict-prof
- libghc-logict-prof-0.6.0.2-401e7
- virtueel pakket geboden door libghc-logict-prof
- libghc-logict-prof-0.6.0.2-f15cd
- virtueel pakket geboden door libghc-logict-prof
- libghc-lrucache-dev (1.2.0.0-8+b2)
- simple, pure least-recent-used cache
- libghc-lrucache-dev-1.2.0.0-0a1af
- virtueel pakket geboden door libghc-lrucache-dev
- libghc-lrucache-dev-1.2.0.0-5aea8
- virtueel pakket geboden door libghc-lrucache-dev
- libghc-lrucache-dev-1.2.0.0-6dcc5
- virtueel pakket geboden door libghc-lrucache-dev
- libghc-lrucache-dev-1.2.0.0-e6678
- virtueel pakket geboden door libghc-lrucache-dev
- libghc-lrucache-doc (1.2.0.0-8)
- simple, pure least-recent-used cache; documentation
- libghc-lrucache-prof (1.2.0.0-8+b2)
- simple, pure least-recent-used cache; profiling libraries
- libghc-lrucache-prof-1.2.0.0-0a1af
- virtueel pakket geboden door libghc-lrucache-prof
- libghc-lrucache-prof-1.2.0.0-5aea8
- virtueel pakket geboden door libghc-lrucache-prof
- libghc-lrucache-prof-1.2.0.0-6dcc5
- virtueel pakket geboden door libghc-lrucache-prof
- libghc-lrucache-prof-1.2.0.0-e6678
- virtueel pakket geboden door libghc-lrucache-prof
- libghc-lucid-dev (2.9.10-3+b2)
- clear to write, read, and edit DSL for HTML
- libghc-lucid-dev-2.9.10-646c0
- virtueel pakket geboden door libghc-lucid-dev
- libghc-lucid-dev-2.9.10-8c8b5
- virtueel pakket geboden door libghc-lucid-dev
- libghc-lucid-dev-2.9.10-9b05a
- virtueel pakket geboden door libghc-lucid-dev
- libghc-lucid-dev-2.9.10-c696a
- virtueel pakket geboden door libghc-lucid-dev
- libghc-lucid-doc (2.9.10-3)
- clear to write, read, and edit DSL for HTML; documentation
- libghc-lucid-prof (2.9.10-3+b2)
- clear to write, read, and edit DSL for HTML; profiling libraries
- libghc-lucid-prof-2.9.10-646c0
- virtueel pakket geboden door libghc-lucid-prof
- libghc-lucid-prof-2.9.10-8c8b5
- virtueel pakket geboden door libghc-lucid-prof
- libghc-lucid-prof-2.9.10-9b05a
- virtueel pakket geboden door libghc-lucid-prof
- libghc-lucid-prof-2.9.10-c696a
- virtueel pakket geboden door libghc-lucid-prof
- libghc-lucid-svg-dev (0.7.0.0-6+b2)
- DSL for SVG using lucid for HTML
- libghc-lucid-svg-dev-0.7.0.0-15485
- virtueel pakket geboden door libghc-lucid-svg-dev
- libghc-lucid-svg-dev-0.7.0.0-5224a
- virtueel pakket geboden door libghc-lucid-svg-dev
- libghc-lucid-svg-dev-0.7.0.0-5e8f6
- virtueel pakket geboden door libghc-lucid-svg-dev
- libghc-lucid-svg-dev-0.7.0.0-e8a43
- virtueel pakket geboden door libghc-lucid-svg-dev
- libghc-lucid-svg-doc (0.7.0.0-6)
- DSL for SVG using lucid for HTML; documentation
- libghc-lucid-svg-prof (0.7.0.0-6+b2)
- DSL for SVG using lucid for HTML; profiling libraries
- libghc-lucid-svg-prof-0.7.0.0-15485
- virtueel pakket geboden door libghc-lucid-svg-prof
- libghc-lucid-svg-prof-0.7.0.0-5224a
- virtueel pakket geboden door libghc-lucid-svg-prof
- libghc-lucid-svg-prof-0.7.0.0-5e8f6
- virtueel pakket geboden door libghc-lucid-svg-prof
- libghc-lucid-svg-prof-0.7.0.0-e8a43
- virtueel pakket geboden door libghc-lucid-svg-prof
- libghc-lzma-dev (0.0.0.3-3+b1)
- LZMA/XZ compression and decompression
- libghc-lzma-dev-0.0.0.3-1358d
- virtueel pakket geboden door libghc-lzma-dev
- libghc-lzma-dev-0.0.0.3-6f5a8
- virtueel pakket geboden door libghc-lzma-dev
- libghc-lzma-dev-0.0.0.3-70f61
- virtueel pakket geboden door libghc-lzma-dev
- libghc-lzma-dev-0.0.0.3-bb306
- virtueel pakket geboden door libghc-lzma-dev
- libghc-lzma-doc (0.0.0.3-3)
- LZMA/XZ compression and decompression; documentation
- libghc-lzma-prof (0.0.0.3-3+b1)
- LZMA/XZ compression and decompression; profiling libraries
- libghc-lzma-prof-0.0.0.3-1358d
- virtueel pakket geboden door libghc-lzma-prof
- libghc-lzma-prof-0.0.0.3-6f5a8
- virtueel pakket geboden door libghc-lzma-prof
- libghc-lzma-prof-0.0.0.3-70f61
- virtueel pakket geboden door libghc-lzma-prof
- libghc-lzma-prof-0.0.0.3-bb306
- virtueel pakket geboden door libghc-lzma-prof
- libghc-magic-dev (1.1-8+b2)
- File type determination library for Haskell
- libghc-magic-dev-1.1-02cf8
- virtueel pakket geboden door libghc-magic-dev
- libghc-magic-dev-1.1-5c34a
- virtueel pakket geboden door libghc-magic-dev
- libghc-magic-dev-1.1-ceb63
- virtueel pakket geboden door libghc-magic-dev
- libghc-magic-dev-1.1-d0818
- virtueel pakket geboden door libghc-magic-dev
- libghc-magic-doc (1.1-8)
- File type determination library for Haskell; documentation
- libghc-magic-prof (1.1-8+b2)
- File type determination library for Haskell; profiling libraries
- libghc-magic-prof-1.1-02cf8
- virtueel pakket geboden door libghc-magic-prof
- libghc-magic-prof-1.1-5c34a
- virtueel pakket geboden door libghc-magic-prof
- libghc-magic-prof-1.1-ceb63
- virtueel pakket geboden door libghc-magic-prof
- libghc-magic-prof-1.1-d0818
- virtueel pakket geboden door libghc-magic-prof
- libghc-map-syntax-dev (0.3-3+b2)
- syntax sugar for defining maps
- libghc-map-syntax-dev-0.3-01d65
- virtueel pakket geboden door libghc-map-syntax-dev
- libghc-map-syntax-dev-0.3-3aa44
- virtueel pakket geboden door libghc-map-syntax-dev
- libghc-map-syntax-dev-0.3-42e7d
- virtueel pakket geboden door libghc-map-syntax-dev
- libghc-map-syntax-dev-0.3-75c78
- virtueel pakket geboden door libghc-map-syntax-dev
- libghc-map-syntax-doc (0.3-3)
- syntax sugar for defining maps; documentation
- libghc-map-syntax-prof (0.3-3+b2)
- syntax sugar for defining maps; profiling libraries
- libghc-map-syntax-prof-0.3-01d65
- virtueel pakket geboden door libghc-map-syntax-prof
- libghc-map-syntax-prof-0.3-3aa44
- virtueel pakket geboden door libghc-map-syntax-prof
- libghc-map-syntax-prof-0.3-42e7d
- virtueel pakket geboden door libghc-map-syntax-prof
- libghc-map-syntax-prof-0.3-75c78
- virtueel pakket geboden door libghc-map-syntax-prof
- libghc-markdown-dev (0.1.17.1-3+b1)
- convert Markdown to HTML, with XSS protection
- libghc-markdown-dev-0.1.17.1-1cab5
- virtueel pakket geboden door libghc-markdown-dev
- libghc-markdown-dev-0.1.17.1-40b0b
- virtueel pakket geboden door libghc-markdown-dev
- libghc-markdown-dev-0.1.17.1-46f9b
- virtueel pakket geboden door libghc-markdown-dev
- libghc-markdown-dev-0.1.17.1-a653a
- virtueel pakket geboden door libghc-markdown-dev
- libghc-markdown-doc (0.1.17.1-3)
- convert Markdown to HTML, with XSS protection; documentation
- libghc-markdown-prof (0.1.17.1-3+b1)
- convert Markdown to HTML, with XSS protection; profiling libraries
- libghc-markdown-prof-0.1.17.1-1cab5
- virtueel pakket geboden door libghc-markdown-prof
- libghc-markdown-prof-0.1.17.1-40b0b
- virtueel pakket geboden door libghc-markdown-prof
- libghc-markdown-prof-0.1.17.1-46f9b
- virtueel pakket geboden door libghc-markdown-prof
- libghc-markdown-prof-0.1.17.1-a653a
- virtueel pakket geboden door libghc-markdown-prof
- libghc-math-functions-dev (0.2.1.0-3+b3 [amd64, arm64], 0.2.1.0-3+b2 [armhf], 0.2.1.0-3+b1 [i386])
- Special functions and Chebyshev polynomials
- libghc-math-functions-dev-0.2.1.0-1fe43
- virtueel pakket geboden door libghc-math-functions-dev
- libghc-math-functions-dev-0.2.1.0-9a1ce
- virtueel pakket geboden door libghc-math-functions-dev
- libghc-math-functions-dev-0.2.1.0-af519
- virtueel pakket geboden door libghc-math-functions-dev
- libghc-math-functions-dev-0.2.1.0-f7926
- virtueel pakket geboden door libghc-math-functions-dev
- libghc-math-functions-doc (0.2.1.0-3)
- Special functions and Chebyshev polynomials; documentation
- libghc-math-functions-prof (0.2.1.0-3+b3 [amd64, arm64], 0.2.1.0-3+b2 [armhf], 0.2.1.0-3+b1 [i386])
- Special functions and Chebyshev polynomials; profiling libraries
- libghc-math-functions-prof-0.2.1.0-1fe43
- virtueel pakket geboden door libghc-math-functions-prof
- libghc-math-functions-prof-0.2.1.0-9a1ce
- virtueel pakket geboden door libghc-math-functions-prof
- libghc-math-functions-prof-0.2.1.0-af519
- virtueel pakket geboden door libghc-math-functions-prof
- libghc-math-functions-prof-0.2.1.0-f7926
- virtueel pakket geboden door libghc-math-functions-prof
- libghc-maths-dev (0.4.8-6+b2)
- Combinatorics, group theory, (non-)commutative algebra
- libghc-maths-doc (0.4.8-6)
- Combinatorics, group theory, (non-)commutative algebra; documentation
- libghc-maths-prof (0.4.8-6+b2)
- Combinatorics, group theory, (non-)commutative algebra; profiling libraries
- libghc-mbox-dev (0.3.4-4+b2)
- mbox reader/writer library
- libghc-mbox-dev-0.3.4-0c27d
- virtueel pakket geboden door libghc-mbox-dev
- libghc-mbox-dev-0.3.4-1197d
- virtueel pakket geboden door libghc-mbox-dev
- libghc-mbox-dev-0.3.4-23fc8
- virtueel pakket geboden door libghc-mbox-dev
- libghc-mbox-dev-0.3.4-3c0ed
- virtueel pakket geboden door libghc-mbox-dev
- libghc-mbox-doc (0.3.4-4)
- mbox reader/writer library; documentation
- libghc-mbox-prof (0.3.4-4+b2)
- mbox reader/writer library; profiling libraries
- libghc-mbox-prof-0.3.4-0c27d
- virtueel pakket geboden door libghc-mbox-prof
- libghc-mbox-prof-0.3.4-1197d
- virtueel pakket geboden door libghc-mbox-prof
- libghc-mbox-prof-0.3.4-23fc8
- virtueel pakket geboden door libghc-mbox-prof
- libghc-mbox-prof-0.3.4-3c0ed
- virtueel pakket geboden door libghc-mbox-prof
- libghc-megaparsec-dev (6.5.0-3+b1)
- monadic parser combinators
- libghc-megaparsec-dev-6.5.0-15b0c
- virtueel pakket geboden door libghc-megaparsec-dev
- libghc-megaparsec-dev-6.5.0-59562
- virtueel pakket geboden door libghc-megaparsec-dev
- libghc-megaparsec-dev-6.5.0-7c788
- virtueel pakket geboden door libghc-megaparsec-dev
- libghc-megaparsec-dev-6.5.0-94fb6
- virtueel pakket geboden door libghc-megaparsec-dev
- libghc-megaparsec-doc (6.5.0-3)
- monadic parser combinators; documentation
- libghc-megaparsec-prof (6.5.0-3+b1)
- monadic parser combinators; profiling libraries
- libghc-megaparsec-prof-6.5.0-15b0c
- virtueel pakket geboden door libghc-megaparsec-prof
- libghc-megaparsec-prof-6.5.0-59562
- virtueel pakket geboden door libghc-megaparsec-prof
- libghc-megaparsec-prof-6.5.0-7c788
- virtueel pakket geboden door libghc-megaparsec-prof
- libghc-megaparsec-prof-6.5.0-94fb6
- virtueel pakket geboden door libghc-megaparsec-prof
- libghc-memoize-dev (0.8.1-5+b2)
- Memoization for haskell functions
- libghc-memoize-dev-0.8.1-51dda
- virtueel pakket geboden door libghc-memoize-dev
- libghc-memoize-dev-0.8.1-5814a
- virtueel pakket geboden door libghc-memoize-dev
- libghc-memoize-doc (0.8.1-5)
- Memoization for haskell functions; documentation
- libghc-memoize-prof (0.8.1-5+b2)
- Memoization for haskell functions; profiling libraries
- libghc-memoize-prof-0.8.1-51dda
- virtueel pakket geboden door libghc-memoize-prof
- libghc-memoize-prof-0.8.1-5814a
- virtueel pakket geboden door libghc-memoize-prof
- libghc-memory-dev (0.14.16-3+b2)
- memory and related abstraction stuff
- libghc-memory-dev-0.14.16-5d847
- virtueel pakket geboden door libghc-memory-dev
- libghc-memory-dev-0.14.16-a1518
- virtueel pakket geboden door libghc-memory-dev
- libghc-memory-dev-0.14.16-ae0c3
- virtueel pakket geboden door libghc-memory-dev
- libghc-memory-dev-0.14.16-bae3e
- virtueel pakket geboden door libghc-memory-dev
- libghc-memory-doc (0.14.16-3)
- memory and related abstraction stuff; documentation
- libghc-memory-prof (0.14.16-3+b2)
- memory and related abstraction stuff; profiling libraries
- libghc-memory-prof-0.14.16-5d847
- virtueel pakket geboden door libghc-memory-prof
- libghc-memory-prof-0.14.16-a1518
- virtueel pakket geboden door libghc-memory-prof
- libghc-memory-prof-0.14.16-ae0c3
- virtueel pakket geboden door libghc-memory-prof
- libghc-memory-prof-0.14.16-bae3e
- virtueel pakket geboden door libghc-memory-prof
- libghc-memotrie-dev (0.6.9-2+b2)
- trie-based memo functions
- libghc-memotrie-dev-0.6.9-7eb7f
- virtueel pakket geboden door libghc-memotrie-dev
- libghc-memotrie-dev-0.6.9-832b5
- virtueel pakket geboden door libghc-memotrie-dev
- libghc-memotrie-dev-0.6.9-aae50
- virtueel pakket geboden door libghc-memotrie-dev
- libghc-memotrie-doc (0.6.9-2)
- trie-based memo functions; documentation
- libghc-memotrie-prof (0.6.9-2+b2)
- trie-based memo functions; profiling libraries
- libghc-memotrie-prof-0.6.9-7eb7f
- virtueel pakket geboden door libghc-memotrie-prof
- libghc-memotrie-prof-0.6.9-832b5
- virtueel pakket geboden door libghc-memotrie-prof
- libghc-memotrie-prof-0.6.9-aae50
- virtueel pakket geboden door libghc-memotrie-prof
- libghc-mersenne-random-pure64-dev (0.2.2.0-3+b2)
- Fast pure pseudorandom number generator
- libghc-mersenne-random-pure64-dev-0.2.2.0-03f2d
- virtueel pakket geboden door libghc-mersenne-random-pure64-dev
- libghc-mersenne-random-pure64-dev-0.2.2.0-57de7
- virtueel pakket geboden door libghc-mersenne-random-pure64-dev
- libghc-mersenne-random-pure64-dev-0.2.2.0-7db65
- virtueel pakket geboden door libghc-mersenne-random-pure64-dev
- libghc-mersenne-random-pure64-dev-0.2.2.0-95ce2
- virtueel pakket geboden door libghc-mersenne-random-pure64-dev
- libghc-mersenne-random-pure64-doc (0.2.2.0-3)
- Fast pure pseudorandom number generator; documentation
- libghc-mersenne-random-pure64-prof (0.2.2.0-3+b2)
- Fast pure pseudorandom number generator; profiling libraries
- libghc-mersenne-random-pure64-prof-0.2.2.0-03f2d
- virtueel pakket geboden door libghc-mersenne-random-pure64-prof
- libghc-mersenne-random-pure64-prof-0.2.2.0-57de7
- virtueel pakket geboden door libghc-mersenne-random-pure64-prof
- libghc-mersenne-random-pure64-prof-0.2.2.0-7db65
- virtueel pakket geboden door libghc-mersenne-random-pure64-prof
- libghc-mersenne-random-pure64-prof-0.2.2.0-95ce2
- virtueel pakket geboden door libghc-mersenne-random-pure64-prof
- libghc-microlens-dev (0.4.9.1-1+b2)
- a tiny part of the lens library with no dependencies
- libghc-microlens-dev-0.4.9.1-12d68
- virtueel pakket geboden door libghc-microlens-dev
- libghc-microlens-dev-0.4.9.1-1f7eb
- virtueel pakket geboden door libghc-microlens-dev
- libghc-microlens-dev-0.4.9.1-5d747
- virtueel pakket geboden door libghc-microlens-dev
- libghc-microlens-doc (0.4.9.1-1)
- a tiny part of the lens library with no dependencies; documentation
- libghc-microlens-ghc-dev (0.4.9.1-1+b2)
- microlens + array, bytestring, containers, transformers
- libghc-microlens-ghc-dev-0.4.9.1-0e848
- virtueel pakket geboden door libghc-microlens-ghc-dev
- libghc-microlens-ghc-dev-0.4.9.1-5f904
- virtueel pakket geboden door libghc-microlens-ghc-dev
- libghc-microlens-ghc-dev-0.4.9.1-c51e4
- virtueel pakket geboden door libghc-microlens-ghc-dev
- libghc-microlens-ghc-dev-0.4.9.1-d4d57
- virtueel pakket geboden door libghc-microlens-ghc-dev
- libghc-microlens-ghc-doc (0.4.9.1-1)
- microlens + array, bytestring, containers, transformers; documentation
- libghc-microlens-ghc-prof (0.4.9.1-1+b2)
- microlens + array, bytestring, containers, transformers; profiling libraries
- libghc-microlens-ghc-prof-0.4.9.1-0e848
- virtueel pakket geboden door libghc-microlens-ghc-prof
- libghc-microlens-ghc-prof-0.4.9.1-5f904
- virtueel pakket geboden door libghc-microlens-ghc-prof
- libghc-microlens-ghc-prof-0.4.9.1-c51e4
- virtueel pakket geboden door libghc-microlens-ghc-prof
- libghc-microlens-ghc-prof-0.4.9.1-d4d57
- virtueel pakket geboden door libghc-microlens-ghc-prof
- libghc-microlens-mtl-dev (0.1.11.1-3+b2 [amd64, arm64, armhf], 0.1.11.1-3+b1 [i386])
- microlens support for Reader/Writer/State from mtl
- libghc-microlens-mtl-dev-0.1.11.1-6e6a7
- virtueel pakket geboden door libghc-microlens-mtl-dev
- libghc-microlens-mtl-dev-0.1.11.1-dab18
- virtueel pakket geboden door libghc-microlens-mtl-dev
- libghc-microlens-mtl-dev-0.1.11.1-e9568
- virtueel pakket geboden door libghc-microlens-mtl-dev
- libghc-microlens-mtl-doc (0.1.11.1-3)
- microlens support for Reader/Writer/State from mtl; documentation
- libghc-microlens-mtl-prof (0.1.11.1-3+b2 [amd64, arm64, armhf], 0.1.11.1-3+b1 [i386])
- microlens support for Reader/Writer/State from mtl; profiling libraries
- libghc-microlens-mtl-prof-0.1.11.1-6e6a7
- virtueel pakket geboden door libghc-microlens-mtl-prof
- libghc-microlens-mtl-prof-0.1.11.1-dab18
- virtueel pakket geboden door libghc-microlens-mtl-prof
- libghc-microlens-mtl-prof-0.1.11.1-e9568
- virtueel pakket geboden door libghc-microlens-mtl-prof
- libghc-microlens-platform-dev (0.3.10-2+b3 [amd64, arm64], 0.3.10-2+b2 [armhf], 0.3.10-2+b1 [i386])
- feature-complete microlens
- libghc-microlens-platform-dev-0.3.10-6d405
- virtueel pakket geboden door libghc-microlens-platform-dev
- libghc-microlens-platform-dev-0.3.10-ad5cc
- virtueel pakket geboden door libghc-microlens-platform-dev
- libghc-microlens-platform-dev-0.3.10-c6b16
- virtueel pakket geboden door libghc-microlens-platform-dev
- libghc-microlens-platform-dev-0.3.10-e96e6
- virtueel pakket geboden door libghc-microlens-platform-dev
- libghc-microlens-platform-doc (0.3.10-2)
- feature-complete microlens; documentation
- libghc-microlens-platform-prof (0.3.10-2+b3 [amd64, arm64], 0.3.10-2+b2 [armhf], 0.3.10-2+b1 [i386])
- feature-complete microlens; profiling libraries
- libghc-microlens-platform-prof-0.3.10-6d405
- virtueel pakket geboden door libghc-microlens-platform-prof
- libghc-microlens-platform-prof-0.3.10-ad5cc
- virtueel pakket geboden door libghc-microlens-platform-prof
- libghc-microlens-platform-prof-0.3.10-c6b16
- virtueel pakket geboden door libghc-microlens-platform-prof
- libghc-microlens-platform-prof-0.3.10-e96e6
- virtueel pakket geboden door libghc-microlens-platform-prof
- libghc-microlens-prof (0.4.9.1-1+b2)
- a tiny part of the lens library with no dependencies; profiling libraries
- libghc-microlens-prof-0.4.9.1-12d68
- virtueel pakket geboden door libghc-microlens-prof
- libghc-microlens-prof-0.4.9.1-1f7eb
- virtueel pakket geboden door libghc-microlens-prof
- libghc-microlens-prof-0.4.9.1-5d747
- virtueel pakket geboden door libghc-microlens-prof
- libghc-microlens-th-dev (0.4.2.2-1+b2)
- Automatic generation of record lenses for microlens
- libghc-microlens-th-dev-0.4.2.2-1de48
- virtueel pakket geboden door libghc-microlens-th-dev
- libghc-microlens-th-dev-0.4.2.2-4b669
- virtueel pakket geboden door libghc-microlens-th-dev
- libghc-microlens-th-dev-0.4.2.2-d2c9c
- virtueel pakket geboden door libghc-microlens-th-dev
- libghc-microlens-th-dev-0.4.2.2-d5a68
- virtueel pakket geboden door libghc-microlens-th-dev
- libghc-microlens-th-doc (0.4.2.2-1)
- Automatic generation of record lenses for microlens; documentation
- libghc-microlens-th-prof (0.4.2.2-1+b2)
- Automatic generation of record lenses for microlens; profiling libraries
- libghc-microlens-th-prof-0.4.2.2-1de48
- virtueel pakket geboden door libghc-microlens-th-prof
- libghc-microlens-th-prof-0.4.2.2-4b669
- virtueel pakket geboden door libghc-microlens-th-prof
- libghc-microlens-th-prof-0.4.2.2-d2c9c
- virtueel pakket geboden door libghc-microlens-th-prof
- libghc-microlens-th-prof-0.4.2.2-d5a68
- virtueel pakket geboden door libghc-microlens-th-prof
- libghc-microstache-dev (1.0.1.1-5+b1)
- alternative Mustache templates
- libghc-microstache-dev-1.0.1.1-060f8
- virtueel pakket geboden door libghc-microstache-dev
- libghc-microstache-dev-1.0.1.1-67efa
- virtueel pakket geboden door libghc-microstache-dev
- libghc-microstache-dev-1.0.1.1-7dedb
- virtueel pakket geboden door libghc-microstache-dev
- libghc-microstache-dev-1.0.1.1-f6729
- virtueel pakket geboden door libghc-microstache-dev
- libghc-microstache-doc (1.0.1.1-5)
- alternative Mustache templates; documentation
- libghc-microstache-prof (1.0.1.1-5+b1)
- alternative Mustache templates; profiling libraries
- libghc-microstache-prof-1.0.1.1-060f8
- virtueel pakket geboden door libghc-microstache-prof
- libghc-microstache-prof-1.0.1.1-67efa
- virtueel pakket geboden door libghc-microstache-prof
- libghc-microstache-prof-1.0.1.1-7dedb
- virtueel pakket geboden door libghc-microstache-prof
- libghc-microstache-prof-1.0.1.1-f6729
- virtueel pakket geboden door libghc-microstache-prof
- libghc-mime-dev (0.4.0.2-7+b2)
- working with MIME types
- libghc-mime-dev-0.4.0.2-0d9b7
- virtueel pakket geboden door libghc-mime-dev
- libghc-mime-dev-0.4.0.2-63fe5
- virtueel pakket geboden door libghc-mime-dev
- libghc-mime-dev-0.4.0.2-6651a
- virtueel pakket geboden door libghc-mime-dev
- libghc-mime-dev-0.4.0.2-a59e2
- virtueel pakket geboden door libghc-mime-dev
- libghc-mime-doc (0.4.0.2-7)
- working with MIME types; documentation
- libghc-mime-mail-dev (0.4.14-4+b2)
- Library to compose MIME email messages
- libghc-mime-mail-dev-0.4.14-7739c
- virtueel pakket geboden door libghc-mime-mail-dev
- libghc-mime-mail-dev-0.4.14-9aedc
- virtueel pakket geboden door libghc-mime-mail-dev
- libghc-mime-mail-dev-0.4.14-dbf45
- virtueel pakket geboden door libghc-mime-mail-dev
- libghc-mime-mail-dev-0.4.14-e6657
- virtueel pakket geboden door libghc-mime-mail-dev
- libghc-mime-mail-doc (0.4.14-4)
- Library to compose MIME email messages; documentation
- libghc-mime-mail-prof (0.4.14-4+b2)
- Library to compose MIME email messages; profiling libraries
- libghc-mime-mail-prof-0.4.14-7739c
- virtueel pakket geboden door libghc-mime-mail-prof
- libghc-mime-mail-prof-0.4.14-9aedc
- virtueel pakket geboden door libghc-mime-mail-prof
- libghc-mime-mail-prof-0.4.14-dbf45
- virtueel pakket geboden door libghc-mime-mail-prof
- libghc-mime-mail-prof-0.4.14-e6657
- virtueel pakket geboden door libghc-mime-mail-prof
- libghc-mime-mail-ses-dev (0.4.1-3+b1)
- send mime-mail messages via Amazon SES
- libghc-mime-mail-ses-dev-0.4.1-3a962
- virtueel pakket geboden door libghc-mime-mail-ses-dev
- libghc-mime-mail-ses-dev-0.4.1-779b3
- virtueel pakket geboden door libghc-mime-mail-ses-dev
- libghc-mime-mail-ses-dev-0.4.1-91686
- virtueel pakket geboden door libghc-mime-mail-ses-dev
- libghc-mime-mail-ses-dev-0.4.1-aeb00
- virtueel pakket geboden door libghc-mime-mail-ses-dev
- libghc-mime-mail-ses-doc (0.4.1-3)
- send mime-mail messages via Amazon SES; documentation
- libghc-mime-mail-ses-prof (0.4.1-3+b1)
- send mime-mail messages via Amazon SES; profiling libraries
- libghc-mime-mail-ses-prof-0.4.1-3a962
- virtueel pakket geboden door libghc-mime-mail-ses-prof
- libghc-mime-mail-ses-prof-0.4.1-779b3
- virtueel pakket geboden door libghc-mime-mail-ses-prof
- libghc-mime-mail-ses-prof-0.4.1-91686
- virtueel pakket geboden door libghc-mime-mail-ses-prof
- libghc-mime-mail-ses-prof-0.4.1-aeb00
- virtueel pakket geboden door libghc-mime-mail-ses-prof
- libghc-mime-prof (0.4.0.2-7+b2)
- working with MIME types; profiling libraries
- libghc-mime-prof-0.4.0.2-0d9b7
- virtueel pakket geboden door libghc-mime-prof
- libghc-mime-prof-0.4.0.2-63fe5
- virtueel pakket geboden door libghc-mime-prof
- libghc-mime-prof-0.4.0.2-6651a
- virtueel pakket geboden door libghc-mime-prof
- libghc-mime-prof-0.4.0.2-a59e2
- virtueel pakket geboden door libghc-mime-prof
- libghc-mime-types-dev (0.1.0.8-3+b2)
- mime-type handling types and functions
- libghc-mime-types-dev-0.1.0.8-2122c
- virtueel pakket geboden door libghc-mime-types-dev
- libghc-mime-types-dev-0.1.0.8-2351f
- virtueel pakket geboden door libghc-mime-types-dev
- libghc-mime-types-dev-0.1.0.8-b791c
- virtueel pakket geboden door libghc-mime-types-dev
- libghc-mime-types-dev-0.1.0.8-cda43
- virtueel pakket geboden door libghc-mime-types-dev
- libghc-mime-types-doc (0.1.0.8-3)
- mime-type handling types and functions; documentation; documentation
- libghc-mime-types-prof (0.1.0.8-3+b2)
- mime-type handling types and functions; profiling libraries; profiling libraries
- libghc-mime-types-prof-0.1.0.8-2122c
- virtueel pakket geboden door libghc-mime-types-prof
- libghc-mime-types-prof-0.1.0.8-2351f
- virtueel pakket geboden door libghc-mime-types-prof
- libghc-mime-types-prof-0.1.0.8-b791c
- virtueel pakket geboden door libghc-mime-types-prof
- libghc-mime-types-prof-0.1.0.8-cda43
- virtueel pakket geboden door libghc-mime-types-prof
- libghc-minimorph-dev (0.1.6.1-4+b2)
- English-spelling functions with an emphasis on simplicity
- libghc-minimorph-dev-0.1.6.1-7f4d7
- virtueel pakket geboden door libghc-minimorph-dev
- libghc-minimorph-dev-0.1.6.1-b0e96
- virtueel pakket geboden door libghc-minimorph-dev
- libghc-minimorph-dev-0.1.6.1-b3079
- virtueel pakket geboden door libghc-minimorph-dev
- libghc-minimorph-dev-0.1.6.1-f8726
- virtueel pakket geboden door libghc-minimorph-dev
- libghc-minimorph-doc (0.1.6.1-4)
- English-spelling functions with an emphasis on simplicity; documentation
- libghc-minimorph-prof (0.1.6.1-4+b2)
- English-spelling functions with an emphasis on simplicity; profiling libraries
- libghc-minimorph-prof-0.1.6.1-7f4d7
- virtueel pakket geboden door libghc-minimorph-prof
- libghc-minimorph-prof-0.1.6.1-b0e96
- virtueel pakket geboden door libghc-minimorph-prof
- libghc-minimorph-prof-0.1.6.1-b3079
- virtueel pakket geboden door libghc-minimorph-prof
- libghc-minimorph-prof-0.1.6.1-f8726
- virtueel pakket geboden door libghc-minimorph-prof
- libghc-miniutter-dev (0.4.7.0-3+b2)
- simple English clause creation from arbitrary words
- libghc-miniutter-dev-0.4.7.0-2d0e6
- virtueel pakket geboden door libghc-miniutter-dev
- libghc-miniutter-dev-0.4.7.0-97b10
- virtueel pakket geboden door libghc-miniutter-dev
- libghc-miniutter-dev-0.4.7.0-ac31c
- virtueel pakket geboden door libghc-miniutter-dev
- libghc-miniutter-dev-0.4.7.0-de6e8
- virtueel pakket geboden door libghc-miniutter-dev
- libghc-miniutter-doc (0.4.7.0-3)
- simple English clause creation from arbitrary words; documentation
- libghc-miniutter-prof (0.4.7.0-3+b2)
- simple English clause creation from arbitrary words; profiling libraries
- libghc-miniutter-prof-0.4.7.0-2d0e6
- virtueel pakket geboden door libghc-miniutter-prof
- libghc-miniutter-prof-0.4.7.0-97b10
- virtueel pakket geboden door libghc-miniutter-prof
- libghc-miniutter-prof-0.4.7.0-ac31c
- virtueel pakket geboden door libghc-miniutter-prof
- libghc-miniutter-prof-0.4.7.0-de6e8
- virtueel pakket geboden door libghc-miniutter-prof
- libghc-misfortune-dev (0.1.1.2-9+b1)
- fortune-mod clone
- libghc-misfortune-dev-0.1.1.2-200fa
- virtueel pakket geboden door libghc-misfortune-dev
- libghc-misfortune-dev-0.1.1.2-613ac
- virtueel pakket geboden door libghc-misfortune-dev
- libghc-misfortune-dev-0.1.1.2-91fb7
- virtueel pakket geboden door libghc-misfortune-dev
- libghc-misfortune-dev-0.1.1.2-ed673
- virtueel pakket geboden door libghc-misfortune-dev
- libghc-misfortune-doc (0.1.1.2-9)
- fortune-mod clone; documentation
- libghc-misfortune-prof (0.1.1.2-9+b1)
- fortune-mod clone; profiling libraries
- libghc-misfortune-prof-0.1.1.2-200fa
- virtueel pakket geboden door libghc-misfortune-prof
- libghc-misfortune-prof-0.1.1.2-613ac
- virtueel pakket geboden door libghc-misfortune-prof
- libghc-misfortune-prof-0.1.1.2-91fb7
- virtueel pakket geboden door libghc-misfortune-prof
- libghc-misfortune-prof-0.1.1.2-ed673
- virtueel pakket geboden door libghc-misfortune-prof
- libghc-missingh-dev (1.4.0.1-6+b2)
- Library of utility functions for Haskell
- libghc-missingh-dev-1.4.0.1-4c186
- virtueel pakket geboden door libghc-missingh-dev
- libghc-missingh-dev-1.4.0.1-63c22
- virtueel pakket geboden door libghc-missingh-dev
- libghc-missingh-dev-1.4.0.1-e47c5
- virtueel pakket geboden door libghc-missingh-dev
- libghc-missingh-dev-1.4.0.1-f2c8b
- virtueel pakket geboden door libghc-missingh-dev
- libghc-missingh-doc (1.4.0.1-6)
- Documentation for Haskell utility library
- libghc-missingh-prof (1.4.0.1-6+b2)
- Library of utility functions for Haskell, profiling libraries
- libghc-missingh-prof-1.4.0.1-4c186
- virtueel pakket geboden door libghc-missingh-prof
- libghc-missingh-prof-1.4.0.1-63c22
- virtueel pakket geboden door libghc-missingh-prof
- libghc-missingh-prof-1.4.0.1-e47c5
- virtueel pakket geboden door libghc-missingh-prof
- libghc-missingh-prof-1.4.0.1-f2c8b
- virtueel pakket geboden door libghc-missingh-prof
- libghc-mmap-dev (0.5.9-7+b2)
- Memory mapped files for POSIX and Windows
- libghc-mmap-dev-0.5.9-02c4f
- virtueel pakket geboden door libghc-mmap-dev
- libghc-mmap-dev-0.5.9-050db
- virtueel pakket geboden door libghc-mmap-dev
- libghc-mmap-dev-0.5.9-4ae48
- virtueel pakket geboden door libghc-mmap-dev
- libghc-mmap-dev-0.5.9-c9721
- virtueel pakket geboden door libghc-mmap-dev
- libghc-mmap-doc (0.5.9-7)
- Memory mapped files for POSIX and Windows; documentation
- libghc-mmap-prof (0.5.9-7+b2)
- Memory mapped files for POSIX and Windows; profiling libraries
- libghc-mmap-prof-0.5.9-02c4f
- virtueel pakket geboden door libghc-mmap-prof
- libghc-mmap-prof-0.5.9-050db
- virtueel pakket geboden door libghc-mmap-prof
- libghc-mmap-prof-0.5.9-4ae48
- virtueel pakket geboden door libghc-mmap-prof
- libghc-mmap-prof-0.5.9-c9721
- virtueel pakket geboden door libghc-mmap-prof
- libghc-mmorph-dev (1.1.2-3+b2)
- monad morphisms
- libghc-mmorph-dev-1.1.2-339b8
- virtueel pakket geboden door libghc-mmorph-dev
- libghc-mmorph-dev-1.1.2-a1da3
- virtueel pakket geboden door libghc-mmorph-dev
- libghc-mmorph-dev-1.1.2-c6173
- virtueel pakket geboden door libghc-mmorph-dev
- libghc-mmorph-doc (1.1.2-3)
- monad morphisms; documentation
- libghc-mmorph-prof (1.1.2-3+b2)
- monad morphisms; profiling libraries
- libghc-mmorph-prof-1.1.2-339b8
- virtueel pakket geboden door libghc-mmorph-prof
- libghc-mmorph-prof-1.1.2-a1da3
- virtueel pakket geboden door libghc-mmorph-prof
- libghc-mmorph-prof-1.1.2-c6173
- virtueel pakket geboden door libghc-mmorph-prof
- libghc-mockery-dev (0.3.5-3+b2)
- support functions for automated testing
- libghc-mockery-dev-0.3.5-06e0d
- virtueel pakket geboden door libghc-mockery-dev
- libghc-mockery-dev-0.3.5-1f269
- virtueel pakket geboden door libghc-mockery-dev
- libghc-mockery-dev-0.3.5-7f4c3
- virtueel pakket geboden door libghc-mockery-dev
- libghc-mockery-dev-0.3.5-92fe9
- virtueel pakket geboden door libghc-mockery-dev
- libghc-mockery-doc (0.3.5-3)
- support functions for automated testing; documentation
- libghc-mockery-prof (0.3.5-3+b2)
- support functions for automated testing; profiling libraries
- libghc-mockery-prof-0.3.5-06e0d
- virtueel pakket geboden door libghc-mockery-prof
- libghc-mockery-prof-0.3.5-1f269
- virtueel pakket geboden door libghc-mockery-prof
- libghc-mockery-prof-0.3.5-7f4c3
- virtueel pakket geboden door libghc-mockery-prof
- libghc-mockery-prof-0.3.5-92fe9
- virtueel pakket geboden door libghc-mockery-prof
- libghc-monad-control-dev (1.0.2.3-3+b2)
- Monad transformers to lift control operations
- libghc-monad-control-dev-1.0.2.3-2b34d
- virtueel pakket geboden door libghc-monad-control-dev
- libghc-monad-control-dev-1.0.2.3-869d8
- virtueel pakket geboden door libghc-monad-control-dev
- libghc-monad-control-dev-1.0.2.3-e43f1
- virtueel pakket geboden door libghc-monad-control-dev
- libghc-monad-control-doc (1.0.2.3-3)
- Monad transformers to lift control operations; documentation
- libghc-monad-control-prof (1.0.2.3-3+b2)
- Monad transformers to lift control operations; profiling libraries
- libghc-monad-control-prof-1.0.2.3-2b34d
- virtueel pakket geboden door libghc-monad-control-prof
- libghc-monad-control-prof-1.0.2.3-869d8
- virtueel pakket geboden door libghc-monad-control-prof
- libghc-monad-control-prof-1.0.2.3-e43f1
- virtueel pakket geboden door libghc-monad-control-prof
- libghc-monad-gen-dev (0.3.0.1-1+b1)
- simple monad for generating fresh integers - libraries
- libghc-monad-gen-dev-0.3.0.1-641dd
- virtueel pakket geboden door libghc-monad-gen-dev
- libghc-monad-gen-dev-0.3.0.1-f1c88
- virtueel pakket geboden door libghc-monad-gen-dev
- libghc-monad-gen-dev-0.3.0.1-fe7cf
- virtueel pakket geboden door libghc-monad-gen-dev
- libghc-monad-gen-doc (0.3.0.1-1)
- simple monad for generating fresh integers - library documentation
- libghc-monad-gen-prof (0.3.0.1-1+b1)
- simple monad for generating fresh integers - profiling libraries
- libghc-monad-gen-prof-0.3.0.1-641dd
- virtueel pakket geboden door libghc-monad-gen-prof
- libghc-monad-gen-prof-0.3.0.1-f1c88
- virtueel pakket geboden door libghc-monad-gen-prof
- libghc-monad-gen-prof-0.3.0.1-fe7cf
- virtueel pakket geboden door libghc-monad-gen-prof
- libghc-monad-journal-dev (0.8.1-3+b2)
- pure logger typeclass and monad transformer
- libghc-monad-journal-dev-0.8.1-80fcf
- virtueel pakket geboden door libghc-monad-journal-dev
- libghc-monad-journal-dev-0.8.1-c87c7
- virtueel pakket geboden door libghc-monad-journal-dev
- libghc-monad-journal-dev-0.8.1-cc042
- virtueel pakket geboden door libghc-monad-journal-dev
- libghc-monad-journal-doc (0.8.1-3)
- pure logger typeclass and monad transformer; documentation
- libghc-monad-journal-prof (0.8.1-3+b2)
- pure logger typeclass and monad transformer; profiling libraries
- libghc-monad-journal-prof-0.8.1-80fcf
- virtueel pakket geboden door libghc-monad-journal-prof
- libghc-monad-journal-prof-0.8.1-c87c7
- virtueel pakket geboden door libghc-monad-journal-prof
- libghc-monad-journal-prof-0.8.1-cc042
- virtueel pakket geboden door libghc-monad-journal-prof
- libghc-monad-logger-dev (0.3.29-2+b1)
- a class of monads which can log messages
- libghc-monad-logger-dev-0.3.29-00d2e
- virtueel pakket geboden door libghc-monad-logger-dev
- libghc-monad-logger-dev-0.3.29-13390
- virtueel pakket geboden door libghc-monad-logger-dev
- libghc-monad-logger-dev-0.3.29-cfc16
- virtueel pakket geboden door libghc-monad-logger-dev
- libghc-monad-logger-dev-0.3.29-e4d95
- virtueel pakket geboden door libghc-monad-logger-dev
- libghc-monad-logger-doc (0.3.29-2)
- a class of monads which can log messages; documentation
- libghc-monad-logger-prof (0.3.29-2+b1)
- a class of monads which can log messages; profiling libraries
- libghc-monad-logger-prof-0.3.29-00d2e
- virtueel pakket geboden door libghc-monad-logger-prof
- libghc-monad-logger-prof-0.3.29-13390
- virtueel pakket geboden door libghc-monad-logger-prof
- libghc-monad-logger-prof-0.3.29-cfc16
- virtueel pakket geboden door libghc-monad-logger-prof
- libghc-monad-logger-prof-0.3.29-e4d95
- virtueel pakket geboden door libghc-monad-logger-prof
- libghc-monad-loops-dev (0.4.3-7+b1)
- monadic looping
- libghc-monad-loops-dev-0.4.3-b5104
- virtueel pakket geboden door libghc-monad-loops-dev
- libghc-monad-loops-dev-0.4.3-fd6e2
- virtueel pakket geboden door libghc-monad-loops-dev
- libghc-monad-loops-doc (0.4.3-7)
- monadic looping; documentation
- libghc-monad-loops-prof (0.4.3-7+b1)
- monadic looping; profiling libraries
- libghc-monad-loops-prof-0.4.3-b5104
- virtueel pakket geboden door libghc-monad-loops-prof
- libghc-monad-loops-prof-0.4.3-fd6e2
- virtueel pakket geboden door libghc-monad-loops-prof
- libghc-monad-par-dev (0.3.4.8-6+b3 [amd64, arm64], 0.3.4.8-6+b2 [armhf], 0.3.4.8-6+b1 [i386])
- parallel programming based on a monad
- libghc-monad-par-dev-0.3.4.8-1f982
- virtueel pakket geboden door libghc-monad-par-dev
- libghc-monad-par-dev-0.3.4.8-36a85
- virtueel pakket geboden door libghc-monad-par-dev
- libghc-monad-par-dev-0.3.4.8-94aa2
- virtueel pakket geboden door libghc-monad-par-dev
- libghc-monad-par-dev-0.3.4.8-a2474
- virtueel pakket geboden door libghc-monad-par-dev
- libghc-monad-par-doc (0.3.4.8-6)
- parallel programming based on a monad; documentation
- (0.3.3-10+b2)
- Combinators and extra features for Par monads
- virtueel pakket geboden door libghc-monad-par-extras-dev
- virtueel pakket geboden door libghc-monad-par-extras-dev
- virtueel pakket geboden door libghc-monad-par-extras-dev
- virtueel pakket geboden door libghc-monad-par-extras-dev
- (0.3.3-10)
- Combinators and extra features for Par monads; documentation
- (0.3.3-10+b2)
- Combinators and extra features for Par monads; profiling libraries
- virtueel pakket geboden door libghc-monad-par-extras-prof
- virtueel pakket geboden door libghc-monad-par-extras-prof
- virtueel pakket geboden door libghc-monad-par-extras-prof
- virtueel pakket geboden door libghc-monad-par-extras-prof
- libghc-monad-par-prof (0.3.4.8-6+b3 [amd64, arm64], 0.3.4.8-6+b2 [armhf], 0.3.4.8-6+b1 [i386])
- parallel programming based on a monad; profiling libraries
- libghc-monad-par-prof-0.3.4.8-1f982
- virtueel pakket geboden door libghc-monad-par-prof
- libghc-monad-par-prof-0.3.4.8-36a85
- virtueel pakket geboden door libghc-monad-par-prof
- libghc-monad-par-prof-0.3.4.8-94aa2
- virtueel pakket geboden door libghc-monad-par-prof
- libghc-monad-par-prof-0.3.4.8-a2474
- virtueel pakket geboden door libghc-monad-par-prof
- libghc-monad-unlift-dev (0.2.0-5+b1)
- typeclasses for representing monad transformer unlifting
- libghc-monad-unlift-dev-0.2.0-08ff7
- virtueel pakket geboden door libghc-monad-unlift-dev
- libghc-monad-unlift-dev-0.2.0-4479e
- virtueel pakket geboden door libghc-monad-unlift-dev
- libghc-monad-unlift-dev-0.2.0-80128
- virtueel pakket geboden door libghc-monad-unlift-dev
- libghc-monad-unlift-doc (0.2.0-5)
- typeclasses for representing monad transformer unlifting; documentation
- libghc-monad-unlift-prof (0.2.0-5+b1)
- typeclasses for representing monad transformer unlifting; profiling libraries
- libghc-monad-unlift-prof-0.2.0-08ff7
- virtueel pakket geboden door libghc-monad-unlift-prof
- libghc-monad-unlift-prof-0.2.0-4479e
- virtueel pakket geboden door libghc-monad-unlift-prof
- libghc-monad-unlift-prof-0.2.0-80128
- virtueel pakket geboden door libghc-monad-unlift-prof
- libghc-monadcryptorandom-dev (0.7.2.1-3+b2)
- monad for using CryptoRandomGen
- libghc-monadcryptorandom-dev-0.7.2.1-0aa32
- virtueel pakket geboden door libghc-monadcryptorandom-dev
- libghc-monadcryptorandom-dev-0.7.2.1-5a0a9
- virtueel pakket geboden door libghc-monadcryptorandom-dev
- libghc-monadcryptorandom-dev-0.7.2.1-660f9
- virtueel pakket geboden door libghc-monadcryptorandom-dev
- libghc-monadcryptorandom-dev-0.7.2.1-dd227
- virtueel pakket geboden door libghc-monadcryptorandom-dev
- libghc-monadcryptorandom-doc (0.7.2.1-3)
- monad for using CryptoRandomGen; documentation
- libghc-monadcryptorandom-prof (0.7.2.1-3+b2)
- monad for using CryptoRandomGen; profiling libraries
- libghc-monadcryptorandom-prof-0.7.2.1-0aa32
- virtueel pakket geboden door libghc-monadcryptorandom-prof
- libghc-monadcryptorandom-prof-0.7.2.1-5a0a9
- virtueel pakket geboden door libghc-monadcryptorandom-prof
- libghc-monadcryptorandom-prof-0.7.2.1-660f9
- virtueel pakket geboden door libghc-monadcryptorandom-prof
- libghc-monadcryptorandom-prof-0.7.2.1-dd227
- virtueel pakket geboden door libghc-monadcryptorandom-prof
- libghc-monadlib-dev (3.7.3-5+b2)
- collection of monad transformers
- libghc-monadlib-dev-3.7.3-c195b
- virtueel pakket geboden door libghc-monadlib-dev
- libghc-monadlib-dev-3.7.3-f3560
- virtueel pakket geboden door libghc-monadlib-dev
- libghc-monadlib-doc (3.7.3-5)
- collection of monad transformers; documentation
- libghc-monadlib-prof (3.7.3-5+b2)
- collection of monad transformers; profiling libraries
- libghc-monadlib-prof-3.7.3-c195b
- virtueel pakket geboden door libghc-monadlib-prof
- libghc-monadlib-prof-3.7.3-f3560
- virtueel pakket geboden door libghc-monadlib-prof
- libghc-monadlist-dev (0.0.2-3+b2)
- Monadic versions of list functions
- libghc-monadlist-dev-0.0.2-239ae
- virtueel pakket geboden door libghc-monadlist-dev
- libghc-monadlist-dev-0.0.2-3247b
- virtueel pakket geboden door libghc-monadlist-dev
- libghc-monadlist-dev-0.0.2-772e8
- virtueel pakket geboden door libghc-monadlist-dev
- libghc-monadlist-doc (0.0.2-3)
- Monadic versions of list functions; documentation
- libghc-monadlist-prof (0.0.2-3+b2)
- Monadic versions of list functions; profiling libraries
- libghc-monadlist-prof-0.0.2-239ae
- virtueel pakket geboden door libghc-monadlist-prof
- libghc-monadlist-prof-0.0.2-3247b
- virtueel pakket geboden door libghc-monadlist-prof
- libghc-monadlist-prof-0.0.2-772e8
- virtueel pakket geboden door libghc-monadlist-prof
- libghc-monadprompt-dev (1.0.0.5-9+b2)
- MonadPrompt, implementation & examples
- libghc-monadprompt-dev-1.0.0.5-83fd6
- virtueel pakket geboden door libghc-monadprompt-dev
- libghc-monadprompt-dev-1.0.0.5-e9e41
- virtueel pakket geboden door libghc-monadprompt-dev
- libghc-monadprompt-doc (1.0.0.5-9)
- MonadPrompt, implementation & examples; documentation
- libghc-monadprompt-prof (1.0.0.5-9+b2)
- MonadPrompt, implementation & examples; profiling libraries
- libghc-monadprompt-prof-1.0.0.5-83fd6
- virtueel pakket geboden door libghc-monadprompt-prof
- libghc-monadprompt-prof-1.0.0.5-e9e41
- virtueel pakket geboden door libghc-monadprompt-prof
- libghc-monadrandom-dev (0.5.1.1-3+b2)
- random-number generation monad
- libghc-monadrandom-dev-0.5.1.1-39f7d
- virtueel pakket geboden door libghc-monadrandom-dev
- libghc-monadrandom-dev-0.5.1.1-4631d
- virtueel pakket geboden door libghc-monadrandom-dev
- libghc-monadrandom-dev-0.5.1.1-638c5
- virtueel pakket geboden door libghc-monadrandom-dev
- libghc-monadrandom-dev-0.5.1.1-cbf31
- virtueel pakket geboden door libghc-monadrandom-dev
- libghc-monadrandom-doc (0.5.1.1-3)
- random-number generation monad; documentation
- libghc-monadrandom-prof (0.5.1.1-3+b2)
- random-number generation monad; profiling libraries
- libghc-monadrandom-prof-0.5.1.1-39f7d
- virtueel pakket geboden door libghc-monadrandom-prof
- libghc-monadrandom-prof-0.5.1.1-4631d
- virtueel pakket geboden door libghc-monadrandom-prof
- libghc-monadrandom-prof-0.5.1.1-638c5
- virtueel pakket geboden door libghc-monadrandom-prof
- libghc-monadrandom-prof-0.5.1.1-cbf31
- virtueel pakket geboden door libghc-monadrandom-prof
- libghc-monads-tf-dev (0.1.0.3-5+b2)
- monad classes, using type families
- libghc-monads-tf-dev-0.1.0.3-648cc
- virtueel pakket geboden door libghc-monads-tf-dev
- libghc-monads-tf-dev-0.1.0.3-6ca73
- virtueel pakket geboden door libghc-monads-tf-dev
- libghc-monads-tf-dev-0.1.0.3-7ff37
- virtueel pakket geboden door libghc-monads-tf-dev
- libghc-monads-tf-doc (0.1.0.3-5)
- monad classes, using type families; documentation
- libghc-monads-tf-prof (0.1.0.3-5+b2)
- monad classes, using type families; profiling libraries
- libghc-monads-tf-prof-0.1.0.3-648cc
- virtueel pakket geboden door libghc-monads-tf-prof
- libghc-monads-tf-prof-0.1.0.3-6ca73
- virtueel pakket geboden door libghc-monads-tf-prof
- libghc-monads-tf-prof-0.1.0.3-7ff37
- virtueel pakket geboden door libghc-monads-tf-prof
- libghc-mono-traversable-dev (1.0.9.0-2+b2 [amd64, arm64], 1.0.9.0-2+b1 [armhf, i386])
- typeclasses for mapping/folding/traversing monomorphic containers
- libghc-mono-traversable-dev-1.0.9.0-d515a
- virtueel pakket geboden door libghc-mono-traversable-dev
- libghc-mono-traversable-dev-1.0.9.0-e0b71
- virtueel pakket geboden door libghc-mono-traversable-dev
- libghc-mono-traversable-dev-1.0.9.0-ed0de
- virtueel pakket geboden door libghc-mono-traversable-dev
- libghc-mono-traversable-dev-1.0.9.0-f9c5d
- virtueel pakket geboden door libghc-mono-traversable-dev
- libghc-mono-traversable-doc (1.0.9.0-2)
- typeclasses for mapping/folding/traversing monomorphic containers; documentation
- libghc-mono-traversable-instances-dev (0.1.0.0-4+b1)
- extra typeclass instances for mono-traversable
- libghc-mono-traversable-instances-dev-0.1.0.0-0583c
- virtueel pakket geboden door libghc-mono-traversable-instances-dev
- libghc-mono-traversable-instances-dev-0.1.0.0-13a49
- virtueel pakket geboden door libghc-mono-traversable-instances-dev
- libghc-mono-traversable-instances-dev-0.1.0.0-8a689
- virtueel pakket geboden door libghc-mono-traversable-instances-dev
- libghc-mono-traversable-instances-dev-0.1.0.0-dceec
- virtueel pakket geboden door libghc-mono-traversable-instances-dev
- libghc-mono-traversable-instances-doc (0.1.0.0-4)
- extra typeclass instances for mono-traversable; documentation
- libghc-mono-traversable-instances-prof (0.1.0.0-4+b1)
- extra typeclass instances for mono-traversable; profiling libraries
- libghc-mono-traversable-instances-prof-0.1.0.0-0583c
- virtueel pakket geboden door libghc-mono-traversable-instances-prof
- libghc-mono-traversable-instances-prof-0.1.0.0-13a49
- virtueel pakket geboden door libghc-mono-traversable-instances-prof
- libghc-mono-traversable-instances-prof-0.1.0.0-8a689
- virtueel pakket geboden door libghc-mono-traversable-instances-prof
- libghc-mono-traversable-instances-prof-0.1.0.0-dceec
- virtueel pakket geboden door libghc-mono-traversable-instances-prof
- libghc-mono-traversable-prof (1.0.9.0-2+b2 [amd64, arm64], 1.0.9.0-2+b1 [armhf, i386])
- typeclasses for mapping/folding/traversing monomorphic containers; profiling libraries
- libghc-mono-traversable-prof-1.0.9.0-d515a
- virtueel pakket geboden door libghc-mono-traversable-prof
- libghc-mono-traversable-prof-1.0.9.0-e0b71
- virtueel pakket geboden door libghc-mono-traversable-prof
- libghc-mono-traversable-prof-1.0.9.0-ed0de
- virtueel pakket geboden door libghc-mono-traversable-prof
- libghc-mono-traversable-prof-1.0.9.0-f9c5d
- virtueel pakket geboden door libghc-mono-traversable-prof
- (0.5-1+b1)
- Various extra monoid-related definitions and utilities
- virtueel pakket geboden door libghc-monoid-extras-dev
- virtueel pakket geboden door libghc-monoid-extras-dev
- virtueel pakket geboden door libghc-monoid-extras-dev
- virtueel pakket geboden door libghc-monoid-extras-dev
- (0.5-1)
- Various extra monoid-related definitions and utilities; documentation
- (0.5-1+b1)
- Various extra monoid-related definitions and utilities; profiling libraries
- virtueel pakket geboden door libghc-monoid-extras-prof
- virtueel pakket geboden door libghc-monoid-extras-prof
- virtueel pakket geboden door libghc-monoid-extras-prof
- virtueel pakket geboden door libghc-monoid-extras-prof
- libghc-monoid-subclasses-dev (0.4.6-3+b3 [amd64, arm64], 0.4.6-3+b2 [armhf], 0.4.6-3+b1 [i386])
- subclasses of Monoid
- libghc-monoid-subclasses-dev-0.4.6-017de
- virtueel pakket geboden door libghc-monoid-subclasses-dev
- libghc-monoid-subclasses-dev-0.4.6-2f146
- virtueel pakket geboden door libghc-monoid-subclasses-dev
- libghc-monoid-subclasses-dev-0.4.6-37db9
- virtueel pakket geboden door libghc-monoid-subclasses-dev
- libghc-monoid-subclasses-dev-0.4.6-bcfc8
- virtueel pakket geboden door libghc-monoid-subclasses-dev
- libghc-monoid-subclasses-doc (0.4.6-3)
- subclasses of Monoid; documentation
- libghc-monoid-subclasses-prof (0.4.6-3+b3 [amd64, arm64], 0.4.6-3+b2 [armhf], 0.4.6-3+b1 [i386])
- subclasses of Monoid; profiling libraries
- libghc-monoid-subclasses-prof-0.4.6-017de
- virtueel pakket geboden door libghc-monoid-subclasses-prof
- libghc-monoid-subclasses-prof-0.4.6-2f146
- virtueel pakket geboden door libghc-monoid-subclasses-prof
- libghc-monoid-subclasses-prof-0.4.6-37db9
- virtueel pakket geboden door libghc-monoid-subclasses-prof
- libghc-monoid-subclasses-prof-0.4.6-bcfc8
- virtueel pakket geboden door libghc-monoid-subclasses-prof
- libghc-mountpoints-dev (1.0.2-5+b2)
- list mount points
- libghc-mountpoints-dev-1.0.2-44fa6
- virtueel pakket geboden door libghc-mountpoints-dev
- libghc-mountpoints-dev-1.0.2-9f211
- virtueel pakket geboden door libghc-mountpoints-dev
- libghc-mountpoints-dev-1.0.2-d7736
- virtueel pakket geboden door libghc-mountpoints-dev
- libghc-mountpoints-dev-1.0.2-f54ae
- virtueel pakket geboden door libghc-mountpoints-dev
- libghc-mountpoints-doc (1.0.2-5)
- list mount points; documentation
- libghc-mountpoints-prof (1.0.2-5+b2)
- list mount points; profiling libraries
- libghc-mountpoints-prof-1.0.2-44fa6
- virtueel pakket geboden door libghc-mountpoints-prof
- libghc-mountpoints-prof-1.0.2-9f211
- virtueel pakket geboden door libghc-mountpoints-prof
- libghc-mountpoints-prof-1.0.2-d7736
- virtueel pakket geboden door libghc-mountpoints-prof
- libghc-mountpoints-prof-1.0.2-f54ae
- virtueel pakket geboden door libghc-mountpoints-prof
- libghc-mtl-dev (= 2.2.2)
- virtueel pakket geboden door ghc
- libghc-mtl-dev-2.2.2-28a8b
- virtueel pakket geboden door ghc
- libghc-mtl-dev-2.2.2-32af5
- virtueel pakket geboden door ghc
- libghc-mtl-dev-2.2.2-46dc9
- virtueel pakket geboden door ghc
- libghc-mtl-doc (= 2.2.2)
- virtueel pakket geboden door ghc-doc
- libghc-mtl-prof (= 2.2.2)
- virtueel pakket geboden door ghc-prof
- libghc-mtl-prof-2.2.2-28a8b
- virtueel pakket geboden door ghc-prof
- libghc-mtl-prof-2.2.2-32af5
- virtueel pakket geboden door ghc-prof
- libghc-mtl-prof-2.2.2-46dc9
- virtueel pakket geboden door ghc-prof
- libghc-mtlparse-dev (0.1.4.0-9+b2)
- Parser combinators for monad transformation
- libghc-mtlparse-dev-0.1.4.0-2e12f
- virtueel pakket geboden door libghc-mtlparse-dev
- libghc-mtlparse-dev-0.1.4.0-60c50
- virtueel pakket geboden door libghc-mtlparse-dev
- libghc-mtlparse-dev-0.1.4.0-aa62c
- virtueel pakket geboden door libghc-mtlparse-dev
- libghc-mtlparse-doc (0.1.4.0-9)
- Parser combinators for monad transformation; documentation
- libghc-mtlparse-prof (0.1.4.0-9+b2)
- Parser combinators for monad transformation; profiling libraries
- libghc-mtlparse-prof-0.1.4.0-2e12f
- virtueel pakket geboden door libghc-mtlparse-prof
- libghc-mtlparse-prof-0.1.4.0-60c50
- virtueel pakket geboden door libghc-mtlparse-prof
- libghc-mtlparse-prof-0.1.4.0-aa62c
- virtueel pakket geboden door libghc-mtlparse-prof
- libghc-mueval-dev (0.9.3-4+b1)
- safely evaluate pure Haskell expressions
- libghc-mueval-dev-0.9.3-13835
- virtueel pakket geboden door libghc-mueval-dev
- libghc-mueval-dev-0.9.3-21214
- virtueel pakket geboden door libghc-mueval-dev
- libghc-mueval-dev-0.9.3-4e7a6
- virtueel pakket geboden door libghc-mueval-dev
- libghc-mueval-dev-0.9.3-e48c9
- virtueel pakket geboden door libghc-mueval-dev
- libghc-mueval-doc (0.9.3-4)
- safely evaluate pure Haskell expressions; documentation
- libghc-mueval-prof (0.9.3-4+b1)
- safely evaluate pure Haskell expressions; profiling libraries
- libghc-mueval-prof-0.9.3-13835
- virtueel pakket geboden door libghc-mueval-prof
- libghc-mueval-prof-0.9.3-21214
- virtueel pakket geboden door libghc-mueval-prof
- libghc-mueval-prof-0.9.3-4e7a6
- virtueel pakket geboden door libghc-mueval-prof
- libghc-mueval-prof-0.9.3-e48c9
- virtueel pakket geboden door libghc-mueval-prof
- libghc-multimap-dev (1.2.1-2+b2)
- maps with multiple values per key
- libghc-multimap-dev-1.2.1-55fd6
- virtueel pakket geboden door libghc-multimap-dev
- libghc-multimap-dev-1.2.1-ddf9e
- virtueel pakket geboden door libghc-multimap-dev
- libghc-multimap-dev-1.2.1-e432a
- virtueel pakket geboden door libghc-multimap-dev
- libghc-multimap-dev-1.2.1-ea93f
- virtueel pakket geboden door libghc-multimap-dev
- libghc-multimap-doc (1.2.1-2)
- maps with multiple values per key; documentation
- libghc-multimap-prof (1.2.1-2+b2)
- maps with multiple values per key; profiling libraries
- libghc-multimap-prof-1.2.1-55fd6
- virtueel pakket geboden door libghc-multimap-prof
- libghc-multimap-prof-1.2.1-ddf9e
- virtueel pakket geboden door libghc-multimap-prof
- libghc-multimap-prof-1.2.1-e432a
- virtueel pakket geboden door libghc-multimap-prof
- libghc-multimap-prof-1.2.1-ea93f
- virtueel pakket geboden door libghc-multimap-prof
- libghc-multipart-dev (0.1.3-2+b2)
- HTTP multipart support
- libghc-multipart-dev-0.1.3-0ba57
- virtueel pakket geboden door libghc-multipart-dev
- libghc-multipart-dev-0.1.3-69345
- virtueel pakket geboden door libghc-multipart-dev
- libghc-multipart-dev-0.1.3-dce27
- virtueel pakket geboden door libghc-multipart-dev
- libghc-multipart-dev-0.1.3-eb532
- virtueel pakket geboden door libghc-multipart-dev
- libghc-multipart-doc (0.1.3-2)
- HTTP multipart support; documentation
- libghc-multipart-prof (0.1.3-2+b2)
- HTTP multipart support; profiling libraries
- libghc-multipart-prof-0.1.3-0ba57
- virtueel pakket geboden door libghc-multipart-prof
- libghc-multipart-prof-0.1.3-69345
- virtueel pakket geboden door libghc-multipart-prof
- libghc-multipart-prof-0.1.3-dce27
- virtueel pakket geboden door libghc-multipart-prof
- libghc-multipart-prof-0.1.3-eb532
- virtueel pakket geboden door libghc-multipart-prof
- libghc-multiset-comb-dev (0.2.4.1-3+b2)
- combinatorial algorithms over multisets
- libghc-multiset-comb-dev-0.2.4.1-04fc7
- virtueel pakket geboden door libghc-multiset-comb-dev
- libghc-multiset-comb-dev-0.2.4.1-3360f
- virtueel pakket geboden door libghc-multiset-comb-dev
- libghc-multiset-comb-dev-0.2.4.1-40972
- virtueel pakket geboden door libghc-multiset-comb-dev
- libghc-multiset-comb-dev-0.2.4.1-dca10
- virtueel pakket geboden door libghc-multiset-comb-dev
- libghc-multiset-comb-doc (0.2.4.1-3)
- combinatorial algorithms over multisets; documentation
- libghc-multiset-comb-prof (0.2.4.1-3+b2)
- combinatorial algorithms over multisets; profiling libraries
- libghc-multiset-comb-prof-0.2.4.1-04fc7
- virtueel pakket geboden door libghc-multiset-comb-prof
- libghc-multiset-comb-prof-0.2.4.1-3360f
- virtueel pakket geboden door libghc-multiset-comb-prof
- libghc-multiset-comb-prof-0.2.4.1-40972
- virtueel pakket geboden door libghc-multiset-comb-prof
- libghc-multiset-comb-prof-0.2.4.1-dca10
- virtueel pakket geboden door libghc-multiset-comb-prof
- libghc-murmur-hash-dev (0.1.0.9-3+b2)
- MurmurHash2 implementation for Haskell.
- libghc-murmur-hash-dev-0.1.0.9-6beff
- virtueel pakket geboden door libghc-murmur-hash-dev
- libghc-murmur-hash-dev-0.1.0.9-6fc7f
- virtueel pakket geboden door libghc-murmur-hash-dev
- libghc-murmur-hash-dev-0.1.0.9-a8f0d
- virtueel pakket geboden door libghc-murmur-hash-dev
- libghc-murmur-hash-dev-0.1.0.9-ae599
- virtueel pakket geboden door libghc-murmur-hash-dev
- libghc-murmur-hash-doc (0.1.0.9-3)
- MurmurHash2 implementation for Haskell.; documentation
- libghc-murmur-hash-prof (0.1.0.9-3+b2)
- MurmurHash2 implementation for Haskell.; profiling libraries
- libghc-murmur-hash-prof-0.1.0.9-6beff
- virtueel pakket geboden door libghc-murmur-hash-prof
- libghc-murmur-hash-prof-0.1.0.9-6fc7f
- virtueel pakket geboden door libghc-murmur-hash-prof
- libghc-murmur-hash-prof-0.1.0.9-a8f0d
- virtueel pakket geboden door libghc-murmur-hash-prof
- libghc-murmur-hash-prof-0.1.0.9-ae599
- virtueel pakket geboden door libghc-murmur-hash-prof
- libghc-musicbrainz-dev (0.4-3+b1)
- interface to MusicBrainz XML2/JSON web services
- libghc-musicbrainz-dev-0.4-09006
- virtueel pakket geboden door libghc-musicbrainz-dev
- libghc-musicbrainz-dev-0.4-29431
- virtueel pakket geboden door libghc-musicbrainz-dev
- libghc-musicbrainz-dev-0.4-3a72a
- virtueel pakket geboden door libghc-musicbrainz-dev
- libghc-musicbrainz-dev-0.4-44c2e
- virtueel pakket geboden door libghc-musicbrainz-dev
- libghc-musicbrainz-doc (0.4-3)
- interface to MusicBrainz XML2/JSON web services; documentation
- libghc-musicbrainz-prof (0.4-3+b1)
- interface to MusicBrainz XML2/JSON web services; profiling libraries
- libghc-musicbrainz-prof-0.4-09006
- virtueel pakket geboden door libghc-musicbrainz-prof
- libghc-musicbrainz-prof-0.4-29431
- virtueel pakket geboden door libghc-musicbrainz-prof
- libghc-musicbrainz-prof-0.4-3a72a
- virtueel pakket geboden door libghc-musicbrainz-prof
- libghc-musicbrainz-prof-0.4-44c2e
- virtueel pakket geboden door libghc-musicbrainz-prof
- libghc-mustache-dev (2.3.0-1+b1)
- Haskell implementation of Mustache templates
- libghc-mustache-dev-2.3.0-87b74
- virtueel pakket geboden door libghc-mustache-dev
- libghc-mustache-dev-2.3.0-94c90
- virtueel pakket geboden door libghc-mustache-dev
- libghc-mustache-dev-2.3.0-a50bc
- virtueel pakket geboden door libghc-mustache-dev
- libghc-mustache-dev-2.3.0-e4de2
- virtueel pakket geboden door libghc-mustache-dev
- libghc-mustache-doc (2.3.0-1)
- Haskell implementation of Mustache templates; documentation
- libghc-mustache-prof (2.3.0-1+b1)
- Haskell implementation of Mustache templates; profiling libraries
- libghc-mustache-prof-2.3.0-87b74
- virtueel pakket geboden door libghc-mustache-prof
- libghc-mustache-prof-2.3.0-94c90
- virtueel pakket geboden door libghc-mustache-prof
- libghc-mustache-prof-2.3.0-a50bc
- virtueel pakket geboden door libghc-mustache-prof
- libghc-mustache-prof-2.3.0-e4de2
- virtueel pakket geboden door libghc-mustache-prof
- libghc-mutable-containers-dev (0.3.4-2+b2 [amd64, arm64], 0.3.4-2+b1 [armhf, i386])
- abstactions and concrete implementations of mutable containers
- libghc-mutable-containers-dev-0.3.4-06a89
- virtueel pakket geboden door libghc-mutable-containers-dev
- libghc-mutable-containers-dev-0.3.4-3c843
- virtueel pakket geboden door libghc-mutable-containers-dev
- libghc-mutable-containers-dev-0.3.4-cf11a
- virtueel pakket geboden door libghc-mutable-containers-dev
- libghc-mutable-containers-dev-0.3.4-edfd5
- virtueel pakket geboden door libghc-mutable-containers-dev
- libghc-mutable-containers-doc (0.3.4-2)
- abstactions and concrete implementations of mutable containers; documentation
- libghc-mutable-containers-prof (0.3.4-2+b2 [amd64, arm64], 0.3.4-2+b1 [armhf, i386])
- abstactions and concrete implementations of mutable containers; profiling libraries
- libghc-mutable-containers-prof-0.3.4-06a89
- virtueel pakket geboden door libghc-mutable-containers-prof
- libghc-mutable-containers-prof-0.3.4-3c843
- virtueel pakket geboden door libghc-mutable-containers-prof
- libghc-mutable-containers-prof-0.3.4-cf11a
- virtueel pakket geboden door libghc-mutable-containers-prof
- libghc-mutable-containers-prof-0.3.4-edfd5
- virtueel pakket geboden door libghc-mutable-containers-prof
- libghc-mwc-random-dev (0.13.6.0-3+b3 [amd64, arm64], 0.13.6.0-3+b2 [armhf], 0.13.6.0-3+b1 [i386])
- Fast, high quality pseudo random number generation
- libghc-mwc-random-dev-0.13.6.0-21851
- virtueel pakket geboden door libghc-mwc-random-dev
- libghc-mwc-random-dev-0.13.6.0-7bba9
- virtueel pakket geboden door libghc-mwc-random-dev
- libghc-mwc-random-dev-0.13.6.0-b6985
- virtueel pakket geboden door libghc-mwc-random-dev
- libghc-mwc-random-dev-0.13.6.0-c5f23
- virtueel pakket geboden door libghc-mwc-random-dev
- libghc-mwc-random-doc (0.13.6.0-3)
- Fast, high quality pseudo random number generation; documentation
- libghc-mwc-random-prof (0.13.6.0-3+b3 [amd64, arm64], 0.13.6.0-3+b2 [armhf], 0.13.6.0-3+b1 [i386])
- Fast, high quality pseudo random number generation; profiling libraries
- libghc-mwc-random-prof-0.13.6.0-21851
- virtueel pakket geboden door libghc-mwc-random-prof
- libghc-mwc-random-prof-0.13.6.0-7bba9
- virtueel pakket geboden door libghc-mwc-random-prof
- libghc-mwc-random-prof-0.13.6.0-b6985
- virtueel pakket geboden door libghc-mwc-random-prof
- libghc-mwc-random-prof-0.13.6.0-c5f23
- virtueel pakket geboden door libghc-mwc-random-prof
- libghc-names-th-dev (0.3.0.0-1+b2)
- Manipulate name strings for TH
- libghc-names-th-dev-0.3.0.0-1248f
- virtueel pakket geboden door libghc-names-th-dev
- libghc-names-th-dev-0.3.0.0-472b1
- virtueel pakket geboden door libghc-names-th-dev
- libghc-names-th-dev-0.3.0.0-55ac4
- virtueel pakket geboden door libghc-names-th-dev
- libghc-names-th-dev-0.3.0.0-8c442
- virtueel pakket geboden door libghc-names-th-dev
- libghc-names-th-doc (0.3.0.0-1)
- Manipulate name strings for TH; documentation
- libghc-names-th-prof (0.3.0.0-1+b2)
- Manipulate name strings for TH; profiling libraries
- libghc-names-th-prof-0.3.0.0-1248f
- virtueel pakket geboden door libghc-names-th-prof
- libghc-names-th-prof-0.3.0.0-472b1
- virtueel pakket geboden door libghc-names-th-prof
- libghc-names-th-prof-0.3.0.0-55ac4
- virtueel pakket geboden door libghc-names-th-prof
- libghc-names-th-prof-0.3.0.0-8c442
- virtueel pakket geboden door libghc-names-th-prof
- libghc-nanospec-dev (0.2.2-2+b2)
- lightweight implementation of a subset of Hspec's API
- libghc-nanospec-dev-0.2.2-853f6
- virtueel pakket geboden door libghc-nanospec-dev
- libghc-nanospec-dev-0.2.2-9dbc1
- virtueel pakket geboden door libghc-nanospec-dev
- libghc-nanospec-dev-0.2.2-ca021
- virtueel pakket geboden door libghc-nanospec-dev
- libghc-nanospec-doc (0.2.2-2)
- lightweight implementation of a subset of Hspec's API; documentation
- libghc-nanospec-prof (0.2.2-2+b2)
- lightweight implementation of a subset of Hspec's API; profiling libraries
- libghc-nanospec-prof-0.2.2-853f6
- virtueel pakket geboden door libghc-nanospec-prof
- libghc-nanospec-prof-0.2.2-9dbc1
- virtueel pakket geboden door libghc-nanospec-prof
- libghc-nanospec-prof-0.2.2-ca021
- virtueel pakket geboden door libghc-nanospec-prof
- libghc-natural-transformation-dev (0.4-4+b1)
- natural transformations
- libghc-natural-transformation-dev-0.4-02576
- virtueel pakket geboden door libghc-natural-transformation-dev
- libghc-natural-transformation-dev-0.4-20307
- virtueel pakket geboden door libghc-natural-transformation-dev
- libghc-natural-transformation-doc (0.4-4)
- natural transformations; documentation
- libghc-natural-transformation-prof (0.4-4+b1)
- natural transformations; profiling libraries
- libghc-natural-transformation-prof-0.4-02576
- virtueel pakket geboden door libghc-natural-transformation-prof
- libghc-natural-transformation-prof-0.4-20307
- virtueel pakket geboden door libghc-natural-transformation-prof
- libghc-ncurses-dev (0.2.16-3+b2)
- Haskell bindings to the GNU ncurses library
- libghc-ncurses-dev-0.2.16-6d7d4
- virtueel pakket geboden door libghc-ncurses-dev
- libghc-ncurses-dev-0.2.16-a1b33
- virtueel pakket geboden door libghc-ncurses-dev
- libghc-ncurses-dev-0.2.16-bde64
- virtueel pakket geboden door libghc-ncurses-dev
- libghc-ncurses-dev-0.2.16-fc115
- virtueel pakket geboden door libghc-ncurses-dev
- libghc-ncurses-doc (0.2.16-3)
- Haskell bindings to the GNU ncurses library; documentation
- libghc-ncurses-prof (0.2.16-3+b2)
- Haskell bindings to the GNU ncurses library; profiling libraries
- libghc-ncurses-prof-0.2.16-6d7d4
- virtueel pakket geboden door libghc-ncurses-prof
- libghc-ncurses-prof-0.2.16-a1b33
- virtueel pakket geboden door libghc-ncurses-prof
- libghc-ncurses-prof-0.2.16-bde64
- virtueel pakket geboden door libghc-ncurses-prof
- libghc-ncurses-prof-0.2.16-fc115
- virtueel pakket geboden door libghc-ncurses-prof
- libghc-neat-interpolation-dev (0.3.2.2-2+b1)
- quasiquoter for simple multiline text interpolation
- libghc-neat-interpolation-dev-0.3.2.2-46bf0
- virtueel pakket geboden door libghc-neat-interpolation-dev
- libghc-neat-interpolation-dev-0.3.2.2-745f4
- virtueel pakket geboden door libghc-neat-interpolation-dev
- libghc-neat-interpolation-dev-0.3.2.2-b286b
- virtueel pakket geboden door libghc-neat-interpolation-dev
- libghc-neat-interpolation-dev-0.3.2.2-ed01e
- virtueel pakket geboden door libghc-neat-interpolation-dev
- libghc-neat-interpolation-doc (0.3.2.2-2)
- quasiquoter for simple multiline text interpolation; documentation
- libghc-neat-interpolation-prof (0.3.2.2-2+b1)
- quasiquoter for simple multiline text interpolation; profiling libraries
- libghc-neat-interpolation-prof-0.3.2.2-46bf0
- virtueel pakket geboden door libghc-neat-interpolation-prof
- libghc-neat-interpolation-prof-0.3.2.2-745f4
- virtueel pakket geboden door libghc-neat-interpolation-prof
- libghc-neat-interpolation-prof-0.3.2.2-b286b
- virtueel pakket geboden door libghc-neat-interpolation-prof
- libghc-neat-interpolation-prof-0.3.2.2-ed01e
- virtueel pakket geboden door libghc-neat-interpolation-prof
- libghc-nettle-dev (0.3.0-2+b2)
- safe bindings to nettle crypto library
- libghc-nettle-dev-0.3.0-7e024
- virtueel pakket geboden door libghc-nettle-dev
- libghc-nettle-dev-0.3.0-90923
- virtueel pakket geboden door libghc-nettle-dev
- libghc-nettle-dev-0.3.0-b8c53
- virtueel pakket geboden door libghc-nettle-dev
- libghc-nettle-dev-0.3.0-c91f0
- virtueel pakket geboden door libghc-nettle-dev
- libghc-nettle-doc (0.3.0-2)
- safe bindings to nettle crypto library; documentation
- libghc-nettle-prof (0.3.0-2+b2)
- safe bindings to nettle crypto library; profiling libraries
- libghc-nettle-prof-0.3.0-7e024
- virtueel pakket geboden door libghc-nettle-prof
- libghc-nettle-prof-0.3.0-90923
- virtueel pakket geboden door libghc-nettle-prof
- libghc-nettle-prof-0.3.0-b8c53
- virtueel pakket geboden door libghc-nettle-prof
- libghc-nettle-prof-0.3.0-c91f0
- virtueel pakket geboden door libghc-nettle-prof
- libghc-netwire-dev (5.0.3-2+b1)
- flexible wire arrows for FRP
- libghc-netwire-dev-5.0.3-17100
- virtueel pakket geboden door libghc-netwire-dev
- libghc-netwire-dev-5.0.3-557e2
- virtueel pakket geboden door libghc-netwire-dev
- libghc-netwire-dev-5.0.3-71cb0
- virtueel pakket geboden door libghc-netwire-dev
- libghc-netwire-dev-5.0.3-f4505
- virtueel pakket geboden door libghc-netwire-dev
- libghc-netwire-doc (5.0.3-2)
- flexible wire arrows for FRP; documentation
- libghc-netwire-prof (5.0.3-2+b1)
- flexible wire arrows for FRP; profiling libraries
- libghc-netwire-prof-5.0.3-17100
- virtueel pakket geboden door libghc-netwire-prof
- libghc-netwire-prof-5.0.3-557e2
- virtueel pakket geboden door libghc-netwire-prof
- libghc-netwire-prof-5.0.3-71cb0
- virtueel pakket geboden door libghc-netwire-prof
- libghc-netwire-prof-5.0.3-f4505
- virtueel pakket geboden door libghc-netwire-prof
- libghc-network-conduit-tls-dev (1.3.2-3+b1)
- create TLS-aware network code with conduits
- libghc-network-conduit-tls-dev-1.3.2-1565d
- virtueel pakket geboden door libghc-network-conduit-tls-dev
- libghc-network-conduit-tls-dev-1.3.2-59ce3
- virtueel pakket geboden door libghc-network-conduit-tls-dev
- libghc-network-conduit-tls-dev-1.3.2-8edef
- virtueel pakket geboden door libghc-network-conduit-tls-dev
- libghc-network-conduit-tls-dev-1.3.2-aae25
- virtueel pakket geboden door libghc-network-conduit-tls-dev
- libghc-network-conduit-tls-doc (1.3.2-3)
- create TLS-aware network code with conduits; documentation
- libghc-network-conduit-tls-prof (1.3.2-3+b1)
- create TLS-aware network code with conduits; profiling libraries
- libghc-network-conduit-tls-prof-1.3.2-1565d
- virtueel pakket geboden door libghc-network-conduit-tls-prof
- libghc-network-conduit-tls-prof-1.3.2-59ce3
- virtueel pakket geboden door libghc-network-conduit-tls-prof
- libghc-network-conduit-tls-prof-1.3.2-8edef
- virtueel pakket geboden door libghc-network-conduit-tls-prof
- libghc-network-conduit-tls-prof-1.3.2-aae25
- virtueel pakket geboden door libghc-network-conduit-tls-prof
- libghc-network-dev (2.6.3.6-1+b2)
- Haskell low-level network library
- libghc-network-dev-2.6.3.6-0fb7c
- virtueel pakket geboden door libghc-network-dev
- libghc-network-dev-2.6.3.6-6f1b7
- virtueel pakket geboden door libghc-network-dev
- libghc-network-dev-2.6.3.6-75f3a
- virtueel pakket geboden door libghc-network-dev
- libghc-network-dev-2.6.3.6-8da3e
- virtueel pakket geboden door libghc-network-dev
- libghc-network-doc (2.6.3.6-1)
- Haskell low-level network library; documentation
- libghc-network-info-dev (0.2.0.10-2+b2)
- listing network interfaces in Haskell
- libghc-network-info-dev-0.2.0.10-3490a
- virtueel pakket geboden door libghc-network-info-dev
- libghc-network-info-dev-0.2.0.10-35b73
- virtueel pakket geboden door libghc-network-info-dev
- libghc-network-info-dev-0.2.0.10-62184
- virtueel pakket geboden door libghc-network-info-dev
- libghc-network-info-dev-0.2.0.10-d8369
- virtueel pakket geboden door libghc-network-info-dev
- libghc-network-info-doc (0.2.0.10-2)
- listing network interfaces in Haskell; documentation
- libghc-network-info-prof (0.2.0.10-2+b2)
- listing network interfaces in Haskell; profiling libraries
- libghc-network-info-prof-0.2.0.10-3490a
- virtueel pakket geboden door libghc-network-info-prof
- libghc-network-info-prof-0.2.0.10-35b73
- virtueel pakket geboden door libghc-network-info-prof
- libghc-network-info-prof-0.2.0.10-62184
- virtueel pakket geboden door libghc-network-info-prof
- libghc-network-info-prof-0.2.0.10-d8369
- virtueel pakket geboden door libghc-network-info-prof
- libghc-network-multicast-dev (0.2.0-3+b2)
- Haskell multicast networking
- libghc-network-multicast-dev-0.2.0-25313
- virtueel pakket geboden door libghc-network-multicast-dev
- libghc-network-multicast-dev-0.2.0-52ae0
- virtueel pakket geboden door libghc-network-multicast-dev
- libghc-network-multicast-dev-0.2.0-a9b47
- virtueel pakket geboden door libghc-network-multicast-dev
- libghc-network-multicast-dev-0.2.0-f9af3
- virtueel pakket geboden door libghc-network-multicast-dev
- libghc-network-multicast-doc (0.2.0-3)
- Haskell multicast networking; documentation
- libghc-network-multicast-prof (0.2.0-3+b2)
- Haskell multicast networking; profiling libraries
- libghc-network-multicast-prof-0.2.0-25313
- virtueel pakket geboden door libghc-network-multicast-prof
- libghc-network-multicast-prof-0.2.0-52ae0
- virtueel pakket geboden door libghc-network-multicast-prof
- libghc-network-multicast-prof-0.2.0-a9b47
- virtueel pakket geboden door libghc-network-multicast-prof
- libghc-network-multicast-prof-0.2.0-f9af3
- virtueel pakket geboden door libghc-network-multicast-prof
- libghc-network-prof (2.6.3.6-1+b2)
- Haskell low-level network library; profiling libraries
- libghc-network-prof-2.6.3.6-0fb7c
- virtueel pakket geboden door libghc-network-prof
- libghc-network-prof-2.6.3.6-6f1b7
- virtueel pakket geboden door libghc-network-prof
- libghc-network-prof-2.6.3.6-75f3a
- virtueel pakket geboden door libghc-network-prof
- libghc-network-prof-2.6.3.6-8da3e
- virtueel pakket geboden door libghc-network-prof
- libghc-network-protocol-xmpp-dev (0.4.8-8+b1)
- Haskell XMPP (Jabber) library
- libghc-network-protocol-xmpp-dev-0.4.8-30fd9
- virtueel pakket geboden door libghc-network-protocol-xmpp-dev
- libghc-network-protocol-xmpp-dev-0.4.8-4eb7f
- virtueel pakket geboden door libghc-network-protocol-xmpp-dev
- libghc-network-protocol-xmpp-dev-0.4.8-8df9d
- virtueel pakket geboden door libghc-network-protocol-xmpp-dev
- libghc-network-protocol-xmpp-dev-0.4.8-98f3f
- virtueel pakket geboden door libghc-network-protocol-xmpp-dev
- libghc-network-protocol-xmpp-doc (0.4.8-8)
- Haskell XMPP (Jabber) library; documentation; documentation
- libghc-network-protocol-xmpp-prof (0.4.8-8+b1)
- Haskell XMPP (Jabber) library; profiling libraries; profiling libraries
- libghc-network-protocol-xmpp-prof-0.4.8-30fd9
- virtueel pakket geboden door libghc-network-protocol-xmpp-prof
- libghc-network-protocol-xmpp-prof-0.4.8-4eb7f
- virtueel pakket geboden door libghc-network-protocol-xmpp-prof
- libghc-network-protocol-xmpp-prof-0.4.8-8df9d
- virtueel pakket geboden door libghc-network-protocol-xmpp-prof
- libghc-network-protocol-xmpp-prof-0.4.8-98f3f
- virtueel pakket geboden door libghc-network-protocol-xmpp-prof
- libghc-network-uri-dev (2.6.1.0-6+b2)
- URI manipulation
- libghc-network-uri-dev-2.6.1.0-29de8
- virtueel pakket geboden door libghc-network-uri-dev
- libghc-network-uri-dev-2.6.1.0-2be0b
- virtueel pakket geboden door libghc-network-uri-dev
- libghc-network-uri-dev-2.6.1.0-71a3a
- virtueel pakket geboden door libghc-network-uri-dev
- libghc-network-uri-dev-2.6.1.0-7aa07
- virtueel pakket geboden door libghc-network-uri-dev
- libghc-network-uri-doc (2.6.1.0-6)
- URI manipulation; documentation
- libghc-network-uri-prof (2.6.1.0-6+b2)
- URI manipulation; profiling libraries
- libghc-network-uri-prof-2.6.1.0-29de8
- virtueel pakket geboden door libghc-network-uri-prof
- libghc-network-uri-prof-2.6.1.0-2be0b
- virtueel pakket geboden door libghc-network-uri-prof
- libghc-network-uri-prof-2.6.1.0-71a3a
- virtueel pakket geboden door libghc-network-uri-prof
- libghc-network-uri-prof-2.6.1.0-7aa07
- virtueel pakket geboden door libghc-network-uri-prof
- libghc-newtype-dev (0.2-11+b2)
- A typeclass for working with newtypes
- libghc-newtype-dev-0.2-4f32a
- virtueel pakket geboden door libghc-newtype-dev
- libghc-newtype-dev-0.2-946dc
- virtueel pakket geboden door libghc-newtype-dev
- libghc-newtype-dev-0.2-b95e6
- virtueel pakket geboden door libghc-newtype-dev
- libghc-newtype-doc (0.2-11)
- A typeclass for working with newtypes; documentation
- libghc-newtype-generics-dev (0.5.3-2+b2)
- Typeclass and set of functions for working with newtypes
- libghc-newtype-generics-dev-0.5.3-9da7e
- virtueel pakket geboden door libghc-newtype-generics-dev
- libghc-newtype-generics-dev-0.5.3-a3eca
- virtueel pakket geboden door libghc-newtype-generics-dev
- libghc-newtype-generics-dev-0.5.3-e60af
- virtueel pakket geboden door libghc-newtype-generics-dev
- libghc-newtype-generics-doc (0.5.3-2)
- Typeclass and set of functions for working with newtypes; documentation
- libghc-newtype-generics-prof (0.5.3-2+b2)
- Typeclass and set of functions for working with newtypes; profiling libraries
- libghc-newtype-generics-prof-0.5.3-9da7e
- virtueel pakket geboden door libghc-newtype-generics-prof
- libghc-newtype-generics-prof-0.5.3-a3eca
- virtueel pakket geboden door libghc-newtype-generics-prof
- libghc-newtype-generics-prof-0.5.3-e60af
- virtueel pakket geboden door libghc-newtype-generics-prof
- libghc-newtype-prof (0.2-11+b2)
- A typeclass for working with newtypes; profiling libraries
- libghc-newtype-prof-0.2-4f32a
- virtueel pakket geboden door libghc-newtype-prof
- libghc-newtype-prof-0.2-946dc
- virtueel pakket geboden door libghc-newtype-prof
- libghc-newtype-prof-0.2-b95e6
- virtueel pakket geboden door libghc-newtype-prof
- libghc-nonce-dev (1.0.7-3+b2)
- generate cryptographic nonces
- libghc-nonce-dev-1.0.7-0ea63
- virtueel pakket geboden door libghc-nonce-dev
- libghc-nonce-dev-1.0.7-71701
- virtueel pakket geboden door libghc-nonce-dev
- libghc-nonce-dev-1.0.7-84b89
- virtueel pakket geboden door libghc-nonce-dev
- libghc-nonce-dev-1.0.7-ca9dd
- virtueel pakket geboden door libghc-nonce-dev
- libghc-nonce-doc (1.0.7-3)
- generate cryptographic nonces; documentation
- libghc-nonce-prof (1.0.7-3+b2)
- generate cryptographic nonces; profiling libraries
- libghc-nonce-prof-1.0.7-0ea63
- virtueel pakket geboden door libghc-nonce-prof
- libghc-nonce-prof-1.0.7-71701
- virtueel pakket geboden door libghc-nonce-prof
- libghc-nonce-prof-1.0.7-84b89
- virtueel pakket geboden door libghc-nonce-prof
- libghc-nonce-prof-1.0.7-ca9dd
- virtueel pakket geboden door libghc-nonce-prof
- libghc-numbers-dev (3000.2.0.2-2+b2)
- Various number types
- libghc-numbers-dev-3000.2.0.2-0b255
- virtueel pakket geboden door libghc-numbers-dev
- libghc-numbers-dev-3000.2.0.2-449a4
- virtueel pakket geboden door libghc-numbers-dev
- libghc-numbers-doc (3000.2.0.2-2)
- Various number types; documentation
- libghc-numbers-prof (3000.2.0.2-2+b2)
- Various number types; profiling libraries
- libghc-numbers-prof-3000.2.0.2-0b255
- virtueel pakket geboden door libghc-numbers-prof
- libghc-numbers-prof-3000.2.0.2-449a4
- virtueel pakket geboden door libghc-numbers-prof
- (0.1-5+b2)
- Short description of numeric-extras
- virtueel pakket geboden door libghc-numeric-extras-dev
- virtueel pakket geboden door libghc-numeric-extras-dev
- virtueel pakket geboden door libghc-numeric-extras-dev
- (0.1-5)
- Short description of numeric-extras; documentation
- (0.1-5+b2)
- Short description of numeric-extras; profiling libraries
- virtueel pakket geboden door libghc-numeric-extras-prof
- virtueel pakket geboden door libghc-numeric-extras-prof
- virtueel pakket geboden door libghc-numeric-extras-prof
- libghc-numinstances-dev (1.4-8+b2)
- Instances of numeric classes for functions and tuples
- libghc-numinstances-dev-1.4-bdb87
- virtueel pakket geboden door libghc-numinstances-dev
- libghc-numinstances-dev-1.4-c2722
- virtueel pakket geboden door libghc-numinstances-dev
- libghc-numinstances-doc (1.4-8)
- Instances of numeric classes for functions and tuples; documentation
- libghc-numinstances-prof (1.4-8+b2)
- Instances of numeric classes for functions and tuples; profiling libraries
- libghc-numinstances-prof-1.4-bdb87
- virtueel pakket geboden door libghc-numinstances-prof
- libghc-numinstances-prof-1.4-c2722
- virtueel pakket geboden door libghc-numinstances-prof
- libghc-numtype-dev (1.2-5+b2)
- type-level (low cardinality) integers
- libghc-numtype-dev-1.2-3e9e2
- virtueel pakket geboden door libghc-numtype-dev
- libghc-numtype-dev-1.2-77921
- virtueel pakket geboden door libghc-numtype-dev
- libghc-numtype-dk-dev (0.5.0.2-1+b2)
- type-level integers without fundeps
- libghc-numtype-dk-dev-0.5.0.2-2e122
- virtueel pakket geboden door libghc-numtype-dk-dev
- libghc-numtype-dk-dev-0.5.0.2-e6c6e
- virtueel pakket geboden door libghc-numtype-dk-dev
- libghc-numtype-dk-doc (0.5.0.2-1)
- type-level integers without fundeps; documentation
- libghc-numtype-dk-prof (0.5.0.2-1+b2)
- type-level integers without fundeps; profiling libraries
- libghc-numtype-dk-prof-0.5.0.2-2e122
- virtueel pakket geboden door libghc-numtype-dk-prof
- libghc-numtype-dk-prof-0.5.0.2-e6c6e
- virtueel pakket geboden door libghc-numtype-dk-prof
- libghc-numtype-doc (1.2-5)
- type-level (low cardinality) integers; documentation
- libghc-numtype-prof (1.2-5+b2)
- type-level (low cardinality) integers; profiling libraries
- libghc-numtype-prof-1.2-3e9e2
- virtueel pakket geboden door libghc-numtype-prof
- libghc-numtype-prof-1.2-77921
- virtueel pakket geboden door libghc-numtype-prof
- libghc-objectname-dev (1.1.0.1-5+b2)
- Explicitly handled object names
- libghc-objectname-dev-1.1.0.1-10e41
- virtueel pakket geboden door libghc-objectname-dev
- libghc-objectname-dev-1.1.0.1-d0bbd
- virtueel pakket geboden door libghc-objectname-dev
- libghc-objectname-doc (1.1.0.1-5)
- Explicitly handled object names; documentation
- libghc-objectname-prof (1.1.0.1-5+b2)
- Explicitly handled object names; profiling libraries
- libghc-objectname-prof-1.1.0.1-10e41
- virtueel pakket geboden door libghc-objectname-prof
- libghc-objectname-prof-1.1.0.1-d0bbd
- virtueel pakket geboden door libghc-objectname-prof
- libghc-oeis-dev (0.3.9-3+b2)
- Interface to the Online Encyclopedia of Integer Sequences
- libghc-oeis-dev-0.3.9-0bf3e
- virtueel pakket geboden door libghc-oeis-dev
- libghc-oeis-dev-0.3.9-684ee
- virtueel pakket geboden door libghc-oeis-dev
- libghc-oeis-dev-0.3.9-b933f
- virtueel pakket geboden door libghc-oeis-dev
- libghc-oeis-dev-0.3.9-f6aea
- virtueel pakket geboden door libghc-oeis-dev
- libghc-oeis-doc (0.3.9-3)
- Interface to the Online Encyclopedia of Integer Sequences; documentation
- libghc-oeis-prof (0.3.9-3+b2)
- Interface to the Online Encyclopedia of Integer Sequences; profiling libraries
- libghc-oeis-prof-0.3.9-0bf3e
- virtueel pakket geboden door libghc-oeis-prof
- libghc-oeis-prof-0.3.9-684ee
- virtueel pakket geboden door libghc-oeis-prof
- libghc-oeis-prof-0.3.9-b933f
- virtueel pakket geboden door libghc-oeis-prof
- libghc-oeis-prof-0.3.9-f6aea
- virtueel pakket geboden door libghc-oeis-prof
- libghc-ofx-dev (0.4.2.0-6+b2)
- parser for Open Financial Exchange (OFX) financial data
- libghc-ofx-dev-0.4.2.0-08a04
- virtueel pakket geboden door libghc-ofx-dev
- libghc-ofx-dev-0.4.2.0-21f16
- virtueel pakket geboden door libghc-ofx-dev
- libghc-ofx-dev-0.4.2.0-56565
- virtueel pakket geboden door libghc-ofx-dev
- libghc-ofx-dev-0.4.2.0-6e6ec
- virtueel pakket geboden door libghc-ofx-dev
- libghc-ofx-doc (0.4.2.0-6)
- parser for Open Financial Exchange (OFX) financial data; documentation
- libghc-ofx-prof (0.4.2.0-6+b2)
- parser for Open Financial Exchange (OFX) financial data; profiling libraries
- libghc-ofx-prof-0.4.2.0-08a04
- virtueel pakket geboden door libghc-ofx-prof
- libghc-ofx-prof-0.4.2.0-21f16
- virtueel pakket geboden door libghc-ofx-prof
- libghc-ofx-prof-0.4.2.0-56565
- virtueel pakket geboden door libghc-ofx-prof
- libghc-ofx-prof-0.4.2.0-6e6ec
- virtueel pakket geboden door libghc-ofx-prof
- libghc-old-locale-dev (1.0.0.7-8+b2)
- locale library
- libghc-old-locale-dev-1.0.0.7-afc40
- virtueel pakket geboden door libghc-old-locale-dev
- libghc-old-locale-dev-1.0.0.7-c330e
- virtueel pakket geboden door libghc-old-locale-dev
- libghc-old-locale-doc (1.0.0.7-8)
- locale library; documentation
- libghc-old-locale-prof (1.0.0.7-8+b2)
- locale library; profiling libraries
- libghc-old-locale-prof-1.0.0.7-afc40
- virtueel pakket geboden door libghc-old-locale-prof
- libghc-old-locale-prof-1.0.0.7-c330e
- virtueel pakket geboden door libghc-old-locale-prof
- libghc-old-time-dev (1.1.0.3-9+b2)
- time library
- libghc-old-time-dev-1.1.0.3-26d58
- virtueel pakket geboden door libghc-old-time-dev
- libghc-old-time-dev-1.1.0.3-726b9
- virtueel pakket geboden door libghc-old-time-dev
- libghc-old-time-dev-1.1.0.3-b2143
- virtueel pakket geboden door libghc-old-time-dev
- libghc-old-time-dev-1.1.0.3-c466c
- virtueel pakket geboden door libghc-old-time-dev
- libghc-old-time-doc (1.1.0.3-9)
- time library; documentation
- libghc-old-time-prof (1.1.0.3-9+b2)
- time library; profiling libraries
- libghc-old-time-prof-1.1.0.3-26d58
- virtueel pakket geboden door libghc-old-time-prof
- libghc-old-time-prof-1.1.0.3-726b9
- virtueel pakket geboden door libghc-old-time-prof
- libghc-old-time-prof-1.1.0.3-b2143
- virtueel pakket geboden door libghc-old-time-prof
- libghc-old-time-prof-1.1.0.3-c466c
- virtueel pakket geboden door libghc-old-time-prof
- libghc-onetuple-dev (0.2.2-2+b2)
- singleton tuple
- libghc-onetuple-dev-0.2.2-3dc76
- virtueel pakket geboden door libghc-onetuple-dev
- libghc-onetuple-dev-0.2.2-4b391
- virtueel pakket geboden door libghc-onetuple-dev
- libghc-onetuple-dev-0.2.2-eabf8
- virtueel pakket geboden door libghc-onetuple-dev
- libghc-onetuple-doc (0.2.2-2)
- singleton tuple; documentation
- libghc-onetuple-prof (0.2.2-2+b2)
- singleton tuple; profiling libraries
- libghc-onetuple-prof-0.2.2-3dc76
- virtueel pakket geboden door libghc-onetuple-prof
- libghc-onetuple-prof-0.2.2-4b391
- virtueel pakket geboden door libghc-onetuple-prof
- libghc-onetuple-prof-0.2.2-eabf8
- virtueel pakket geboden door libghc-onetuple-prof
- libghc-only-dev (0.1-2+b2)
- 1-tuple type or single-value "collection"
- libghc-only-dev-0.1-77d39
- virtueel pakket geboden door libghc-only-dev
- libghc-only-dev-0.1-9d5c1
- virtueel pakket geboden door libghc-only-dev
- libghc-only-dev-0.1-b1d16
- virtueel pakket geboden door libghc-only-dev
- libghc-only-dev-0.1-e97da
- virtueel pakket geboden door libghc-only-dev
- libghc-only-doc (0.1-2)
- 1-tuple type or single-value "collection"; documentation
- libghc-only-prof (0.1-2+b2)
- 1-tuple type or single-value "collection"; profiling libraries
- libghc-only-prof-0.1-77d39
- virtueel pakket geboden door libghc-only-prof
- libghc-only-prof-0.1-9d5c1
- virtueel pakket geboden door libghc-only-prof
- libghc-only-prof-0.1-b1d16
- virtueel pakket geboden door libghc-only-prof
- libghc-only-prof-0.1-e97da
- virtueel pakket geboden door libghc-only-prof
- libghc-oo-prototypes-dev (0.1.0.0-7+b2)
- Support for OO-like prototypes
- libghc-oo-prototypes-dev-0.1.0.0-84758
- virtueel pakket geboden door libghc-oo-prototypes-dev
- libghc-oo-prototypes-dev-0.1.0.0-c8361
- virtueel pakket geboden door libghc-oo-prototypes-dev
- libghc-oo-prototypes-doc (0.1.0.0-7)
- Support for OO-like prototypes; documentation
- libghc-oo-prototypes-prof (0.1.0.0-7+b2)
- Support for OO-like prototypes; profiling libraries
- libghc-oo-prototypes-prof-0.1.0.0-84758
- virtueel pakket geboden door libghc-oo-prototypes-prof
- libghc-oo-prototypes-prof-0.1.0.0-c8361
- virtueel pakket geboden door libghc-oo-prototypes-prof
- libghc-open-browser-dev (0.2.1.0-6+b2)
- open a web browser from Haskell
- libghc-open-browser-dev-0.2.1.0-4d959
- virtueel pakket geboden door libghc-open-browser-dev
- libghc-open-browser-dev-0.2.1.0-5c1dc
- virtueel pakket geboden door libghc-open-browser-dev
- libghc-open-browser-dev-0.2.1.0-63477
- virtueel pakket geboden door libghc-open-browser-dev
- libghc-open-browser-doc (0.2.1.0-6)
- open a web browser from Haskell; documentation
- libghc-open-browser-prof (0.2.1.0-6+b2)
- open a web browser from Haskell; profiling libraries
- libghc-open-browser-prof-0.2.1.0-4d959
- virtueel pakket geboden door libghc-open-browser-prof
- libghc-open-browser-prof-0.2.1.0-5c1dc
- virtueel pakket geboden door libghc-open-browser-prof
- libghc-open-browser-prof-0.2.1.0-63477
- virtueel pakket geboden door libghc-open-browser-prof
- libghc-opengl-dev (3.0.2.2-2+b2)
- Haskell OpenGL binding for GHC
- libghc-opengl-dev-3.0.2.2-1360b
- virtueel pakket geboden door libghc-opengl-dev
- libghc-opengl-dev-3.0.2.2-1fd04
- virtueel pakket geboden door libghc-opengl-dev
- libghc-opengl-dev-3.0.2.2-2138a
- virtueel pakket geboden door libghc-opengl-dev
- libghc-opengl-dev-3.0.2.2-a2c62
- virtueel pakket geboden door libghc-opengl-dev
- libghc-opengl-doc (3.0.2.2-2)
- Haskell OpenGL binding for GHC; documentation
- libghc-opengl-prof (3.0.2.2-2+b2)
- Haskell OpenGL binding for GHC; profiling libraries
- libghc-opengl-prof-3.0.2.2-1360b
- virtueel pakket geboden door libghc-opengl-prof
- libghc-opengl-prof-3.0.2.2-1fd04
- virtueel pakket geboden door libghc-opengl-prof
- libghc-opengl-prof-3.0.2.2-2138a
- virtueel pakket geboden door libghc-opengl-prof
- libghc-opengl-prof-3.0.2.2-a2c62
- virtueel pakket geboden door libghc-opengl-prof
- libghc-openglraw-dev (3.3.1.0-2+b2)
- Raw binding for the OpenGL graphics system
- libghc-openglraw-dev-3.3.1.0-395dc
- virtueel pakket geboden door libghc-openglraw-dev
- libghc-openglraw-dev-3.3.1.0-583c8
- virtueel pakket geboden door libghc-openglraw-dev
- libghc-openglraw-dev-3.3.1.0-895e6
- virtueel pakket geboden door libghc-openglraw-dev
- libghc-openglraw-dev-3.3.1.0-8bfbf
- virtueel pakket geboden door libghc-openglraw-dev
- libghc-openglraw-doc (3.3.1.0-2)
- Raw binding for the OpenGL graphics system; documentation
- libghc-openglraw-prof (3.3.1.0-2+b2)
- Raw binding for the OpenGL graphics system; profiling libraries
- libghc-openglraw-prof-3.3.1.0-395dc
- virtueel pakket geboden door libghc-openglraw-prof
- libghc-openglraw-prof-3.3.1.0-583c8
- virtueel pakket geboden door libghc-openglraw-prof
- libghc-openglraw-prof-3.3.1.0-895e6
- virtueel pakket geboden door libghc-openglraw-prof
- libghc-openglraw-prof-3.3.1.0-8bfbf
- virtueel pakket geboden door libghc-openglraw-prof
- libghc-openpgp-asciiarmor-dev (0.1.1-2+b1)
- OpenPGP (RFC4880) ASCII Armor codec
- libghc-openpgp-asciiarmor-dev-0.1.1-03243
- virtueel pakket geboden door libghc-openpgp-asciiarmor-dev
- libghc-openpgp-asciiarmor-dev-0.1.1-47d36
- virtueel pakket geboden door libghc-openpgp-asciiarmor-dev
- libghc-openpgp-asciiarmor-dev-0.1.1-700ea
- virtueel pakket geboden door libghc-openpgp-asciiarmor-dev
- libghc-openpgp-asciiarmor-dev-0.1.1-e064c
- virtueel pakket geboden door libghc-openpgp-asciiarmor-dev
- libghc-openpgp-asciiarmor-doc (0.1.1-2)
- OpenPGP (RFC4880) ASCII Armor codec; documentation
- libghc-openpgp-asciiarmor-prof (0.1.1-2+b1)
- OpenPGP (RFC4880) ASCII Armor codec; profiling libraries
- libghc-openpgp-asciiarmor-prof-0.1.1-03243
- virtueel pakket geboden door libghc-openpgp-asciiarmor-prof
- libghc-openpgp-asciiarmor-prof-0.1.1-47d36
- virtueel pakket geboden door libghc-openpgp-asciiarmor-prof
- libghc-openpgp-asciiarmor-prof-0.1.1-700ea
- virtueel pakket geboden door libghc-openpgp-asciiarmor-prof
- libghc-openpgp-asciiarmor-prof-0.1.1-e064c
- virtueel pakket geboden door libghc-openpgp-asciiarmor-prof
- libghc-openssl-streams-dev (1.2.1.3-3+b1)
- OpenSSL network support for io-streams
- libghc-openssl-streams-dev-1.2.1.3-07bec
- virtueel pakket geboden door libghc-openssl-streams-dev
- libghc-openssl-streams-dev-1.2.1.3-779c0
- virtueel pakket geboden door libghc-openssl-streams-dev
- libghc-openssl-streams-dev-1.2.1.3-951f3
- virtueel pakket geboden door libghc-openssl-streams-dev
- libghc-openssl-streams-dev-1.2.1.3-a8ff2
- virtueel pakket geboden door libghc-openssl-streams-dev
- libghc-openssl-streams-doc (1.2.1.3-3)
- OpenSSL network support for io-streams; documentation
- libghc-openssl-streams-prof (1.2.1.3-3+b1)
- OpenSSL network support for io-streams; profiling libraries
- libghc-openssl-streams-prof-1.2.1.3-07bec
- virtueel pakket geboden door libghc-openssl-streams-prof
- libghc-openssl-streams-prof-1.2.1.3-779c0
- virtueel pakket geboden door libghc-openssl-streams-prof
- libghc-openssl-streams-prof-1.2.1.3-951f3
- virtueel pakket geboden door libghc-openssl-streams-prof
- libghc-openssl-streams-prof-1.2.1.3-a8ff2
- virtueel pakket geboden door libghc-openssl-streams-prof
- libghc-operational-dev (0.2.3.5-4+b2)
- implementation of difficult monads made easy with operational semantics
- libghc-operational-dev-0.2.3.5-2ced5
- virtueel pakket geboden door libghc-operational-dev
- libghc-operational-dev-0.2.3.5-e228b
- virtueel pakket geboden door libghc-operational-dev
- libghc-operational-dev-0.2.3.5-ef302
- virtueel pakket geboden door libghc-operational-dev
- libghc-operational-doc (0.2.3.5-4)
- implementation of difficult monads made easy with operational semantics; documentation
- libghc-operational-prof (0.2.3.5-4+b2)
- implementation of difficult monads made easy with operational semantics; profiling libraries
- libghc-operational-prof-0.2.3.5-2ced5
- virtueel pakket geboden door libghc-operational-prof
- libghc-operational-prof-0.2.3.5-e228b
- virtueel pakket geboden door libghc-operational-prof
- libghc-operational-prof-0.2.3.5-ef302
- virtueel pakket geboden door libghc-operational-prof
- libghc-options-dev (1.2.1.1-8+b2)
- Haskell library for parsing command-line options
- libghc-options-dev-1.2.1.1-1777f
- virtueel pakket geboden door libghc-options-dev
- libghc-options-dev-1.2.1.1-18e23
- virtueel pakket geboden door libghc-options-dev
- libghc-options-dev-1.2.1.1-2b072
- virtueel pakket geboden door libghc-options-dev
- libghc-options-dev-1.2.1.1-a544d
- virtueel pakket geboden door libghc-options-dev
- libghc-options-doc (1.2.1.1-8)
- Haskell library for parsing command-line options; documentation
- libghc-options-prof (1.2.1.1-8+b2)
- Haskell library for parsing command-line options; profiling libraries
- libghc-options-prof-1.2.1.1-1777f
- virtueel pakket geboden door libghc-options-prof
- libghc-options-prof-1.2.1.1-18e23
- virtueel pakket geboden door libghc-options-prof
- libghc-options-prof-1.2.1.1-2b072
- virtueel pakket geboden door libghc-options-prof
- libghc-options-prof-1.2.1.1-a544d
- virtueel pakket geboden door libghc-options-prof
- libghc-optparse-applicative-dev (0.14.2.0-2+b2)
- utilities and combinators for parsing command-line options
- libghc-optparse-applicative-dev-0.14.2.0-0c822
- virtueel pakket geboden door libghc-optparse-applicative-dev
- libghc-optparse-applicative-dev-0.14.2.0-1d3c1
- virtueel pakket geboden door libghc-optparse-applicative-dev
- libghc-optparse-applicative-dev-0.14.2.0-3bcce
- virtueel pakket geboden door libghc-optparse-applicative-dev
- libghc-optparse-applicative-dev-0.14.2.0-f1c2a
- virtueel pakket geboden door libghc-optparse-applicative-dev
- libghc-optparse-applicative-doc (0.14.2.0-2)
- utilities and combinators for parsing command-line options; documentation
- libghc-optparse-applicative-prof (0.14.2.0-2+b2)
- utilities and combinators for parsing command-line options; profiling libraries
- libghc-optparse-applicative-prof-0.14.2.0-0c822
- virtueel pakket geboden door libghc-optparse-applicative-prof
- libghc-optparse-applicative-prof-0.14.2.0-1d3c1
- virtueel pakket geboden door libghc-optparse-applicative-prof
- libghc-optparse-applicative-prof-0.14.2.0-3bcce
- virtueel pakket geboden door libghc-optparse-applicative-prof
- libghc-optparse-applicative-prof-0.14.2.0-f1c2a
- virtueel pakket geboden door libghc-optparse-applicative-prof
- libghc-optparse-simple-dev (0.1.0-2+b2)
- simple interface to optparse-applicative
- libghc-optparse-simple-dev-0.1.0-0d3c6
- virtueel pakket geboden door libghc-optparse-simple-dev
- libghc-optparse-simple-dev-0.1.0-33287
- virtueel pakket geboden door libghc-optparse-simple-dev
- libghc-optparse-simple-dev-0.1.0-4bde8
- virtueel pakket geboden door libghc-optparse-simple-dev
- libghc-optparse-simple-dev-0.1.0-f796f
- virtueel pakket geboden door libghc-optparse-simple-dev
- libghc-optparse-simple-doc (0.1.0-2)
- simple interface to optparse-applicative; documentation
- libghc-optparse-simple-prof (0.1.0-2+b2)
- simple interface to optparse-applicative; profiling libraries
- libghc-optparse-simple-prof-0.1.0-0d3c6
- virtueel pakket geboden door libghc-optparse-simple-prof
- libghc-optparse-simple-prof-0.1.0-33287
- virtueel pakket geboden door libghc-optparse-simple-prof
- libghc-optparse-simple-prof-0.1.0-4bde8
- virtueel pakket geboden door libghc-optparse-simple-prof
- libghc-optparse-simple-prof-0.1.0-f796f
- virtueel pakket geboden door libghc-optparse-simple-prof
- libghc-pandoc-citeproc-data (0.14.3.1-4)
- Pandoc support for Citation Style Language - data files
- libghc-pandoc-citeproc-dev (0.14.3.1-4+b3)
- support for using pandoc with citeproc
- libghc-pandoc-citeproc-dev-0.14.3.1-55214
- virtueel pakket geboden door libghc-pandoc-citeproc-dev
- libghc-pandoc-citeproc-dev-0.14.3.1-7672d
- virtueel pakket geboden door libghc-pandoc-citeproc-dev
- libghc-pandoc-citeproc-dev-0.14.3.1-af1fe
- virtueel pakket geboden door libghc-pandoc-citeproc-dev
- libghc-pandoc-citeproc-dev-0.14.3.1-fe1fe
- virtueel pakket geboden door libghc-pandoc-citeproc-dev
- libghc-pandoc-citeproc-doc (0.14.3.1-4)
- support for using pandoc with citeproc; documentation
- libghc-pandoc-citeproc-prof (0.14.3.1-4+b3)
- support for using pandoc with citeproc; profiling libraries
- libghc-pandoc-citeproc-prof-0.14.3.1-55214
- virtueel pakket geboden door libghc-pandoc-citeproc-prof
- libghc-pandoc-citeproc-prof-0.14.3.1-7672d
- virtueel pakket geboden door libghc-pandoc-citeproc-prof
- libghc-pandoc-citeproc-prof-0.14.3.1-af1fe
- virtueel pakket geboden door libghc-pandoc-citeproc-prof
- libghc-pandoc-citeproc-prof-0.14.3.1-fe1fe
- virtueel pakket geboden door libghc-pandoc-citeproc-prof
- libghc-pandoc-dev (2.2.1-3+deb10u1) [security]
- general markup converter - libraries
- libghc-pandoc-dev-2.2.1-0412d
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-0b934
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-3fbdf
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-46fdb
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-610a8
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-62d95
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-7431e
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-dev-2.2.1-f27c8
- virtueel pakket geboden door libghc-pandoc-dev
- libghc-pandoc-doc (2.2.1-3+deb10u1) [security]
- general markup converter - library documentation
- libghc-pandoc-prof (2.2.1-3+deb10u1) [security]
- general markup converter - profiling libraries
- libghc-pandoc-prof-2.2.1-0412d
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-0b934
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-3fbdf
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-46fdb
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-610a8
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-62d95
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-7431e
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-prof-2.2.1-f27c8
- virtueel pakket geboden door libghc-pandoc-prof
- libghc-pandoc-sidenote-dev (0.19.0.0-2+b2)
- footnotes-to-sidenotes converter for Pandoc - libraries
- libghc-pandoc-sidenote-dev-0.19.0.0-2eb27
- virtueel pakket geboden door libghc-pandoc-sidenote-dev
- libghc-pandoc-sidenote-dev-0.19.0.0-301bb
- virtueel pakket geboden door libghc-pandoc-sidenote-dev
- libghc-pandoc-sidenote-dev-0.19.0.0-43c1f
- virtueel pakket geboden door libghc-pandoc-sidenote-dev
- libghc-pandoc-sidenote-dev-0.19.0.0-f304a
- virtueel pakket geboden door libghc-pandoc-sidenote-dev
- libghc-pandoc-sidenote-doc (0.19.0.0-2)
- footnotes-to-sidenotes converter for Pandoc - library documentation
- libghc-pandoc-sidenote-prof (0.19.0.0-2+b2)
- footnotes-to-sidenotes converter for Pandoc - profiling libraries
- libghc-pandoc-sidenote-prof-0.19.0.0-2eb27
- virtueel pakket geboden door libghc-pandoc-sidenote-prof
- libghc-pandoc-sidenote-prof-0.19.0.0-301bb
- virtueel pakket geboden door libghc-pandoc-sidenote-prof
- libghc-pandoc-sidenote-prof-0.19.0.0-43c1f
- virtueel pakket geboden door libghc-pandoc-sidenote-prof
- libghc-pandoc-sidenote-prof-0.19.0.0-f304a
- virtueel pakket geboden door libghc-pandoc-sidenote-prof
- libghc-pandoc-types-dev (1.17.5.1-1+b1)
- Haskell data types to represent structured documents
- libghc-pandoc-types-dev-1.17.5.1-00ff3
- virtueel pakket geboden door libghc-pandoc-types-dev
- libghc-pandoc-types-dev-1.17.5.1-92856
- virtueel pakket geboden door libghc-pandoc-types-dev
- libghc-pandoc-types-dev-1.17.5.1-991d6
- virtueel pakket geboden door libghc-pandoc-types-dev
- libghc-pandoc-types-dev-1.17.5.1-f9b12
- virtueel pakket geboden door libghc-pandoc-types-dev
- libghc-pandoc-types-doc (1.17.5.1-1)
- Haskell data types to represent structured documents; documentation
- libghc-pandoc-types-prof (1.17.5.1-1+b1)
- Haskell data types to represent structured documents; profiling libraries
- libghc-pandoc-types-prof-1.17.5.1-00ff3
- virtueel pakket geboden door libghc-pandoc-types-prof
- libghc-pandoc-types-prof-1.17.5.1-92856
- virtueel pakket geboden door libghc-pandoc-types-prof
- libghc-pandoc-types-prof-1.17.5.1-991d6
- virtueel pakket geboden door libghc-pandoc-types-prof
- libghc-pandoc-types-prof-1.17.5.1-f9b12
- virtueel pakket geboden door libghc-pandoc-types-prof
- libghc-pango-dev (0.13.5.0-3+b2)
- binding to the Pango text rendering engine
- libghc-pango-dev-0.13.5.0-2b0cf
- virtueel pakket geboden door libghc-pango-dev
- libghc-pango-dev-0.13.5.0-794df
- virtueel pakket geboden door libghc-pango-dev
- libghc-pango-dev-0.13.5.0-cce1d
- virtueel pakket geboden door libghc-pango-dev
- libghc-pango-dev-0.13.5.0-ffb64
- virtueel pakket geboden door libghc-pango-dev
- libghc-pango-doc (0.13.5.0-3)
- Binding to the Pango text rendering engine; documentation
- libghc-pango-prof (0.13.5.0-3+b2)
- Binding to the Pango text rendering engine; profiling libraries
- libghc-pango-prof-0.13.5.0-2b0cf
- virtueel pakket geboden door libghc-pango-prof
- libghc-pango-prof-0.13.5.0-794df
- virtueel pakket geboden door libghc-pango-prof
- libghc-pango-prof-0.13.5.0-cce1d
- virtueel pakket geboden door libghc-pango-prof
- libghc-pango-prof-0.13.5.0-ffb64
- virtueel pakket geboden door libghc-pango-prof
- libghc-panic-dev (0.4.0.1-1+b1)
- convenient way to panic
- libghc-panic-dev-0.4.0.1-59e10
- virtueel pakket geboden door libghc-panic-dev
- libghc-panic-dev-0.4.0.1-68b11
- virtueel pakket geboden door libghc-panic-dev
- libghc-panic-dev-0.4.0.1-b3c67
- virtueel pakket geboden door libghc-panic-dev
- libghc-panic-dev-0.4.0.1-f7723
- virtueel pakket geboden door libghc-panic-dev
- libghc-panic-doc (0.4.0.1-1)
- convenient way to panic; documentation
- libghc-panic-prof (0.4.0.1-1+b1)
- convenient way to panic; profiling libraries
- libghc-panic-prof-0.4.0.1-59e10
- virtueel pakket geboden door libghc-panic-prof
- libghc-panic-prof-0.4.0.1-68b11
- virtueel pakket geboden door libghc-panic-prof
- libghc-panic-prof-0.4.0.1-b3c67
- virtueel pakket geboden door libghc-panic-prof
- libghc-panic-prof-0.4.0.1-f7723
- virtueel pakket geboden door libghc-panic-prof
- libghc-parallel-dev (3.2.2.0-1+b2)
- Haskell parallel programming library for GHC
- libghc-parallel-dev-3.2.2.0-2f0eb
- virtueel pakket geboden door libghc-parallel-dev
- libghc-parallel-dev-3.2.2.0-b989a
- virtueel pakket geboden door libghc-parallel-dev
- libghc-parallel-dev-3.2.2.0-bf6f2
- virtueel pakket geboden door libghc-parallel-dev
- libghc-parallel-dev-3.2.2.0-eccbc
- virtueel pakket geboden door libghc-parallel-dev
- libghc-parallel-doc (3.2.2.0-1)
- Haskell parallel programming library for GHC; documentation
- libghc-parallel-prof (3.2.2.0-1+b2)
- Haskell parallel programming library for GHC; profiling libraries
- libghc-parallel-prof-3.2.2.0-2f0eb
- virtueel pakket geboden door libghc-parallel-prof
- libghc-parallel-prof-3.2.2.0-b989a
- virtueel pakket geboden door libghc-parallel-prof
- libghc-parallel-prof-3.2.2.0-bf6f2
- virtueel pakket geboden door libghc-parallel-prof
- libghc-parallel-prof-3.2.2.0-eccbc
- virtueel pakket geboden door libghc-parallel-prof
- libghc-parallel-tree-search-dev (0.4.1-3+b2)
- Parallel Tree Search
- libghc-parallel-tree-search-dev-0.4.1-c3206
- virtueel pakket geboden door libghc-parallel-tree-search-dev
- libghc-parallel-tree-search-dev-0.4.1-d1009
- virtueel pakket geboden door libghc-parallel-tree-search-dev
- libghc-parallel-tree-search-doc (0.4.1-3)
- Parallel Tree Search; documentation
- libghc-parallel-tree-search-prof (0.4.1-3+b2)
- Parallel Tree Search; profiling libraries
- libghc-parallel-tree-search-prof-0.4.1-c3206
- virtueel pakket geboden door libghc-parallel-tree-search-prof
- libghc-parallel-tree-search-prof-0.4.1-d1009
- virtueel pakket geboden door libghc-parallel-tree-search-prof
- libghc-parseargs-dev (0.2.0.8-3+b2)
- Command-line argument parsing library
- libghc-parseargs-dev-0.2.0.8-54489
- virtueel pakket geboden door libghc-parseargs-dev
- libghc-parseargs-dev-0.2.0.8-54a64
- virtueel pakket geboden door libghc-parseargs-dev
- libghc-parseargs-dev-0.2.0.8-b7048
- virtueel pakket geboden door libghc-parseargs-dev
- libghc-parseargs-dev-0.2.0.8-cf9b7
- virtueel pakket geboden door libghc-parseargs-dev
- libghc-parseargs-doc (0.2.0.8-3)
- Command-line argument parsing library; documentation
- libghc-parseargs-prof (0.2.0.8-3+b2)
- Command-line argument parsing library; profiling libraries
- libghc-parseargs-prof-0.2.0.8-54489
- virtueel pakket geboden door libghc-parseargs-prof
- libghc-parseargs-prof-0.2.0.8-54a64
- virtueel pakket geboden door libghc-parseargs-prof
- libghc-parseargs-prof-0.2.0.8-b7048
- virtueel pakket geboden door libghc-parseargs-prof
- libghc-parseargs-prof-0.2.0.8-cf9b7
- virtueel pakket geboden door libghc-parseargs-prof
- libghc-parsec-dev-3.1.13.0-05251
- virtueel pakket geboden door ghc
- libghc-parsec-dev-3.1.13.0-b7493
- virtueel pakket geboden door ghc
- libghc-parsec-dev-3.1.13.0-d0bb3
- virtueel pakket geboden door ghc
- libghc-parsec-dev-3.1.13.0-e94f4
- virtueel pakket geboden door ghc
- libghc-parsec-numbers-dev (0.1.0-2+b2)
- utilities for parsing numbers from strings
- libghc-parsec-numbers-dev-0.1.0-2aac6
- virtueel pakket geboden door libghc-parsec-numbers-dev
- libghc-parsec-numbers-dev-0.1.0-4f5ff
- virtueel pakket geboden door libghc-parsec-numbers-dev
- libghc-parsec-numbers-dev-0.1.0-6bf99
- virtueel pakket geboden door libghc-parsec-numbers-dev
- libghc-parsec-numbers-dev-0.1.0-cf1e1
- virtueel pakket geboden door libghc-parsec-numbers-dev
- libghc-parsec-numbers-doc (0.1.0-2)
- utilities for parsing numbers from strings; documentation
- libghc-parsec-numbers-prof (0.1.0-2+b2)
- utilities for parsing numbers from strings; profiling libraries
- libghc-parsec-numbers-prof-0.1.0-2aac6
- virtueel pakket geboden door libghc-parsec-numbers-prof
- libghc-parsec-numbers-prof-0.1.0-4f5ff
- virtueel pakket geboden door libghc-parsec-numbers-prof
- libghc-parsec-numbers-prof-0.1.0-6bf99
- virtueel pakket geboden door libghc-parsec-numbers-prof
- libghc-parsec-numbers-prof-0.1.0-cf1e1
- virtueel pakket geboden door libghc-parsec-numbers-prof
- libghc-parsec-prof-3.1.13.0-05251
- virtueel pakket geboden door ghc-prof
- libghc-parsec-prof-3.1.13.0-b7493
- virtueel pakket geboden door ghc-prof
- libghc-parsec-prof-3.1.13.0-d0bb3
- virtueel pakket geboden door ghc-prof
- libghc-parsec-prof-3.1.13.0-e94f4
- virtueel pakket geboden door ghc-prof
- libghc-parsec3-dev (= 3.1.13.0)
- virtueel pakket geboden door ghc
- libghc-parsec3-doc (= 3.1.13.0)
- virtueel pakket geboden door ghc-doc
- libghc-parsec3-prof (= 3.1.13.0)
- virtueel pakket geboden door ghc-prof
- libghc-parser-combinators-dev (1.0.0-1+b2)
- commonly useful parser combinators
- libghc-parser-combinators-dev-1.0.0-6f363
- virtueel pakket geboden door libghc-parser-combinators-dev
- libghc-parser-combinators-dev-1.0.0-73285
- virtueel pakket geboden door libghc-parser-combinators-dev
- libghc-parser-combinators-doc (1.0.0-1)
- commonly useful parser combinators; documentation
- libghc-parser-combinators-prof (1.0.0-1+b2)
- commonly useful parser combinators; profiling libraries
- libghc-parser-combinators-prof-1.0.0-6f363
- virtueel pakket geboden door libghc-parser-combinators-prof
- libghc-parser-combinators-prof-1.0.0-73285
- virtueel pakket geboden door libghc-parser-combinators-prof
- libghc-parsers-dev (0.12.9-3+b1)
- parsing combinators
- libghc-parsers-dev-0.12.9-0af5c
- virtueel pakket geboden door libghc-parsers-dev
- libghc-parsers-dev-0.12.9-424fc
- virtueel pakket geboden door libghc-parsers-dev
- libghc-parsers-dev-0.12.9-7b523
- virtueel pakket geboden door libghc-parsers-dev
- libghc-parsers-dev-0.12.9-e2732
- virtueel pakket geboden door libghc-parsers-dev
- libghc-parsers-doc (0.12.9-3)
- parsing combinators; documentation
- libghc-parsers-prof (0.12.9-3+b1)
- parsing combinators; profiling libraries
- libghc-parsers-prof-0.12.9-0af5c
- virtueel pakket geboden door libghc-parsers-prof
- libghc-parsers-prof-0.12.9-424fc
- virtueel pakket geboden door libghc-parsers-prof
- libghc-parsers-prof-0.12.9-7b523
- virtueel pakket geboden door libghc-parsers-prof
- libghc-parsers-prof-0.12.9-e2732
- virtueel pakket geboden door libghc-parsers-prof
- libghc-path-dev (0.6.1-5+b1)
- normalizing well-typed path type
- libghc-path-dev-0.6.1-976e1
- virtueel pakket geboden door libghc-path-dev
- libghc-path-dev-0.6.1-b65da
- virtueel pakket geboden door libghc-path-dev
- libghc-path-dev-0.6.1-d4b4e
- virtueel pakket geboden door libghc-path-dev
- libghc-path-dev-0.6.1-dfe55
- virtueel pakket geboden door libghc-path-dev
- libghc-path-doc (0.6.1-5)
- normalizing well-typed path type; documentation
- libghc-path-io-dev (1.3.3-3+b1)
- Interface to ‘directory’ package for users of ‘path’
- libghc-path-io-dev-1.3.3-09bfc
- virtueel pakket geboden door libghc-path-io-dev
- libghc-path-io-dev-1.3.3-3067d
- virtueel pakket geboden door libghc-path-io-dev
- libghc-path-io-dev-1.3.3-625f9
- virtueel pakket geboden door libghc-path-io-dev
- libghc-path-io-dev-1.3.3-b0765
- virtueel pakket geboden door libghc-path-io-dev
- libghc-path-io-doc (1.3.3-3)
- Interface to ‘directory’ package for users of ‘path’; documentation
- libghc-path-io-prof (1.3.3-3+b1)
- Interface to ‘directory’ package for users of ‘path’; profiling libraries
- libghc-path-io-prof-1.3.3-09bfc
- virtueel pakket geboden door libghc-path-io-prof
- libghc-path-io-prof-1.3.3-3067d
- virtueel pakket geboden door libghc-path-io-prof
- libghc-path-io-prof-1.3.3-625f9
- virtueel pakket geboden door libghc-path-io-prof
- libghc-path-io-prof-1.3.3-b0765
- virtueel pakket geboden door libghc-path-io-prof
- libghc-path-pieces-dev (0.2.1-7+b2)
- components of paths
- libghc-path-pieces-dev-0.2.1-4555c
- virtueel pakket geboden door libghc-path-pieces-dev
- libghc-path-pieces-dev-0.2.1-61443
- virtueel pakket geboden door libghc-path-pieces-dev
- libghc-path-pieces-dev-0.2.1-7abda
- virtueel pakket geboden door libghc-path-pieces-dev
- libghc-path-pieces-dev-0.2.1-8acfa
- virtueel pakket geboden door libghc-path-pieces-dev
- libghc-path-pieces-doc (0.2.1-7)
- components of paths; documentation
- libghc-path-pieces-prof (0.2.1-7+b2)
- components of paths; profiling libraries
- libghc-path-pieces-prof-0.2.1-4555c
- virtueel pakket geboden door libghc-path-pieces-prof
- libghc-path-pieces-prof-0.2.1-61443
- virtueel pakket geboden door libghc-path-pieces-prof
- libghc-path-pieces-prof-0.2.1-7abda
- virtueel pakket geboden door libghc-path-pieces-prof
- libghc-path-pieces-prof-0.2.1-8acfa
- virtueel pakket geboden door libghc-path-pieces-prof
- libghc-path-prof (0.6.1-5+b1)
- normalizing well-typed path type; profiling libraries
- libghc-path-prof-0.6.1-976e1
- virtueel pakket geboden door libghc-path-prof
- libghc-path-prof-0.6.1-b65da
- virtueel pakket geboden door libghc-path-prof
- libghc-path-prof-0.6.1-d4b4e
- virtueel pakket geboden door libghc-path-prof
- libghc-path-prof-0.6.1-dfe55
- virtueel pakket geboden door libghc-path-prof
- libghc-patience-dev (0.1.1-11+b2)
- Haskell implementation of the Patience Diff algorithm
- libghc-patience-dev-0.1.1-438a5
- virtueel pakket geboden door libghc-patience-dev
- libghc-patience-dev-0.1.1-8e8f2
- virtueel pakket geboden door libghc-patience-dev
- libghc-patience-dev-0.1.1-9c8e0
- virtueel pakket geboden door libghc-patience-dev
- libghc-patience-dev-0.1.1-b3753
- virtueel pakket geboden door libghc-patience-dev
- libghc-patience-doc (0.1.1-11)
- Haskell implementation of the Patience Diff algorithm; documentation
- libghc-patience-prof (0.1.1-11+b2)
- Haskell implementation of the Patience Diff algorithm; profiling libraries
- libghc-patience-prof-0.1.1-438a5
- virtueel pakket geboden door libghc-patience-prof
- libghc-patience-prof-0.1.1-8e8f2
- virtueel pakket geboden door libghc-patience-prof
- libghc-patience-prof-0.1.1-9c8e0
- virtueel pakket geboden door libghc-patience-prof
- libghc-patience-prof-0.1.1-b3753
- virtueel pakket geboden door libghc-patience-prof
- libghc-pcap-dev (0.4.5.2-13+b2)
- Haskell wrapper around the C libpcap library
- libghc-pcap-dev-0.4.5.2-13fb6
- virtueel pakket geboden door libghc-pcap-dev
- libghc-pcap-dev-0.4.5.2-143c3
- virtueel pakket geboden door libghc-pcap-dev
- libghc-pcap-dev-0.4.5.2-75888
- virtueel pakket geboden door libghc-pcap-dev
- libghc-pcap-dev-0.4.5.2-b8c26
- virtueel pakket geboden door libghc-pcap-dev
- libghc-pcap-doc (0.4.5.2-13)
- Haskell wrapper around the C libpcap library; documentation
- libghc-pcap-prof (0.4.5.2-13+b2)
- Haskell wrapper around the C libpcap library; profiling libraries
- libghc-pcap-prof-0.4.5.2-13fb6
- virtueel pakket geboden door libghc-pcap-prof
- libghc-pcap-prof-0.4.5.2-143c3
- virtueel pakket geboden door libghc-pcap-prof
- libghc-pcap-prof-0.4.5.2-75888
- virtueel pakket geboden door libghc-pcap-prof
- libghc-pcap-prof-0.4.5.2-b8c26
- virtueel pakket geboden door libghc-pcap-prof
- libghc-pcre-light-dev (0.4.0.4-5+b2)
- Haskell library for Perl 5-compatible regular expressions
- libghc-pcre-light-dev-0.4.0.4-4376b
- virtueel pakket geboden door libghc-pcre-light-dev
- libghc-pcre-light-dev-0.4.0.4-71ac4
- virtueel pakket geboden door libghc-pcre-light-dev
- libghc-pcre-light-dev-0.4.0.4-d7178
- virtueel pakket geboden door libghc-pcre-light-dev
- libghc-pcre-light-dev-0.4.0.4-fac0f
- virtueel pakket geboden door libghc-pcre-light-dev
- libghc-pcre-light-doc (0.4.0.4-5)
- library documentation for pcre-light; documentation
- libghc-pcre-light-prof (0.4.0.4-5+b2)
- pcre-light library with profiling enabled; profiling libraries
- libghc-pcre-light-prof-0.4.0.4-4376b
- virtueel pakket geboden door libghc-pcre-light-prof
- libghc-pcre-light-prof-0.4.0.4-71ac4
- virtueel pakket geboden door libghc-pcre-light-prof
- libghc-pcre-light-prof-0.4.0.4-d7178
- virtueel pakket geboden door libghc-pcre-light-prof
- libghc-pcre-light-prof-0.4.0.4-fac0f
- virtueel pakket geboden door libghc-pcre-light-prof
- libghc-pem-dev (0.2.4-2+b2)
- Privacy Enhanced Mail (PEM) format reader and writer
- libghc-pem-dev-0.2.4-08ddb
- virtueel pakket geboden door libghc-pem-dev
- libghc-pem-dev-0.2.4-262fe
- virtueel pakket geboden door libghc-pem-dev
- libghc-pem-dev-0.2.4-40965
- virtueel pakket geboden door libghc-pem-dev
- libghc-pem-dev-0.2.4-95d23
- virtueel pakket geboden door libghc-pem-dev
- libghc-pem-doc (0.2.4-2)
- Privacy Enhanced Mail (PEM) format reader and writer; documentation
- libghc-pem-prof (0.2.4-2+b2)
- Privacy Enhanced Mail (PEM) format reader and writer; profiling libraries
- libghc-pem-prof-0.2.4-08ddb
- virtueel pakket geboden door libghc-pem-prof
- libghc-pem-prof-0.2.4-262fe
- virtueel pakket geboden door libghc-pem-prof
- libghc-pem-prof-0.2.4-40965
- virtueel pakket geboden door libghc-pem-prof
- libghc-pem-prof-0.2.4-95d23
- virtueel pakket geboden door libghc-pem-prof
- libghc-permutation-dev (0.5.0.5-3+b2)
- library for permutations and combinations
- libghc-permutation-dev-0.5.0.5-063fc
- virtueel pakket geboden door libghc-permutation-dev
- libghc-permutation-dev-0.5.0.5-77725
- virtueel pakket geboden door libghc-permutation-dev
- libghc-permutation-doc (0.5.0.5-3)
- library for permutations and combinations; documentation
- libghc-permutation-prof (0.5.0.5-3+b2)
- library for permutations and combinations; profiling libraries
- libghc-permutation-prof-0.5.0.5-063fc
- virtueel pakket geboden door libghc-permutation-prof
- libghc-permutation-prof-0.5.0.5-77725
- virtueel pakket geboden door libghc-permutation-prof
- libghc-persistable-record-dev (0.6.0.4-2+b2)
- Binding between SQL database values and haskell records.
- libghc-persistable-record-dev-0.6.0.4-068eb
- virtueel pakket geboden door libghc-persistable-record-dev
- libghc-persistable-record-dev-0.6.0.4-26739
- virtueel pakket geboden door libghc-persistable-record-dev
- libghc-persistable-record-dev-0.6.0.4-489b8
- virtueel pakket geboden door libghc-persistable-record-dev
- libghc-persistable-record-dev-0.6.0.4-73a16
- virtueel pakket geboden door libghc-persistable-record-dev
- libghc-persistable-record-doc (0.6.0.4-2)
- Binding between SQL database values and haskell records.; documentation
- libghc-persistable-record-prof (0.6.0.4-2+b2)
- Binding between SQL database values and haskell records.; profiling libraries
- libghc-persistable-record-prof-0.6.0.4-068eb
- virtueel pakket geboden door libghc-persistable-record-prof
- libghc-persistable-record-prof-0.6.0.4-26739
- virtueel pakket geboden door libghc-persistable-record-prof
- libghc-persistable-record-prof-0.6.0.4-489b8
- virtueel pakket geboden door libghc-persistable-record-prof
- libghc-persistable-record-prof-0.6.0.4-73a16
- virtueel pakket geboden door libghc-persistable-record-prof
- libghc-persistable-types-hdbc-pg-dev (0.0.3.5-1+b2)
- Relational-Record instances of PostgreSQL extended types
- libghc-persistable-types-hdbc-pg-dev-0.0.3.5-12a20
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-dev
- libghc-persistable-types-hdbc-pg-dev-0.0.3.5-3e392
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-dev
- libghc-persistable-types-hdbc-pg-dev-0.0.3.5-822ed
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-dev
- libghc-persistable-types-hdbc-pg-dev-0.0.3.5-abde3
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-dev
- libghc-persistable-types-hdbc-pg-doc (0.0.3.5-1)
- Relational-Record instances of PostgreSQL extended types; documentation
- libghc-persistable-types-hdbc-pg-prof (0.0.3.5-1+b2)
- Relational-Record instances of PostgreSQL extended types; profiling libraries
- libghc-persistable-types-hdbc-pg-prof-0.0.3.5-12a20
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-prof
- libghc-persistable-types-hdbc-pg-prof-0.0.3.5-3e392
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-prof
- libghc-persistable-types-hdbc-pg-prof-0.0.3.5-822ed
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-prof
- libghc-persistable-types-hdbc-pg-prof-0.0.3.5-abde3
- virtueel pakket geboden door libghc-persistable-types-hdbc-pg-prof
- libghc-persistent-dev (2.8.2-3+b1)
- type-safe, non-relational, multi-backend persistence
- libghc-persistent-dev-2.8.2-8324b
- virtueel pakket geboden door libghc-persistent-dev
- libghc-persistent-dev-2.8.2-ab9d1
- virtueel pakket geboden door libghc-persistent-dev
- libghc-persistent-dev-2.8.2-e1448
- virtueel pakket geboden door libghc-persistent-dev
- libghc-persistent-dev-2.8.2-e4dff
- virtueel pakket geboden door libghc-persistent-dev
- libghc-persistent-doc (2.8.2-3)
- type-safe, non-relational, multi-backend persistence; documentation
- libghc-persistent-postgresql-dev (2.8.2.0-3+b1)
- backend for the persistent library using PostgreSQL
- libghc-persistent-postgresql-dev-2.8.2.0-0d25c
- virtueel pakket geboden door libghc-persistent-postgresql-dev
- libghc-persistent-postgresql-dev-2.8.2.0-21053
- virtueel pakket geboden door libghc-persistent-postgresql-dev
- libghc-persistent-postgresql-dev-2.8.2.0-5ef4f
- virtueel pakket geboden door libghc-persistent-postgresql-dev
- libghc-persistent-postgresql-dev-2.8.2.0-fe208
- virtueel pakket geboden door libghc-persistent-postgresql-dev
- libghc-persistent-postgresql-doc (2.8.2.0-3)
- backend for the persistent library using PostgreSQL; documentation
- libghc-persistent-postgresql-prof (2.8.2.0-3+b1)
- backend for the persistent library using PostgreSQL; profiling libraries
- libghc-persistent-postgresql-prof-2.8.2.0-0d25c
- virtueel pakket geboden door libghc-persistent-postgresql-prof
- libghc-persistent-postgresql-prof-2.8.2.0-21053
- virtueel pakket geboden door libghc-persistent-postgresql-prof
- libghc-persistent-postgresql-prof-2.8.2.0-5ef4f
- virtueel pakket geboden door libghc-persistent-postgresql-prof
- libghc-persistent-postgresql-prof-2.8.2.0-fe208
- virtueel pakket geboden door libghc-persistent-postgresql-prof
- libghc-persistent-prof (2.8.2-3+b1)
- type-safe, non-relational, multi-backend persistence; profiling libraries
- libghc-persistent-prof-2.8.2-8324b
- virtueel pakket geboden door libghc-persistent-prof
- libghc-persistent-prof-2.8.2-ab9d1
- virtueel pakket geboden door libghc-persistent-prof
- libghc-persistent-prof-2.8.2-e1448
- virtueel pakket geboden door libghc-persistent-prof
- libghc-persistent-prof-2.8.2-e4dff
- virtueel pakket geboden door libghc-persistent-prof
- libghc-persistent-sqlite-dev (2.8.2-2+b1)
- SQLite3 backend for the persistent library
- libghc-persistent-sqlite-dev-2.8.2-25589
- virtueel pakket geboden door libghc-persistent-sqlite-dev
- libghc-persistent-sqlite-dev-2.8.2-aeea2
- virtueel pakket geboden door libghc-persistent-sqlite-dev
- libghc-persistent-sqlite-dev-2.8.2-bbf9f
- virtueel pakket geboden door libghc-persistent-sqlite-dev
- libghc-persistent-sqlite-dev-2.8.2-d741c
- virtueel pakket geboden door libghc-persistent-sqlite-dev
- libghc-persistent-sqlite-doc (2.8.2-2)
- SQLite3 backend for the persistent library; documentation
- libghc-persistent-sqlite-prof (2.8.2-2+b1)
- SQLite3 backend for the persistent library; profiling libraries
- libghc-persistent-sqlite-prof-2.8.2-25589
- virtueel pakket geboden door libghc-persistent-sqlite-prof
- libghc-persistent-sqlite-prof-2.8.2-aeea2
- virtueel pakket geboden door libghc-persistent-sqlite-prof
- libghc-persistent-sqlite-prof-2.8.2-bbf9f
- virtueel pakket geboden door libghc-persistent-sqlite-prof
- libghc-persistent-sqlite-prof-2.8.2-d741c
- virtueel pakket geboden door libghc-persistent-sqlite-prof
- libghc-persistent-template-dev (2.5.4-4+b1)
- type-safe, non-relational, multi-backend persistence
- libghc-persistent-template-dev-2.5.4-1e39b
- virtueel pakket geboden door libghc-persistent-template-dev
- libghc-persistent-template-dev-2.5.4-3aac5
- virtueel pakket geboden door libghc-persistent-template-dev
- libghc-persistent-template-dev-2.5.4-82ad3
- virtueel pakket geboden door libghc-persistent-template-dev
- libghc-persistent-template-dev-2.5.4-dc3eb
- virtueel pakket geboden door libghc-persistent-template-dev
- libghc-persistent-template-doc (2.5.4-4)
- type-safe, non-relational, multi-backend persistence; documentation
- libghc-persistent-template-prof (2.5.4-4+b1)
- type-safe, non-relational, multi-backend persistence; profiling libraries
- libghc-persistent-template-prof-2.5.4-1e39b
- virtueel pakket geboden door libghc-persistent-template-prof
- libghc-persistent-template-prof-2.5.4-3aac5
- virtueel pakket geboden door libghc-persistent-template-prof
- libghc-persistent-template-prof-2.5.4-82ad3
- virtueel pakket geboden door libghc-persistent-template-prof
- libghc-persistent-template-prof-2.5.4-dc3eb
- virtueel pakket geboden door libghc-persistent-template-prof
- libghc-pid1-dev (0.1.2.0-3+b2)
- signal handling and orphan reaping for Unix PID1 init processes
- libghc-pid1-dev-0.1.2.0-05b7b
- virtueel pakket geboden door libghc-pid1-dev
- libghc-pid1-dev-0.1.2.0-3710f
- virtueel pakket geboden door libghc-pid1-dev
- libghc-pid1-dev-0.1.2.0-b469e
- virtueel pakket geboden door libghc-pid1-dev
- libghc-pid1-dev-0.1.2.0-dd5bb
- virtueel pakket geboden door libghc-pid1-dev
- libghc-pid1-doc (0.1.2.0-3)
- signal handling and orphan reaping for Unix PID1 init processes; documentation
- libghc-pid1-prof (0.1.2.0-3+b2)
- signal handling and orphan reaping for Unix PID1 init processes; profiling libraries
- libghc-pid1-prof-0.1.2.0-05b7b
- virtueel pakket geboden door libghc-pid1-prof
- libghc-pid1-prof-0.1.2.0-3710f
- virtueel pakket geboden door libghc-pid1-prof
- libghc-pid1-prof-0.1.2.0-b469e
- virtueel pakket geboden door libghc-pid1-prof
- libghc-pid1-prof-0.1.2.0-dd5bb
- virtueel pakket geboden door libghc-pid1-prof
- libghc-pipes-aeson-dev (0.4.1.8-3+b1)
- encode and decode JSON streams using Aeson and Pipes
- libghc-pipes-aeson-dev-0.4.1.8-2391f
- virtueel pakket geboden door libghc-pipes-aeson-dev
- libghc-pipes-aeson-dev-0.4.1.8-8b85d
- virtueel pakket geboden door libghc-pipes-aeson-dev
- libghc-pipes-aeson-dev-0.4.1.8-d0d2b
- virtueel pakket geboden door libghc-pipes-aeson-dev
- libghc-pipes-aeson-dev-0.4.1.8-d32c6
- virtueel pakket geboden door libghc-pipes-aeson-dev
- libghc-pipes-aeson-doc (0.4.1.8-3)
- encode and decode JSON streams using Aeson and Pipes; documentation
- libghc-pipes-aeson-prof (0.4.1.8-3+b1)
- encode and decode JSON streams using Aeson and Pipes; profiling libraries
- libghc-pipes-aeson-prof-0.4.1.8-2391f
- virtueel pakket geboden door libghc-pipes-aeson-prof
- libghc-pipes-aeson-prof-0.4.1.8-8b85d
- virtueel pakket geboden door libghc-pipes-aeson-prof
- libghc-pipes-aeson-prof-0.4.1.8-d0d2b
- virtueel pakket geboden door libghc-pipes-aeson-prof
- libghc-pipes-aeson-prof-0.4.1.8-d32c6
- virtueel pakket geboden door libghc-pipes-aeson-prof
- libghc-pipes-attoparsec-dev (0.5.1.5-4+b1)
- Attoparsec-Pipes integration
- libghc-pipes-attoparsec-dev-0.5.1.5-82937
- virtueel pakket geboden door libghc-pipes-attoparsec-dev
- libghc-pipes-attoparsec-dev-0.5.1.5-b774a
- virtueel pakket geboden door libghc-pipes-attoparsec-dev
- libghc-pipes-attoparsec-dev-0.5.1.5-c34b1
- virtueel pakket geboden door libghc-pipes-attoparsec-dev
- libghc-pipes-attoparsec-dev-0.5.1.5-e1577
- virtueel pakket geboden door libghc-pipes-attoparsec-dev
- libghc-pipes-attoparsec-doc (0.5.1.5-4)
- Attoparsec-Pipes integration; documentation
- libghc-pipes-attoparsec-prof (0.5.1.5-4+b1)
- Attoparsec-Pipes integration; profiling libraries
- libghc-pipes-attoparsec-prof-0.5.1.5-82937
- virtueel pakket geboden door libghc-pipes-attoparsec-prof
- libghc-pipes-attoparsec-prof-0.5.1.5-b774a
- virtueel pakket geboden door libghc-pipes-attoparsec-prof
- libghc-pipes-attoparsec-prof-0.5.1.5-c34b1
- virtueel pakket geboden door libghc-pipes-attoparsec-prof
- libghc-pipes-attoparsec-prof-0.5.1.5-e1577
- virtueel pakket geboden door libghc-pipes-attoparsec-prof
- libghc-pipes-bytestring-dev (2.1.6-3+b1)
- ByteString support for pipes
- libghc-pipes-bytestring-dev-2.1.6-0dbb1
- virtueel pakket geboden door libghc-pipes-bytestring-dev
- libghc-pipes-bytestring-dev-2.1.6-7c801
- virtueel pakket geboden door libghc-pipes-bytestring-dev
- libghc-pipes-bytestring-dev-2.1.6-86133
- virtueel pakket geboden door libghc-pipes-bytestring-dev
- libghc-pipes-bytestring-dev-2.1.6-8c85e
- virtueel pakket geboden door libghc-pipes-bytestring-dev
- libghc-pipes-bytestring-doc (2.1.6-3)
- ByteString support for pipes; documentation
- libghc-pipes-bytestring-prof (2.1.6-3+b1)
- ByteString support for pipes; profiling libraries
- libghc-pipes-bytestring-prof-2.1.6-0dbb1
- virtueel pakket geboden door libghc-pipes-bytestring-prof
- libghc-pipes-bytestring-prof-2.1.6-7c801
- virtueel pakket geboden door libghc-pipes-bytestring-prof
- libghc-pipes-bytestring-prof-2.1.6-86133
- virtueel pakket geboden door libghc-pipes-bytestring-prof
- libghc-pipes-bytestring-prof-2.1.6-8c85e
- virtueel pakket geboden door libghc-pipes-bytestring-prof
- libghc-pipes-dev (4.3.9-3+b2)
- compositional pipelines
- libghc-pipes-dev-4.3.9-0d2b7
- virtueel pakket geboden door libghc-pipes-dev
- libghc-pipes-dev-4.3.9-95096
- virtueel pakket geboden door libghc-pipes-dev
- libghc-pipes-dev-4.3.9-a6791
- virtueel pakket geboden door libghc-pipes-dev
- libghc-pipes-doc (4.3.9-3)
- compositional pipelines; documentation
- libghc-pipes-group-dev (1.0.12-1+b1)
- group streams into substreams
- libghc-pipes-group-dev-1.0.12-d1944
- virtueel pakket geboden door libghc-pipes-group-dev
- libghc-pipes-group-dev-1.0.12-ed914
- virtueel pakket geboden door libghc-pipes-group-dev
- libghc-pipes-group-dev-1.0.12-eebf8
- virtueel pakket geboden door libghc-pipes-group-dev
- libghc-pipes-group-dev-1.0.12-fcfd5
- virtueel pakket geboden door libghc-pipes-group-dev
- libghc-pipes-group-doc (1.0.12-1)
- group streams into substreams; documentation
- libghc-pipes-group-prof (1.0.12-1+b1)
- group streams into substreams; profiling libraries
- libghc-pipes-group-prof-1.0.12-d1944
- virtueel pakket geboden door libghc-pipes-group-prof
- libghc-pipes-group-prof-1.0.12-ed914
- virtueel pakket geboden door libghc-pipes-group-prof
- libghc-pipes-group-prof-1.0.12-eebf8
- virtueel pakket geboden door libghc-pipes-group-prof
- libghc-pipes-group-prof-1.0.12-fcfd5
- virtueel pakket geboden door libghc-pipes-group-prof
- libghc-pipes-parse-dev (3.0.8-3+b2)
- parsing infrastructure for the pipes ecosystem
- libghc-pipes-parse-dev-3.0.8-2a203
- virtueel pakket geboden door libghc-pipes-parse-dev
- libghc-pipes-parse-dev-3.0.8-7b34b
- virtueel pakket geboden door libghc-pipes-parse-dev
- libghc-pipes-parse-dev-3.0.8-c72eb
- virtueel pakket geboden door libghc-pipes-parse-dev
- libghc-pipes-parse-doc (3.0.8-3)
- parsing infrastructure for the pipes ecosystem; documentation
- libghc-pipes-parse-prof (3.0.8-3+b2)
- parsing infrastructure for the pipes ecosystem; profiling libraries
- libghc-pipes-parse-prof-3.0.8-2a203
- virtueel pakket geboden door libghc-pipes-parse-prof
- libghc-pipes-parse-prof-3.0.8-7b34b
- virtueel pakket geboden door libghc-pipes-parse-prof
- libghc-pipes-parse-prof-3.0.8-c72eb
- virtueel pakket geboden door libghc-pipes-parse-prof
- libghc-pipes-prof (4.3.9-3+b2)
- compositional pipelines; profiling libraries
- libghc-pipes-prof-4.3.9-0d2b7
- virtueel pakket geboden door libghc-pipes-prof
- libghc-pipes-prof-4.3.9-95096
- virtueel pakket geboden door libghc-pipes-prof
- libghc-pipes-prof-4.3.9-a6791
- virtueel pakket geboden door libghc-pipes-prof
- libghc-pipes-safe-dev (2.2.9-3+b1)
- safety for the pipes ecosystem
- libghc-pipes-safe-dev-2.2.9-bf053
- virtueel pakket geboden door libghc-pipes-safe-dev
- libghc-pipes-safe-dev-2.2.9-c3e4f
- virtueel pakket geboden door libghc-pipes-safe-dev
- libghc-pipes-safe-dev-2.2.9-e0b3c
- virtueel pakket geboden door libghc-pipes-safe-dev
- libghc-pipes-safe-dev-2.2.9-e6baa
- virtueel pakket geboden door libghc-pipes-safe-dev
- libghc-pipes-safe-doc (2.2.9-3)
- safety for the pipes ecosystem; documentation
- libghc-pipes-safe-prof (2.2.9-3+b1)
- safety for the pipes ecosystem; profiling libraries
- libghc-pipes-safe-prof-2.2.9-bf053
- virtueel pakket geboden door libghc-pipes-safe-prof
- libghc-pipes-safe-prof-2.2.9-c3e4f
- virtueel pakket geboden door libghc-pipes-safe-prof
- libghc-pipes-safe-prof-2.2.9-e0b3c
- virtueel pakket geboden door libghc-pipes-safe-prof
- libghc-pipes-safe-prof-2.2.9-e6baa
- virtueel pakket geboden door libghc-pipes-safe-prof
- libghc-pipes-zlib-dev (0.4.4.2-2+b2)
- Zlib compression and decompression for Pipes streams
- libghc-pipes-zlib-dev-0.4.4.2-04bea
- virtueel pakket geboden door libghc-pipes-zlib-dev
- libghc-pipes-zlib-dev-0.4.4.2-83279
- virtueel pakket geboden door libghc-pipes-zlib-dev
- libghc-pipes-zlib-dev-0.4.4.2-a70a3
- virtueel pakket geboden door libghc-pipes-zlib-dev
- libghc-pipes-zlib-dev-0.4.4.2-c74d8
- virtueel pakket geboden door libghc-pipes-zlib-dev
- libghc-pipes-zlib-doc (0.4.4.2-2)
- Zlib compression and decompression for Pipes streams; documentation
- libghc-pipes-zlib-prof (0.4.4.2-2+b2)
- Zlib compression and decompression for Pipes streams; profiling libraries
- libghc-pipes-zlib-prof-0.4.4.2-04bea
- virtueel pakket geboden door libghc-pipes-zlib-prof
- libghc-pipes-zlib-prof-0.4.4.2-83279
- virtueel pakket geboden door libghc-pipes-zlib-prof
- libghc-pipes-zlib-prof-0.4.4.2-a70a3
- virtueel pakket geboden door libghc-pipes-zlib-prof
- libghc-pipes-zlib-prof-0.4.4.2-c74d8
- virtueel pakket geboden door libghc-pipes-zlib-prof
- libghc-pointed-dev (5.0.1-3+b1)
- pointed and copointed data
- libghc-pointed-dev-5.0.1-12ba0
- virtueel pakket geboden door libghc-pointed-dev
- libghc-pointed-dev-5.0.1-3889c
- virtueel pakket geboden door libghc-pointed-dev
- libghc-pointed-dev-5.0.1-4ef11
- virtueel pakket geboden door libghc-pointed-dev
- libghc-pointed-dev-5.0.1-fc7c5
- virtueel pakket geboden door libghc-pointed-dev
- libghc-pointed-doc (5.0.1-3)
- pointed and copointed data; documentation
- libghc-pointed-prof (5.0.1-3+b1)
- pointed and copointed data; profiling libraries
- libghc-pointed-prof-5.0.1-12ba0
- virtueel pakket geboden door libghc-pointed-prof
- libghc-pointed-prof-5.0.1-3889c
- virtueel pakket geboden door libghc-pointed-prof
- libghc-pointed-prof-5.0.1-4ef11
- virtueel pakket geboden door libghc-pointed-prof
- libghc-pointed-prof-5.0.1-fc7c5
- virtueel pakket geboden door libghc-pointed-prof
- libghc-pointedlist-dev (0.6.1-8+b2)
- zipper-like comonad which works as a list, tracking a position
- libghc-pointedlist-dev-0.6.1-79a6b
- virtueel pakket geboden door libghc-pointedlist-dev
- libghc-pointedlist-dev-0.6.1-a7310
- virtueel pakket geboden door libghc-pointedlist-dev
- libghc-pointedlist-dev-0.6.1-f1b90
- virtueel pakket geboden door libghc-pointedlist-dev
- libghc-pointedlist-dev-0.6.1-f49d9
- virtueel pakket geboden door libghc-pointedlist-dev
- libghc-pointedlist-doc (0.6.1-8)
- zipper-like comonad which works as a list, tracking a position.; documentation
- libghc-pointedlist-prof (0.6.1-8+b2)
- zipper-like comonad which works as a list, tracking a position; profiling libraries
- libghc-pointedlist-prof-0.6.1-79a6b
- virtueel pakket geboden door libghc-pointedlist-prof
- libghc-pointedlist-prof-0.6.1-a7310
- virtueel pakket geboden door libghc-pointedlist-prof
- libghc-pointedlist-prof-0.6.1-f1b90
- virtueel pakket geboden door libghc-pointedlist-prof
- libghc-pointedlist-prof-0.6.1-f49d9
- virtueel pakket geboden door libghc-pointedlist-prof
- libghc-polyparse-dev (1.12-6+b2)
- alternative parser combinator libraries for Haskell
- libghc-polyparse-dev-1.12-03a02
- virtueel pakket geboden door libghc-polyparse-dev
- libghc-polyparse-dev-1.12-76cfa
- virtueel pakket geboden door libghc-polyparse-dev
- libghc-polyparse-dev-1.12-7eb93
- virtueel pakket geboden door libghc-polyparse-dev
- libghc-polyparse-dev-1.12-bbbe9
- virtueel pakket geboden door libghc-polyparse-dev
- libghc-polyparse-doc (1.12-6)
- alternative parser combinator libraries for Haskell; documentation
- libghc-polyparse-prof (1.12-6+b2)
- alternative parser combinator libraries for Haskell; profiling libraries
- libghc-polyparse-prof-1.12-03a02
- virtueel pakket geboden door libghc-polyparse-prof
- libghc-polyparse-prof-1.12-76cfa
- virtueel pakket geboden door libghc-polyparse-prof
- libghc-polyparse-prof-1.12-7eb93
- virtueel pakket geboden door libghc-polyparse-prof
- libghc-polyparse-prof-1.12-bbbe9
- virtueel pakket geboden door libghc-polyparse-prof
- libghc-posix-pty-dev (0.2.1.1-4+b2)
- interact with pseudoterminals using subprocesses
- libghc-posix-pty-dev-0.2.1.1-155ec
- virtueel pakket geboden door libghc-posix-pty-dev
- libghc-posix-pty-dev-0.2.1.1-44a15
- virtueel pakket geboden door libghc-posix-pty-dev
- libghc-posix-pty-dev-0.2.1.1-5d390
- virtueel pakket geboden door libghc-posix-pty-dev
- libghc-posix-pty-dev-0.2.1.1-f233f
- virtueel pakket geboden door libghc-posix-pty-dev
- libghc-posix-pty-doc (0.2.1.1-4)
- interact with pseudoterminals using subprocesses; documentation
- libghc-posix-pty-prof (0.2.1.1-4+b2)
- interact with pseudoterminals using subprocesses; profiling libraries
- libghc-posix-pty-prof-0.2.1.1-155ec
- virtueel pakket geboden door libghc-posix-pty-prof
- libghc-posix-pty-prof-0.2.1.1-44a15
- virtueel pakket geboden door libghc-posix-pty-prof
- libghc-posix-pty-prof-0.2.1.1-5d390
- virtueel pakket geboden door libghc-posix-pty-prof
- libghc-posix-pty-prof-0.2.1.1-f233f
- virtueel pakket geboden door libghc-posix-pty-prof
- libghc-postgresql-libpq-dev (0.9.4.1-2+b2)
- low-level binding to libpq
- libghc-postgresql-libpq-dev-0.9.4.1-283f4
- virtueel pakket geboden door libghc-postgresql-libpq-dev
- libghc-postgresql-libpq-dev-0.9.4.1-38567
- virtueel pakket geboden door libghc-postgresql-libpq-dev
- libghc-postgresql-libpq-dev-0.9.4.1-9fb62
- virtueel pakket geboden door libghc-postgresql-libpq-dev
- libghc-postgresql-libpq-dev-0.9.4.1-c9774
- virtueel pakket geboden door libghc-postgresql-libpq-dev
- libghc-postgresql-libpq-doc (0.9.4.1-2)
- low-level binding to libpq; documentation
- libghc-postgresql-libpq-prof (0.9.4.1-2+b2)
- low-level binding to libpq; profiling libraries
- libghc-postgresql-libpq-prof-0.9.4.1-283f4
- virtueel pakket geboden door libghc-postgresql-libpq-prof
- libghc-postgresql-libpq-prof-0.9.4.1-38567
- virtueel pakket geboden door libghc-postgresql-libpq-prof
- libghc-postgresql-libpq-prof-0.9.4.1-9fb62
- virtueel pakket geboden door libghc-postgresql-libpq-prof
- libghc-postgresql-libpq-prof-0.9.4.1-c9774
- virtueel pakket geboden door libghc-postgresql-libpq-prof
- libghc-postgresql-simple-dev (0.5.4.0-3+b1)
- mid-level PostgreSQL client library
- libghc-postgresql-simple-dev-0.5.4.0-00d2c
- virtueel pakket geboden door libghc-postgresql-simple-dev
- libghc-postgresql-simple-dev-0.5.4.0-2b884
- virtueel pakket geboden door libghc-postgresql-simple-dev
- libghc-postgresql-simple-dev-0.5.4.0-6518b
- virtueel pakket geboden door libghc-postgresql-simple-dev
- libghc-postgresql-simple-dev-0.5.4.0-74791
- virtueel pakket geboden door libghc-postgresql-simple-dev
- libghc-postgresql-simple-doc (0.5.4.0-3)
- mid-level PostgreSQL client library; documentation
- libghc-postgresql-simple-prof (0.5.4.0-3+b1)
- mid-level PostgreSQL client library; profiling libraries
- libghc-postgresql-simple-prof-0.5.4.0-00d2c
- virtueel pakket geboden door libghc-postgresql-simple-prof
- libghc-postgresql-simple-prof-0.5.4.0-2b884
- virtueel pakket geboden door libghc-postgresql-simple-prof
- libghc-postgresql-simple-prof-0.5.4.0-6518b
- virtueel pakket geboden door libghc-postgresql-simple-prof
- libghc-postgresql-simple-prof-0.5.4.0-74791
- virtueel pakket geboden door libghc-postgresql-simple-prof
- libghc-pqueue-dev (1.4.1.1-2+b2)
- reliable, persistent, fast priority queues.
- libghc-pqueue-dev-1.4.1.1-1772d
- virtueel pakket geboden door libghc-pqueue-dev
- libghc-pqueue-dev-1.4.1.1-4e21b
- virtueel pakket geboden door libghc-pqueue-dev
- libghc-pqueue-dev-1.4.1.1-5dbfb
- virtueel pakket geboden door libghc-pqueue-dev
- libghc-pqueue-dev-1.4.1.1-b76a8
- virtueel pakket geboden door libghc-pqueue-dev
- libghc-pqueue-doc (1.4.1.1-2)
- reliable, persistent, fast priority queues.; documentation
- libghc-pqueue-prof (1.4.1.1-2+b2)
- reliable, persistent, fast priority queues.; profiling libraries
- libghc-pqueue-prof-1.4.1.1-1772d
- virtueel pakket geboden door libghc-pqueue-prof
- libghc-pqueue-prof-1.4.1.1-4e21b
- virtueel pakket geboden door libghc-pqueue-prof
- libghc-pqueue-prof-1.4.1.1-5dbfb
- virtueel pakket geboden door libghc-pqueue-prof
- libghc-pqueue-prof-1.4.1.1-b76a8
- virtueel pakket geboden door libghc-pqueue-prof
- (0.4.0.3-5+b2)
- higher-order versions of Prelude classes
- virtueel pakket geboden door libghc-prelude-extras-dev
- virtueel pakket geboden door libghc-prelude-extras-dev
- virtueel pakket geboden door libghc-prelude-extras-dev
- (0.4.0.3-5)
- higher-order versions of Prelude classes; documentation
- (0.4.0.3-5+b2)
- higher-order versions of Prelude classes; profiling libraries
- virtueel pakket geboden door libghc-prelude-extras-prof
- virtueel pakket geboden door libghc-prelude-extras-prof
- virtueel pakket geboden door libghc-prelude-extras-prof
- libghc-presburger-dev (1.3.1-6+b2)
- decision procedure for quantifier-free linear arithmetic
- libghc-presburger-dev-1.3.1-10623
- virtueel pakket geboden door libghc-presburger-dev
- libghc-presburger-dev-1.3.1-ae2ff
- virtueel pakket geboden door libghc-presburger-dev
- libghc-presburger-dev-1.3.1-dffd9
- virtueel pakket geboden door libghc-presburger-dev
- libghc-presburger-dev-1.3.1-f5925
- virtueel pakket geboden door libghc-presburger-dev
- libghc-presburger-doc (1.3.1-6)
- decision procedure for quantifier-free linear arithmetic; documentation
- libghc-presburger-prof (1.3.1-6+b2)
- decision procedure for quantifier-free linear arithmetic; profiling libraries
- libghc-presburger-prof-1.3.1-10623
- virtueel pakket geboden door libghc-presburger-prof
- libghc-presburger-prof-1.3.1-ae2ff
- virtueel pakket geboden door libghc-presburger-prof
- libghc-presburger-prof-1.3.1-dffd9
- virtueel pakket geboden door libghc-presburger-prof
- libghc-presburger-prof-1.3.1-f5925
- virtueel pakket geboden door libghc-presburger-prof
- libghc-pretty-dev (= 1.1.3.6)
- virtueel pakket geboden door ghc
- libghc-pretty-dev-1.1.3.6-1c145
- virtueel pakket geboden door ghc
- libghc-pretty-dev-1.1.3.6-41c62
- virtueel pakket geboden door ghc
- libghc-pretty-dev-1.1.3.6-9263a
- virtueel pakket geboden door ghc
- libghc-pretty-dev-1.1.3.6-aea39
- virtueel pakket geboden door ghc
- libghc-pretty-doc (= 1.1.3.6)
- virtueel pakket geboden door ghc-doc
- libghc-pretty-prof (= 1.1.3.6)
- virtueel pakket geboden door ghc-prof
- libghc-pretty-prof-1.1.3.6-1c145
- virtueel pakket geboden door ghc-prof
- libghc-pretty-prof-1.1.3.6-41c62
- virtueel pakket geboden door ghc-prof
- libghc-pretty-prof-1.1.3.6-9263a
- virtueel pakket geboden door ghc-prof
- libghc-pretty-prof-1.1.3.6-aea39
- virtueel pakket geboden door ghc-prof
- libghc-pretty-show-dev (1.7-2+b2)
- A Haskell library for pretty printing data structures
- libghc-pretty-show-dev-1.7-0bf2e
- virtueel pakket geboden door libghc-pretty-show-dev
- libghc-pretty-show-dev-1.7-0ea81
- virtueel pakket geboden door libghc-pretty-show-dev
- libghc-pretty-show-dev-1.7-4cef4
- virtueel pakket geboden door libghc-pretty-show-dev
- libghc-pretty-show-dev-1.7-d990e
- virtueel pakket geboden door libghc-pretty-show-dev
- libghc-pretty-show-doc (1.7-2)
- Documentation for Haskell pretty printing library; documentation
- libghc-pretty-show-prof (1.7-2+b2)
- Profiling libraries for pretty printing Haskell data structures; profiling libraries
- libghc-pretty-show-prof-1.7-0bf2e
- virtueel pakket geboden door libghc-pretty-show-prof
- libghc-pretty-show-prof-1.7-0ea81
- virtueel pakket geboden door libghc-pretty-show-prof
- libghc-pretty-show-prof-1.7-4cef4
- virtueel pakket geboden door libghc-pretty-show-prof
- libghc-pretty-show-prof-1.7-d990e
- virtueel pakket geboden door libghc-pretty-show-prof
- libghc-pretty-simple-dev (2.1.0.1-1)
- pretty printer for data types with a 'Show' instance
- libghc-pretty-simple-dev-2.1.0.1-71e40
- virtueel pakket geboden door libghc-pretty-simple-dev
- libghc-pretty-simple-dev-2.1.0.1-800ff
- virtueel pakket geboden door libghc-pretty-simple-dev
- libghc-pretty-simple-dev-2.1.0.1-812da
- virtueel pakket geboden door libghc-pretty-simple-dev
- libghc-pretty-simple-dev-2.1.0.1-db61d
- virtueel pakket geboden door libghc-pretty-simple-dev
- libghc-pretty-simple-doc (2.1.0.1-1)
- pretty printer for data types with a 'Show' instance; documentation
- libghc-pretty-simple-prof (2.1.0.1-1)
- pretty printer for data types with a 'Show' instance; profiling libraries
- libghc-pretty-simple-prof-2.1.0.1-71e40
- virtueel pakket geboden door libghc-pretty-simple-prof
- libghc-pretty-simple-prof-2.1.0.1-800ff
- virtueel pakket geboden door libghc-pretty-simple-prof
- libghc-pretty-simple-prof-2.1.0.1-812da
- virtueel pakket geboden door libghc-pretty-simple-prof
- libghc-pretty-simple-prof-2.1.0.1-db61d
- virtueel pakket geboden door libghc-pretty-simple-prof
- libghc-prettyclass-dev (1.0.0.0-8+b2)
- Pretty printing class similar to Show.
- libghc-prettyclass-dev-1.0.0.0-27abc
- virtueel pakket geboden door libghc-prettyclass-dev
- libghc-prettyclass-dev-1.0.0.0-47356
- virtueel pakket geboden door libghc-prettyclass-dev
- libghc-prettyclass-dev-1.0.0.0-b5e99
- virtueel pakket geboden door libghc-prettyclass-dev
- libghc-prettyclass-dev-1.0.0.0-d8dc5
- virtueel pakket geboden door libghc-prettyclass-dev
- libghc-prettyclass-doc (1.0.0.0-8)
- Pretty printing class similar to Show.; documentation
- libghc-prettyclass-prof (1.0.0.0-8+b2)
- Pretty printing class similar to Show.; profiling libraries
- libghc-prettyclass-prof-1.0.0.0-27abc
- virtueel pakket geboden door libghc-prettyclass-prof
- libghc-prettyclass-prof-1.0.0.0-47356
- virtueel pakket geboden door libghc-prettyclass-prof
- libghc-prettyclass-prof-1.0.0.0-b5e99
- virtueel pakket geboden door libghc-prettyclass-prof
- libghc-prettyclass-prof-1.0.0.0-d8dc5
- virtueel pakket geboden door libghc-prettyclass-prof
- libghc-prettyprinter-ansi-terminal-dev (1.1.1.2-4+b1)
- ANSI terminal backend for prettyprinter
- libghc-prettyprinter-ansi-terminal-dev-1.1.1.2-2e0e2
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-dev
- libghc-prettyprinter-ansi-terminal-dev-1.1.1.2-55eb0
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-dev
- libghc-prettyprinter-ansi-terminal-dev-1.1.1.2-689af
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-dev
- libghc-prettyprinter-ansi-terminal-dev-1.1.1.2-a98c3
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-dev
- libghc-prettyprinter-ansi-terminal-doc (1.1.1.2-4)
- ANSI terminal backend for prettyprinter; documentation
- libghc-prettyprinter-ansi-terminal-prof (1.1.1.2-4+b1)
- ANSI terminal backend for prettyprinter; profiling libraries
- libghc-prettyprinter-ansi-terminal-prof-1.1.1.2-2e0e2
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-prof
- libghc-prettyprinter-ansi-terminal-prof-1.1.1.2-55eb0
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-prof
- libghc-prettyprinter-ansi-terminal-prof-1.1.1.2-689af
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-prof
- libghc-prettyprinter-ansi-terminal-prof-1.1.1.2-a98c3
- virtueel pakket geboden door libghc-prettyprinter-ansi-terminal-prof
- libghc-prettyprinter-convert-ansi-wl-pprint-dev (1.1-4+b1)
- convert documents between ansi-wl-pprint and prettyprinter
- libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1-10111
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-dev
- libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1-6fefd
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-dev
- libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1-8ebc5
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-dev
- libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1-faac1
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-dev
- libghc-prettyprinter-convert-ansi-wl-pprint-doc (1.1-4)
- convert documents between ansi-wl-pprint and prettyprinter; documentation
- libghc-prettyprinter-convert-ansi-wl-pprint-prof (1.1-4+b1)
- convert documents between ansi-wl-pprint and prettyprinter; profiling libraries
- libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1-10111
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-prof
- libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1-6fefd
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-prof
- libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1-8ebc5
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-prof
- libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1-faac1
- virtueel pakket geboden door libghc-prettyprinter-convert-ansi-wl-pprint-prof
- libghc-prettyprinter-dev (1.2.1-3+b2)
- modern, easy-to-use, extensible prettyprinter
- libghc-prettyprinter-dev-1.2.1-33be2
- virtueel pakket geboden door libghc-prettyprinter-dev
- libghc-prettyprinter-dev-1.2.1-76b00
- virtueel pakket geboden door libghc-prettyprinter-dev
- libghc-prettyprinter-dev-1.2.1-9ebd6
- virtueel pakket geboden door libghc-prettyprinter-dev
- libghc-prettyprinter-dev-1.2.1-f2b7d
- virtueel pakket geboden door libghc-prettyprinter-dev
- libghc-prettyprinter-doc (1.2.1-3)
- modern, easy-to-use, extensible prettyprinter; documentation
- libghc-prettyprinter-prof (1.2.1-3+b2)
- modern, easy-to-use, extensible prettyprinter; profiling libraries
- libghc-prettyprinter-prof-1.2.1-33be2
- virtueel pakket geboden door libghc-prettyprinter-prof
- libghc-prettyprinter-prof-1.2.1-76b00
- virtueel pakket geboden door libghc-prettyprinter-prof
- libghc-prettyprinter-prof-1.2.1-9ebd6
- virtueel pakket geboden door libghc-prettyprinter-prof
- libghc-prettyprinter-prof-1.2.1-f2b7d
- virtueel pakket geboden door libghc-prettyprinter-prof
- libghc-prim-uniq-dev (0.1.0.1-5+b2)
- opaque unique identifiers in primitive state monads
- libghc-prim-uniq-dev-0.1.0.1-3da31
- virtueel pakket geboden door libghc-prim-uniq-dev
- libghc-prim-uniq-dev-0.1.0.1-7f254
- virtueel pakket geboden door libghc-prim-uniq-dev
- libghc-prim-uniq-dev-0.1.0.1-b8a5d
- virtueel pakket geboden door libghc-prim-uniq-dev
- libghc-prim-uniq-doc (0.1.0.1-5)
- opaque unique identifiers in primitive state monads; documentation
- libghc-prim-uniq-prof (0.1.0.1-5+b2)
- opaque unique identifiers in primitive state monads; profiling libraries
- libghc-prim-uniq-prof-0.1.0.1-3da31
- virtueel pakket geboden door libghc-prim-uniq-prof
- libghc-prim-uniq-prof-0.1.0.1-7f254
- virtueel pakket geboden door libghc-prim-uniq-prof
- libghc-prim-uniq-prof-0.1.0.1-b8a5d
- virtueel pakket geboden door libghc-prim-uniq-prof
- libghc-primes-dev (0.2.1.0-12+b2)
- efficient, purely functional generation of prime numbers
- libghc-primes-dev-0.2.1.0-5f3b0
- virtueel pakket geboden door libghc-primes-dev
- libghc-primes-dev-0.2.1.0-69b37
- virtueel pakket geboden door libghc-primes-dev
- libghc-primes-doc (0.2.1.0-12)
- efficient, purely functional generation of prime numbers; documentation
- libghc-primes-prof (0.2.1.0-12+b2)
- efficient, purely functional generation of prime numbers; profiling libraries
- libghc-primes-prof-0.2.1.0-5f3b0
- virtueel pakket geboden door libghc-primes-prof
- libghc-primes-prof-0.2.1.0-69b37
- virtueel pakket geboden door libghc-primes-prof
- libghc-primitive-dev (0.6.4.0-2+b2)
- Wrappers for primitive operations
- libghc-primitive-dev-0.6.4.0-008e4
- virtueel pakket geboden door libghc-primitive-dev
- libghc-primitive-dev-0.6.4.0-171f6
- virtueel pakket geboden door libghc-primitive-dev
- libghc-primitive-dev-0.6.4.0-9fde5
- virtueel pakket geboden door libghc-primitive-dev
- libghc-primitive-dev-0.6.4.0-b7b8e
- virtueel pakket geboden door libghc-primitive-dev
- libghc-primitive-doc (0.6.4.0-2)
- Wrappers for primitive operations; documentation
- libghc-primitive-prof (0.6.4.0-2+b2)
- Wrappers for primitive operations; profiling libraries
- libghc-primitive-prof-0.6.4.0-008e4
- virtueel pakket geboden door libghc-primitive-prof
- libghc-primitive-prof-0.6.4.0-171f6
- virtueel pakket geboden door libghc-primitive-prof
- libghc-primitive-prof-0.6.4.0-9fde5
- virtueel pakket geboden door libghc-primitive-prof
- libghc-primitive-prof-0.6.4.0-b7b8e
- virtueel pakket geboden door libghc-primitive-prof
- libghc-process-dev (= 1.6.3.0)
- virtueel pakket geboden door ghc
- libghc-process-dev-1.6.3.0-5105e
- virtueel pakket geboden door ghc
- libghc-process-dev-1.6.3.0-7de11
- virtueel pakket geboden door ghc
- libghc-process-dev-1.6.3.0-871ce
- virtueel pakket geboden door ghc
- libghc-process-doc (= 1.6.3.0)
- virtueel pakket geboden door ghc-doc
- (0.7.4-3+b2)
- Extra functionality for the Process library
- virtueel pakket geboden door libghc-process-extras-dev
- virtueel pakket geboden door libghc-process-extras-dev
- virtueel pakket geboden door libghc-process-extras-dev
- virtueel pakket geboden door libghc-process-extras-dev
- (0.7.4-3)
- Extra functionality for the Process library; documentation
- (0.7.4-3+b2)
- Extra functionality for the Process library; profiling libraries
- virtueel pakket geboden door libghc-process-extras-prof
- virtueel pakket geboden door libghc-process-extras-prof
- virtueel pakket geboden door libghc-process-extras-prof
- virtueel pakket geboden door libghc-process-extras-prof
- libghc-process-prof (= 1.6.3.0)
- virtueel pakket geboden door ghc-prof
- libghc-process-prof-1.6.3.0-5105e
- virtueel pakket geboden door ghc-prof
- libghc-process-prof-1.6.3.0-7de11
- virtueel pakket geboden door ghc-prof
- libghc-process-prof-1.6.3.0-871ce
- virtueel pakket geboden door ghc-prof
- libghc-product-isomorphic-dev (0.0.3.3-1+b2)
- weaken applicative functor on products
- libghc-product-isomorphic-dev-0.0.3.3-3e1b9
- virtueel pakket geboden door libghc-product-isomorphic-dev
- libghc-product-isomorphic-dev-0.0.3.3-7e47a
- virtueel pakket geboden door libghc-product-isomorphic-dev
- libghc-product-isomorphic-dev-0.0.3.3-c07d3
- virtueel pakket geboden door libghc-product-isomorphic-dev
- libghc-product-isomorphic-doc (0.0.3.3-1)
- weaken applicative functor on products; documentation
- libghc-product-isomorphic-prof (0.0.3.3-1+b2)
- weaken applicative functor on products; profiling libraries
- libghc-product-isomorphic-prof-0.0.3.3-3e1b9
- virtueel pakket geboden door libghc-product-isomorphic-prof
- libghc-product-isomorphic-prof-0.0.3.3-7e47a
- virtueel pakket geboden door libghc-product-isomorphic-prof
- libghc-product-isomorphic-prof-0.0.3.3-c07d3
- virtueel pakket geboden door libghc-product-isomorphic-prof
- libghc-profunctors-dev (5.2.2-3+b1)
- Haskell 98 Profunctors
- libghc-profunctors-dev-5.2.2-20a86
- virtueel pakket geboden door libghc-profunctors-dev
- libghc-profunctors-dev-5.2.2-af8df
- virtueel pakket geboden door libghc-profunctors-dev
- libghc-profunctors-dev-5.2.2-c71cb
- virtueel pakket geboden door libghc-profunctors-dev
- libghc-profunctors-dev-5.2.2-d6b03
- virtueel pakket geboden door libghc-profunctors-dev
- libghc-profunctors-doc (5.2.2-3)
- Haskell 98 Profunctors; documentation
- libghc-profunctors-prof (5.2.2-3+b1)
- Haskell 98 Profunctors; profiling libraries
- libghc-profunctors-prof-5.2.2-20a86
- virtueel pakket geboden door libghc-profunctors-prof
- libghc-profunctors-prof-5.2.2-af8df
- virtueel pakket geboden door libghc-profunctors-prof
- libghc-profunctors-prof-5.2.2-c71cb
- virtueel pakket geboden door libghc-profunctors-prof
- libghc-profunctors-prof-5.2.2-d6b03
- virtueel pakket geboden door libghc-profunctors-prof
- libghc-project-template-dev (0.2.0.1-3+b1)
- specify Haskell project templates and generate files
- libghc-project-template-dev-0.2.0.1-26376
- virtueel pakket geboden door libghc-project-template-dev
- libghc-project-template-dev-0.2.0.1-2d50c
- virtueel pakket geboden door libghc-project-template-dev
- libghc-project-template-dev-0.2.0.1-6beca
- virtueel pakket geboden door libghc-project-template-dev
- libghc-project-template-dev-0.2.0.1-c6cde
- virtueel pakket geboden door libghc-project-template-dev
- libghc-project-template-doc (0.2.0.1-3)
- specify Haskell project templates and generate files; documentation
- libghc-project-template-prof (0.2.0.1-3+b1)
- specify Haskell project templates and generate files; profiling libraries
- libghc-project-template-prof-0.2.0.1-26376
- virtueel pakket geboden door libghc-project-template-prof
- libghc-project-template-prof-0.2.0.1-2d50c
- virtueel pakket geboden door libghc-project-template-prof
- libghc-project-template-prof-0.2.0.1-6beca
- virtueel pakket geboden door libghc-project-template-prof
- libghc-project-template-prof-0.2.0.1-c6cde
- virtueel pakket geboden door libghc-project-template-prof
- libghc-propellor-dev (5.6.0-1)
- property-based host configuration management in haskell
- libghc-propellor-dev-5.6.0-20ed2
- virtueel pakket geboden door libghc-propellor-dev
- libghc-propellor-dev-5.6.0-4d4ca
- virtueel pakket geboden door libghc-propellor-dev
- libghc-propellor-dev-5.6.0-71706
- virtueel pakket geboden door libghc-propellor-dev
- libghc-propellor-dev-5.6.0-905e4
- virtueel pakket geboden door libghc-propellor-dev
- libghc-propellor-doc (5.6.0-1)
- property-based host configuration management in haskell; documentation
- libghc-propellor-prof (5.6.0-1)
- property-based host configuration management in haskell; profiling libraries
- libghc-propellor-prof-5.6.0-20ed2
- virtueel pakket geboden door libghc-propellor-prof
- libghc-propellor-prof-5.6.0-4d4ca
- virtueel pakket geboden door libghc-propellor-prof
- libghc-propellor-prof-5.6.0-71706
- virtueel pakket geboden door libghc-propellor-prof
- libghc-propellor-prof-5.6.0-905e4
- virtueel pakket geboden door libghc-propellor-prof
- libghc-protobuf-dev (0.2.1.2-3+b1)
- Google Protocol Buffers for Haskell
- libghc-protobuf-dev-0.2.1.2-12829
- virtueel pakket geboden door libghc-protobuf-dev
- libghc-protobuf-dev-0.2.1.2-73a48
- virtueel pakket geboden door libghc-protobuf-dev
- libghc-protobuf-dev-0.2.1.2-7deb7
- virtueel pakket geboden door libghc-protobuf-dev
- libghc-protobuf-doc (0.2.1.2-3)
- Google Protocol Buffers for Haskell; documentation
- libghc-protobuf-prof (0.2.1.2-3+b1)
- Google Protocol Buffers for Haskell; profiling libraries
- libghc-protobuf-prof-0.2.1.2-12829
- virtueel pakket geboden door libghc-protobuf-prof
- libghc-protobuf-prof-0.2.1.2-73a48
- virtueel pakket geboden door libghc-protobuf-prof
- libghc-protobuf-prof-0.2.1.2-7deb7
- virtueel pakket geboden door libghc-protobuf-prof
- libghc-psqueue-dev (1.1-13+b2)
- Priority Search Queue
- libghc-psqueue-dev-1.1-205a8
- virtueel pakket geboden door libghc-psqueue-dev
- libghc-psqueue-dev-1.1-ff376
- virtueel pakket geboden door libghc-psqueue-dev
- libghc-psqueue-doc (1.1-13)
- Priority Search Queue; documentation
- libghc-psqueue-prof (1.1-13+b2)
- Priority Search Queue; profiling libraries
- libghc-psqueue-prof-1.1-205a8
- virtueel pakket geboden door libghc-psqueue-prof
- libghc-psqueue-prof-1.1-ff376
- virtueel pakket geboden door libghc-psqueue-prof
- libghc-psqueues-dev (0.2.7.0-2+b2)
- Pure priority search queues
- libghc-psqueues-dev-0.2.7.0-1824a
- virtueel pakket geboden door libghc-psqueues-dev
- libghc-psqueues-dev-0.2.7.0-67d4a
- virtueel pakket geboden door libghc-psqueues-dev
- libghc-psqueues-dev-0.2.7.0-a1687
- virtueel pakket geboden door libghc-psqueues-dev
- libghc-psqueues-dev-0.2.7.0-cd1c9
- virtueel pakket geboden door libghc-psqueues-dev
- libghc-psqueues-doc (0.2.7.0-2)
- Pure priority search queues; documentation
- libghc-psqueues-prof (0.2.7.0-2+b2)
- Pure priority search queues; profiling libraries
- libghc-psqueues-prof-0.2.7.0-1824a
- virtueel pakket geboden door libghc-psqueues-prof
- libghc-psqueues-prof-0.2.7.0-67d4a
- virtueel pakket geboden door libghc-psqueues-prof
- libghc-psqueues-prof-0.2.7.0-a1687
- virtueel pakket geboden door libghc-psqueues-prof
- libghc-psqueues-prof-0.2.7.0-cd1c9
- virtueel pakket geboden door libghc-psqueues-prof
- libghc-publicsuffixlist-dev (0.1-12+b2)
- routines for testing whether something is a "public suffix"
- libghc-publicsuffixlist-dev-0.1-56906
- virtueel pakket geboden door libghc-publicsuffixlist-dev
- libghc-publicsuffixlist-dev-0.1-5994d
- virtueel pakket geboden door libghc-publicsuffixlist-dev
- libghc-publicsuffixlist-dev-0.1-7687e
- virtueel pakket geboden door libghc-publicsuffixlist-dev
- libghc-publicsuffixlist-dev-0.1-d587e
- virtueel pakket geboden door libghc-publicsuffixlist-dev
- libghc-publicsuffixlist-doc (0.1-12)
- routines for testing whether something is a "public suffix"; documentation
- libghc-publicsuffixlist-prof (0.1-12+b2)
- routines for testing whether something is a "public suffix"; profiling libraries
- libghc-publicsuffixlist-prof-0.1-56906
- virtueel pakket geboden door libghc-publicsuffixlist-prof
- libghc-publicsuffixlist-prof-0.1-5994d
- virtueel pakket geboden door libghc-publicsuffixlist-prof
- libghc-publicsuffixlist-prof-0.1-7687e
- virtueel pakket geboden door libghc-publicsuffixlist-prof
- libghc-publicsuffixlist-prof-0.1-d587e
- virtueel pakket geboden door libghc-publicsuffixlist-prof
- libghc-punycode-dev (2.0-11+b2)
- Encode unicode strings to ascii forms according to RFC 3492
- libghc-punycode-dev-2.0-08c5d
- virtueel pakket geboden door libghc-punycode-dev
- libghc-punycode-dev-2.0-344b2
- virtueel pakket geboden door libghc-punycode-dev
- libghc-punycode-dev-2.0-5b673
- virtueel pakket geboden door libghc-punycode-dev
- libghc-punycode-dev-2.0-9334a
- virtueel pakket geboden door libghc-punycode-dev
- libghc-punycode-doc (2.0-11)
- Encode unicode strings to ascii forms according to RFC 3492; documentation
- libghc-punycode-prof (2.0-11+b2)
- Encode unicode strings to ascii forms according to RFC 3492; profiling libraries
- libghc-punycode-prof-2.0-08c5d
- virtueel pakket geboden door libghc-punycode-prof
- libghc-punycode-prof-2.0-344b2
- virtueel pakket geboden door libghc-punycode-prof
- libghc-punycode-prof-2.0-5b673
- virtueel pakket geboden door libghc-punycode-prof
- libghc-punycode-prof-2.0-9334a
- virtueel pakket geboden door libghc-punycode-prof
- libghc-puremd5-dev (2.1.3-5+b2)
- implementation of the MD5 digest (hash) algorithm
- libghc-puremd5-dev-2.1.3-36660
- virtueel pakket geboden door libghc-puremd5-dev
- libghc-puremd5-dev-2.1.3-a120b
- virtueel pakket geboden door libghc-puremd5-dev
- libghc-puremd5-dev-2.1.3-bff20
- virtueel pakket geboden door libghc-puremd5-dev
- libghc-puremd5-dev-2.1.3-c1cfc
- virtueel pakket geboden door libghc-puremd5-dev
- libghc-puremd5-doc (2.1.3-5)
- implementation of the MD5 digest (hash) algorithm; documentation
- libghc-puremd5-prof (2.1.3-5+b2)
- implementation of the MD5 digest (hash) algorithm; profiling libraries
- libghc-puremd5-prof-2.1.3-36660
- virtueel pakket geboden door libghc-puremd5-prof
- libghc-puremd5-prof-2.1.3-a120b
- virtueel pakket geboden door libghc-puremd5-prof
- libghc-puremd5-prof-2.1.3-bff20
- virtueel pakket geboden door libghc-puremd5-prof
- libghc-puremd5-prof-2.1.3-c1cfc
- virtueel pakket geboden door libghc-puremd5-prof
- libghc-pwstore-fast-dev (2.4.4-9+b1)
- secure password storage
- libghc-pwstore-fast-dev-2.4.4-1c41f
- virtueel pakket geboden door libghc-pwstore-fast-dev
- libghc-pwstore-fast-dev-2.4.4-9aa92
- virtueel pakket geboden door libghc-pwstore-fast-dev
- libghc-pwstore-fast-dev-2.4.4-b8692
- virtueel pakket geboden door libghc-pwstore-fast-dev
- libghc-pwstore-fast-dev-2.4.4-c468c
- virtueel pakket geboden door libghc-pwstore-fast-dev
- libghc-pwstore-fast-doc (2.4.4-9)
- secure password storage; documentation
- libghc-pwstore-fast-prof (2.4.4-9+b1)
- secure password storage; profiling libraries
- libghc-pwstore-fast-prof-2.4.4-1c41f
- virtueel pakket geboden door libghc-pwstore-fast-prof
- libghc-pwstore-fast-prof-2.4.4-9aa92
- virtueel pakket geboden door libghc-pwstore-fast-prof
- libghc-pwstore-fast-prof-2.4.4-b8692
- virtueel pakket geboden door libghc-pwstore-fast-prof
- libghc-pwstore-fast-prof-2.4.4-c468c
- virtueel pakket geboden door libghc-pwstore-fast-prof
- libghc-qrencode-dev (1.0.4-10+b2)
- Haskell bindings for libqrencode
- libghc-qrencode-doc (1.0.4-10)
- Haskell bindings for libqrencode; documentation
- libghc-qrencode-prof (1.0.4-10+b2)
- Haskell bindings for libqrencode; profiling libraries
- libghc-quickcheck-dev-2.11.3-0255a
- virtueel pakket geboden door libghc-quickcheck2-dev
- libghc-quickcheck-dev-2.11.3-6cbb7
- virtueel pakket geboden door libghc-quickcheck2-dev
- libghc-quickcheck-dev-2.11.3-74058
- virtueel pakket geboden door libghc-quickcheck2-dev
- libghc-quickcheck-dev-2.11.3-b5682
- virtueel pakket geboden door libghc-quickcheck2-dev
- libghc-quickcheck-instances-dev (0.3.19-2+b1)
- common QuickCheck instances
- libghc-quickcheck-instances-dev-0.3.19-4fa19
- virtueel pakket geboden door libghc-quickcheck-instances-dev
- libghc-quickcheck-instances-dev-0.3.19-79ea7
- virtueel pakket geboden door libghc-quickcheck-instances-dev
- libghc-quickcheck-instances-dev-0.3.19-903f4
- virtueel pakket geboden door libghc-quickcheck-instances-dev
- libghc-quickcheck-instances-dev-0.3.19-b3b84
- virtueel pakket geboden door libghc-quickcheck-instances-dev
- libghc-quickcheck-instances-doc (0.3.19-2)
- common QuickCheck instances; documentation
- libghc-quickcheck-instances-prof (0.3.19-2+b1)
- common QuickCheck instances; profiling libraries
- libghc-quickcheck-instances-prof-0.3.19-4fa19
- virtueel pakket geboden door libghc-quickcheck-instances-prof
- libghc-quickcheck-instances-prof-0.3.19-79ea7
- virtueel pakket geboden door libghc-quickcheck-instances-prof
- libghc-quickcheck-instances-prof-0.3.19-903f4
- virtueel pakket geboden door libghc-quickcheck-instances-prof
- libghc-quickcheck-instances-prof-0.3.19-b3b84
- virtueel pakket geboden door libghc-quickcheck-instances-prof
- libghc-quickcheck-io-dev (0.2.0-3+b2)
- use HUnit assertions as QuickCheck properties
- libghc-quickcheck-io-dev-0.2.0-31117
- virtueel pakket geboden door libghc-quickcheck-io-dev
- libghc-quickcheck-io-dev-0.2.0-94a70
- virtueel pakket geboden door libghc-quickcheck-io-dev
- libghc-quickcheck-io-dev-0.2.0-ae72d
- virtueel pakket geboden door libghc-quickcheck-io-dev
- libghc-quickcheck-io-dev-0.2.0-f0964
- virtueel pakket geboden door libghc-quickcheck-io-dev
- libghc-quickcheck-io-doc (0.2.0-3)
- use HUnit assertions as QuickCheck properties; documentation
- libghc-quickcheck-io-prof (0.2.0-3+b2)
- use HUnit assertions as QuickCheck properties; profiling libraries
- libghc-quickcheck-io-prof-0.2.0-31117
- virtueel pakket geboden door libghc-quickcheck-io-prof
- libghc-quickcheck-io-prof-0.2.0-94a70
- virtueel pakket geboden door libghc-quickcheck-io-prof
- libghc-quickcheck-io-prof-0.2.0-ae72d
- virtueel pakket geboden door libghc-quickcheck-io-prof
- libghc-quickcheck-io-prof-0.2.0-f0964
- virtueel pakket geboden door libghc-quickcheck-io-prof
- libghc-quickcheck-prof-2.11.3-0255a
- virtueel pakket geboden door libghc-quickcheck2-prof
- libghc-quickcheck-prof-2.11.3-6cbb7
- virtueel pakket geboden door libghc-quickcheck2-prof
- libghc-quickcheck-prof-2.11.3-74058
- virtueel pakket geboden door libghc-quickcheck2-prof
- libghc-quickcheck-prof-2.11.3-b5682
- virtueel pakket geboden door libghc-quickcheck2-prof
- libghc-quickcheck-safe-dev (0.1.0.4-2+b2)
- Safe reimplementation of QuickCheck's core
- libghc-quickcheck-safe-dev-0.1.0.4-0b012
- virtueel pakket geboden door libghc-quickcheck-safe-dev
- libghc-quickcheck-safe-dev-0.1.0.4-239d2
- virtueel pakket geboden door libghc-quickcheck-safe-dev
- libghc-quickcheck-safe-dev-0.1.0.4-261f3
- virtueel pakket geboden door libghc-quickcheck-safe-dev
- libghc-quickcheck-safe-dev-0.1.0.4-56acb
- virtueel pakket geboden door libghc-quickcheck-safe-dev
- libghc-quickcheck-safe-doc (0.1.0.4-2)
- Safe reimplementation of QuickCheck's core; documentation
- libghc-quickcheck-safe-prof (0.1.0.4-2+b2)
- Safe reimplementation of QuickCheck's core; profiling libraries
- libghc-quickcheck-safe-prof-0.1.0.4-0b012
- virtueel pakket geboden door libghc-quickcheck-safe-prof
- libghc-quickcheck-safe-prof-0.1.0.4-239d2
- virtueel pakket geboden door libghc-quickcheck-safe-prof
- libghc-quickcheck-safe-prof-0.1.0.4-261f3
- virtueel pakket geboden door libghc-quickcheck-safe-prof
- libghc-quickcheck-safe-prof-0.1.0.4-56acb
- virtueel pakket geboden door libghc-quickcheck-safe-prof
- libghc-quickcheck-simple-dev (0.1.0.4-2+b2)
- Test properties and default-mains for QuickCheck
- libghc-quickcheck-simple-dev-0.1.0.4-111db
- virtueel pakket geboden door libghc-quickcheck-simple-dev
- libghc-quickcheck-simple-dev-0.1.0.4-5bdfc
- virtueel pakket geboden door libghc-quickcheck-simple-dev
- libghc-quickcheck-simple-dev-0.1.0.4-87a35
- virtueel pakket geboden door libghc-quickcheck-simple-dev
- libghc-quickcheck-simple-dev-0.1.0.4-8bb2e
- virtueel pakket geboden door libghc-quickcheck-simple-dev
- libghc-quickcheck-simple-doc (0.1.0.4-2)
- Test properties and default-mains for QuickCheck; documentation
- libghc-quickcheck-simple-prof (0.1.0.4-2+b2)
- Test properties and default-mains for QuickCheck; profiling libraries
- libghc-quickcheck-simple-prof-0.1.0.4-111db
- virtueel pakket geboden door libghc-quickcheck-simple-prof
- libghc-quickcheck-simple-prof-0.1.0.4-5bdfc
- virtueel pakket geboden door libghc-quickcheck-simple-prof
- libghc-quickcheck-simple-prof-0.1.0.4-87a35
- virtueel pakket geboden door libghc-quickcheck-simple-prof
- libghc-quickcheck-simple-prof-0.1.0.4-8bb2e
- virtueel pakket geboden door libghc-quickcheck-simple-prof
- libghc-quickcheck-text-dev (0.1.2.1-4+b2)
- alternative arbitrary instance for Text
- libghc-quickcheck-text-dev-0.1.2.1-6b040
- virtueel pakket geboden door libghc-quickcheck-text-dev
- libghc-quickcheck-text-dev-0.1.2.1-9a84d
- virtueel pakket geboden door libghc-quickcheck-text-dev
- libghc-quickcheck-text-dev-0.1.2.1-ac0c6
- virtueel pakket geboden door libghc-quickcheck-text-dev
- libghc-quickcheck-text-dev-0.1.2.1-fa36b
- virtueel pakket geboden door libghc-quickcheck-text-dev
- libghc-quickcheck-text-doc (0.1.2.1-4)
- alternative arbitrary instance for Text; documentation
- libghc-quickcheck-text-prof (0.1.2.1-4+b2)
- alternative arbitrary instance for Text; profiling libraries
- libghc-quickcheck-text-prof-0.1.2.1-6b040
- virtueel pakket geboden door libghc-quickcheck-text-prof
- libghc-quickcheck-text-prof-0.1.2.1-9a84d
- virtueel pakket geboden door libghc-quickcheck-text-prof
- libghc-quickcheck-text-prof-0.1.2.1-ac0c6
- virtueel pakket geboden door libghc-quickcheck-text-prof
- libghc-quickcheck-text-prof-0.1.2.1-fa36b
- virtueel pakket geboden door libghc-quickcheck-text-prof
- libghc-quickcheck-unicode-dev (1.0.1.0-3+b2)
- Generator and shrink functions for testing Unicode-related software.
- libghc-quickcheck-unicode-dev-1.0.1.0-07fbb
- virtueel pakket geboden door libghc-quickcheck-unicode-dev
- libghc-quickcheck-unicode-dev-1.0.1.0-3e21b
- virtueel pakket geboden door libghc-quickcheck-unicode-dev
- libghc-quickcheck-unicode-dev-1.0.1.0-9713c
- virtueel pakket geboden door libghc-quickcheck-unicode-dev
- libghc-quickcheck-unicode-dev-1.0.1.0-ee9af
- virtueel pakket geboden door libghc-quickcheck-unicode-dev
- libghc-quickcheck-unicode-doc (1.0.1.0-3)
- Generator and shrink functions for testing Unicode-related software.; documentation
- libghc-quickcheck-unicode-prof (1.0.1.0-3+b2)
- Generator and shrink functions for testing Unicode-related software.; profiling libraries
- libghc-quickcheck-unicode-prof-1.0.1.0-07fbb
- virtueel pakket geboden door libghc-quickcheck-unicode-prof
- libghc-quickcheck-unicode-prof-1.0.1.0-3e21b
- virtueel pakket geboden door libghc-quickcheck-unicode-prof
- libghc-quickcheck-unicode-prof-1.0.1.0-9713c
- virtueel pakket geboden door libghc-quickcheck-unicode-prof
- libghc-quickcheck-unicode-prof-1.0.1.0-ee9af
- virtueel pakket geboden door libghc-quickcheck-unicode-prof
- libghc-quickcheck2-dev (2.11.3-1+b2)
- Haskell automatic testing library for GHC
- libghc-quickcheck2-doc (2.11.3-1)
- Haskell automatic testing library for GHC; documentation
- libghc-quickcheck2-prof (2.11.3-1+b2)
- Haskell automatic testing library for GHC; profiling libraries
- libghc-random-dev (1.1-7+b2)
- Random number generator for Haskell
- libghc-random-dev-1.1-0fdea
- virtueel pakket geboden door libghc-random-dev
- libghc-random-dev-1.1-1827c
- virtueel pakket geboden door libghc-random-dev
- libghc-random-dev-1.1-3b083
- virtueel pakket geboden door libghc-random-dev
- libghc-random-dev-1.1-98be1
- virtueel pakket geboden door libghc-random-dev
- libghc-random-doc (1.1-7)
- Random number generator for Haskell; documentation
- libghc-random-fu-dev (0.2.7.0-6+b1)
- random number generation
- libghc-random-fu-dev-0.2.7.0-0dcfa
- virtueel pakket geboden door libghc-random-fu-dev
- libghc-random-fu-dev-0.2.7.0-244ee
- virtueel pakket geboden door libghc-random-fu-dev
- libghc-random-fu-dev-0.2.7.0-a4b00
- virtueel pakket geboden door libghc-random-fu-dev
- libghc-random-fu-dev-0.2.7.0-df4ee
- virtueel pakket geboden door libghc-random-fu-dev
- libghc-random-fu-doc (0.2.7.0-6)
- random number generation; documentation
- libghc-random-fu-prof (0.2.7.0-6+b1)
- random number generation; profiling libraries
- libghc-random-fu-prof-0.2.7.0-0dcfa
- virtueel pakket geboden door libghc-random-fu-prof
- libghc-random-fu-prof-0.2.7.0-244ee
- virtueel pakket geboden door libghc-random-fu-prof
- libghc-random-fu-prof-0.2.7.0-a4b00
- virtueel pakket geboden door libghc-random-fu-prof
- libghc-random-fu-prof-0.2.7.0-df4ee
- virtueel pakket geboden door libghc-random-fu-prof
- libghc-random-prof (1.1-7+b2)
- Random number generator for Haskell; profiling libraries
- libghc-random-prof-1.1-0fdea
- virtueel pakket geboden door libghc-random-prof
- libghc-random-prof-1.1-1827c
- virtueel pakket geboden door libghc-random-prof
- libghc-random-prof-1.1-3b083
- virtueel pakket geboden door libghc-random-prof
- libghc-random-prof-1.1-98be1
- virtueel pakket geboden door libghc-random-prof
- libghc-random-shuffle-dev (0.0.4-10+b2)
- random shuffle library
- libghc-random-shuffle-dev-0.0.4-852bb
- virtueel pakket geboden door libghc-random-shuffle-dev
- libghc-random-shuffle-dev-0.0.4-85fa8
- virtueel pakket geboden door libghc-random-shuffle-dev
- libghc-random-shuffle-dev-0.0.4-a539e
- virtueel pakket geboden door libghc-random-shuffle-dev
- libghc-random-shuffle-dev-0.0.4-ec670
- virtueel pakket geboden door libghc-random-shuffle-dev
- libghc-random-shuffle-doc (0.0.4-10)
- random shuffle library; documentation
- libghc-random-shuffle-prof (0.0.4-10+b2)
- random shuffle library; profiling libraries
- libghc-random-shuffle-prof-0.0.4-852bb
- virtueel pakket geboden door libghc-random-shuffle-prof
- libghc-random-shuffle-prof-0.0.4-85fa8
- virtueel pakket geboden door libghc-random-shuffle-prof
- libghc-random-shuffle-prof-0.0.4-a539e
- virtueel pakket geboden door libghc-random-shuffle-prof
- libghc-random-shuffle-prof-0.0.4-ec670
- virtueel pakket geboden door libghc-random-shuffle-prof
- libghc-random-source-dev (0.3.0.6-8+b2)
- generic basis for random number generators
- libghc-random-source-dev-0.3.0.6-01605
- virtueel pakket geboden door libghc-random-source-dev
- libghc-random-source-dev-0.3.0.6-0699a
- virtueel pakket geboden door libghc-random-source-dev
- libghc-random-source-dev-0.3.0.6-6407a
- virtueel pakket geboden door libghc-random-source-dev
- libghc-random-source-dev-0.3.0.6-b2abe
- virtueel pakket geboden door libghc-random-source-dev
- libghc-random-source-doc (0.3.0.6-8)
- generic basis for random number generators; documentation
- libghc-random-source-prof (0.3.0.6-8+b2)
- generic basis for random number generators; profiling libraries
- libghc-random-source-prof-0.3.0.6-01605
- virtueel pakket geboden door libghc-random-source-prof
- libghc-random-source-prof-0.3.0.6-0699a
- virtueel pakket geboden door libghc-random-source-prof
- libghc-random-source-prof-0.3.0.6-6407a
- virtueel pakket geboden door libghc-random-source-prof
- libghc-random-source-prof-0.3.0.6-b2abe
- virtueel pakket geboden door libghc-random-source-prof
- libghc-rate-limit-dev (1.4.0-3+b2)
- rate-limiting IO actions
- libghc-rate-limit-dev-1.4.0-4496e
- virtueel pakket geboden door libghc-rate-limit-dev
- libghc-rate-limit-dev-1.4.0-6853d
- virtueel pakket geboden door libghc-rate-limit-dev
- libghc-rate-limit-dev-1.4.0-a2cce
- virtueel pakket geboden door libghc-rate-limit-dev
- libghc-rate-limit-doc (1.4.0-3)
- rate-limiting IO actions; documentation
- libghc-rate-limit-prof (1.4.0-3+b2)
- rate-limiting IO actions; profiling libraries
- libghc-rate-limit-prof-1.4.0-4496e
- virtueel pakket geboden door libghc-rate-limit-prof
- libghc-rate-limit-prof-1.4.0-6853d
- virtueel pakket geboden door libghc-rate-limit-prof
- libghc-rate-limit-prof-1.4.0-a2cce
- virtueel pakket geboden door libghc-rate-limit-prof
- libghc-reactive-banana-dev (1.2.0.0-2+b2)
- Library for functional reactive programming (FRP)
- libghc-reactive-banana-dev-1.2.0.0-1535b
- virtueel pakket geboden door libghc-reactive-banana-dev
- libghc-reactive-banana-dev-1.2.0.0-384c1
- virtueel pakket geboden door libghc-reactive-banana-dev
- libghc-reactive-banana-dev-1.2.0.0-61606
- virtueel pakket geboden door libghc-reactive-banana-dev
- libghc-reactive-banana-dev-1.2.0.0-a4312
- virtueel pakket geboden door libghc-reactive-banana-dev
- libghc-reactive-banana-doc (1.2.0.0-2)
- Library for functional reactive programming (FRP); documentation
- libghc-reactive-banana-prof (1.2.0.0-2+b2)
- Library for functional reactive programming (FRP); profiling libraries
- libghc-reactive-banana-prof-1.2.0.0-1535b
- virtueel pakket geboden door libghc-reactive-banana-prof
- libghc-reactive-banana-prof-1.2.0.0-384c1
- virtueel pakket geboden door libghc-reactive-banana-prof
- libghc-reactive-banana-prof-1.2.0.0-61606
- virtueel pakket geboden door libghc-reactive-banana-prof
- libghc-reactive-banana-prof-1.2.0.0-a4312
- virtueel pakket geboden door libghc-reactive-banana-prof
- libghc-readable-dev (0.3.1-6+b2)
- reading from Text and ByteString
- libghc-readable-dev-0.3.1-036dd
- virtueel pakket geboden door libghc-readable-dev
- libghc-readable-dev-0.3.1-7c43a
- virtueel pakket geboden door libghc-readable-dev
- libghc-readable-dev-0.3.1-ca093
- virtueel pakket geboden door libghc-readable-dev
- libghc-readable-dev-0.3.1-e01f4
- virtueel pakket geboden door libghc-readable-dev
- libghc-readable-doc (0.3.1-6)
- reading from Text and ByteString; documentation
- libghc-readable-prof (0.3.1-6+b2)
- reading from Text and ByteString; profiling libraries
- libghc-readable-prof-0.3.1-036dd
- virtueel pakket geboden door libghc-readable-prof
- libghc-readable-prof-0.3.1-7c43a
- virtueel pakket geboden door libghc-readable-prof
- libghc-readable-prof-0.3.1-ca093
- virtueel pakket geboden door libghc-readable-prof
- libghc-readable-prof-0.3.1-e01f4
- virtueel pakket geboden door libghc-readable-prof
- libghc-readargs-dev (1.2.3-4+b2)
- simple command-line argument parsing
- libghc-readargs-dev-1.2.3-26946
- virtueel pakket geboden door libghc-readargs-dev
- libghc-readargs-dev-1.2.3-408bc
- virtueel pakket geboden door libghc-readargs-dev
- libghc-readargs-dev-1.2.3-578e3
- virtueel pakket geboden door libghc-readargs-dev
- libghc-readargs-dev-1.2.3-d0741
- virtueel pakket geboden door libghc-readargs-dev
- libghc-readargs-doc (1.2.3-4)
- simple command-line argument parsing; documentation
- libghc-readargs-prof (1.2.3-4+b2)
- simple command-line argument parsing; profiling libraries
- libghc-readargs-prof-1.2.3-26946
- virtueel pakket geboden door libghc-readargs-prof
- libghc-readargs-prof-1.2.3-408bc
- virtueel pakket geboden door libghc-readargs-prof
- libghc-readargs-prof-1.2.3-578e3
- virtueel pakket geboden door libghc-readargs-prof
- libghc-readargs-prof-1.2.3-d0741
- virtueel pakket geboden door libghc-readargs-prof
- libghc-readline-dev (1.0.3.0-9+b2)
- Haskell bindings to GNU readline library
- libghc-readline-dev-1.0.3.0-09c28
- virtueel pakket geboden door libghc-readline-dev
- libghc-readline-dev-1.0.3.0-c63ca
- virtueel pakket geboden door libghc-readline-dev
- libghc-readline-dev-1.0.3.0-e35fe
- virtueel pakket geboden door libghc-readline-dev
- libghc-readline-dev-1.0.3.0-e45f3
- virtueel pakket geboden door libghc-readline-dev
- libghc-readline-doc (1.0.3.0-9)
- Haskell bindings to GNU readline library; documentation
- libghc-readline-prof (1.0.3.0-9+b2)
- Haskell bindings to GNU readline library; profiling libraries
- libghc-readline-prof-1.0.3.0-09c28
- virtueel pakket geboden door libghc-readline-prof
- libghc-readline-prof-1.0.3.0-c63ca
- virtueel pakket geboden door libghc-readline-prof
- libghc-readline-prof-1.0.3.0-e35fe
- virtueel pakket geboden door libghc-readline-prof
- libghc-readline-prof-1.0.3.0-e45f3
- virtueel pakket geboden door libghc-readline-prof
- libghc-recaptcha-dev (0.1.0.4-2+b2)
- Haskell interface to reCAPTCHA service
- libghc-recaptcha-dev-0.1.0.4-10fe5
- virtueel pakket geboden door libghc-recaptcha-dev
- libghc-recaptcha-dev-0.1.0.4-afabd
- virtueel pakket geboden door libghc-recaptcha-dev
- libghc-recaptcha-dev-0.1.0.4-db663
- virtueel pakket geboden door libghc-recaptcha-dev
- libghc-recaptcha-dev-0.1.0.4-e615d
- virtueel pakket geboden door libghc-recaptcha-dev
- libghc-recaptcha-doc (0.1.0.4-2)
- Haskell interface to reCAPTCHA service; documentation
- libghc-recaptcha-prof (0.1.0.4-2+b2)
- Haskell interface to reCAPTCHA service; profiling libraries
- libghc-recaptcha-prof-0.1.0.4-10fe5
- virtueel pakket geboden door libghc-recaptcha-prof
- libghc-recaptcha-prof-0.1.0.4-afabd
- virtueel pakket geboden door libghc-recaptcha-prof
- libghc-recaptcha-prof-0.1.0.4-db663
- virtueel pakket geboden door libghc-recaptcha-prof
- libghc-recaptcha-prof-0.1.0.4-e615d
- virtueel pakket geboden door libghc-recaptcha-prof
- libghc-recursion-schemes-dev (5.0.3-1+b1)
- generalized bananas, lenses and barbed wire
- libghc-recursion-schemes-dev-5.0.3-0cd97
- virtueel pakket geboden door libghc-recursion-schemes-dev
- libghc-recursion-schemes-dev-5.0.3-2c736
- virtueel pakket geboden door libghc-recursion-schemes-dev
- libghc-recursion-schemes-dev-5.0.3-41df8
- virtueel pakket geboden door libghc-recursion-schemes-dev
- libghc-recursion-schemes-dev-5.0.3-d2f08
- virtueel pakket geboden door libghc-recursion-schemes-dev
- libghc-recursion-schemes-doc (5.0.3-1)
- generalized bananas, lenses and barbed wire; documentation
- libghc-recursion-schemes-prof (5.0.3-1+b1)
- generalized bananas, lenses and barbed wire; profiling libraries
- libghc-recursion-schemes-prof-5.0.3-0cd97
- virtueel pakket geboden door libghc-recursion-schemes-prof
- libghc-recursion-schemes-prof-5.0.3-2c736
- virtueel pakket geboden door libghc-recursion-schemes-prof
- libghc-recursion-schemes-prof-5.0.3-41df8
- virtueel pakket geboden door libghc-recursion-schemes-prof
- libghc-recursion-schemes-prof-5.0.3-d2f08
- virtueel pakket geboden door libghc-recursion-schemes-prof
- libghc-reducers-dev (3.12.3-2+b1)
- semigroup-based containers and reductions
- libghc-reducers-dev-3.12.3-1e68c
- virtueel pakket geboden door libghc-reducers-dev
- libghc-reducers-dev-3.12.3-2f335
- virtueel pakket geboden door libghc-reducers-dev
- libghc-reducers-dev-3.12.3-52fc6
- virtueel pakket geboden door libghc-reducers-dev
- libghc-reducers-dev-3.12.3-a1b84
- virtueel pakket geboden door libghc-reducers-dev
- libghc-reducers-doc (3.12.3-2)
- semigroup-based containers and reductions; documentation
- libghc-reducers-prof (3.12.3-2+b1)
- semigroup-based containers and reductions; profiling libraries
- libghc-reducers-prof-3.12.3-1e68c
- virtueel pakket geboden door libghc-reducers-prof
- libghc-reducers-prof-3.12.3-2f335
- virtueel pakket geboden door libghc-reducers-prof
- libghc-reducers-prof-3.12.3-52fc6
- virtueel pakket geboden door libghc-reducers-prof
- libghc-reducers-prof-3.12.3-a1b84
- virtueel pakket geboden door libghc-reducers-prof
- libghc-refact-dev (0.3.0.2-5+b2)
- specify refactorings to perform with apply-refact
- libghc-refact-dev-0.3.0.2-0170f
- virtueel pakket geboden door libghc-refact-dev
- libghc-refact-dev-0.3.0.2-bfa5c
- virtueel pakket geboden door libghc-refact-dev
- libghc-refact-dev-0.3.0.2-dda1d
- virtueel pakket geboden door libghc-refact-dev
- libghc-refact-doc (0.3.0.2-5)
- specify refactorings to perform with apply-refact; documentation
- libghc-refact-prof (0.3.0.2-5+b2)
- specify refactorings to perform with apply-refact; profiling libraries
- libghc-refact-prof-0.3.0.2-0170f
- virtueel pakket geboden door libghc-refact-prof
- libghc-refact-prof-0.3.0.2-bfa5c
- virtueel pakket geboden door libghc-refact-prof
- libghc-refact-prof-0.3.0.2-dda1d
- virtueel pakket geboden door libghc-refact-prof
- libghc-reflection-dev (2.1.4-1+b2)
- reifies arbitrary terms into types that can be reflected back into terms
- libghc-reflection-dev-2.1.4-ba352
- virtueel pakket geboden door libghc-reflection-dev
- libghc-reflection-dev-2.1.4-d98c9
- virtueel pakket geboden door libghc-reflection-dev
- libghc-reflection-dev-2.1.4-f2bef
- virtueel pakket geboden door libghc-reflection-dev
- libghc-reflection-doc (2.1.4-1)
- reifies arbitrary terms into types that can be reflected; documentation
- libghc-reflection-prof (2.1.4-1+b2)
- reifies arbitrary terms into types that can be reflected; profiling libraries
- libghc-reflection-prof-2.1.4-ba352
- virtueel pakket geboden door libghc-reflection-prof
- libghc-reflection-prof-2.1.4-d98c9
- virtueel pakket geboden door libghc-reflection-prof
- libghc-reflection-prof-2.1.4-f2bef
- virtueel pakket geboden door libghc-reflection-prof
- libghc-reform-dev (0.2.7.2-3+b2)
- HTML form generation and validation library
- libghc-reform-dev-0.2.7.2-2e6f3
- virtueel pakket geboden door libghc-reform-dev
- libghc-reform-dev-0.2.7.2-589ad
- virtueel pakket geboden door libghc-reform-dev
- libghc-reform-dev-0.2.7.2-7c31a
- virtueel pakket geboden door libghc-reform-dev
- libghc-reform-dev-0.2.7.2-e34b2
- virtueel pakket geboden door libghc-reform-dev
- libghc-reform-doc (0.2.7.2-3)
- HTML form generation and validation library; documentation
- libghc-reform-happstack-dev (0.2.5.2-4+b1)
- Happstack support for reform
- libghc-reform-happstack-dev-0.2.5.2-24432
- virtueel pakket geboden door libghc-reform-happstack-dev
- libghc-reform-happstack-dev-0.2.5.2-3d34b
- virtueel pakket geboden door libghc-reform-happstack-dev
- libghc-reform-happstack-dev-0.2.5.2-a7a8a
- virtueel pakket geboden door libghc-reform-happstack-dev
- libghc-reform-happstack-dev-0.2.5.2-e906a
- virtueel pakket geboden door libghc-reform-happstack-dev
- libghc-reform-happstack-doc (0.2.5.2-4)
- Happstack support for reform; documentation
- libghc-reform-happstack-prof (0.2.5.2-4+b1)
- Happstack support for reform; profiling libraries
- libghc-reform-happstack-prof-0.2.5.2-24432
- virtueel pakket geboden door libghc-reform-happstack-prof
- libghc-reform-happstack-prof-0.2.5.2-3d34b
- virtueel pakket geboden door libghc-reform-happstack-prof
- libghc-reform-happstack-prof-0.2.5.2-a7a8a
- virtueel pakket geboden door libghc-reform-happstack-prof
- libghc-reform-happstack-prof-0.2.5.2-e906a
- virtueel pakket geboden door libghc-reform-happstack-prof
- libghc-reform-hsp-dev (0.2.7.1-5+b2)
- support for using HSP with Reform
- libghc-reform-hsp-dev-0.2.7.1-10d5f
- virtueel pakket geboden door libghc-reform-hsp-dev
- libghc-reform-hsp-dev-0.2.7.1-1d5c4
- virtueel pakket geboden door libghc-reform-hsp-dev
- libghc-reform-hsp-dev-0.2.7.1-77df0
- virtueel pakket geboden door libghc-reform-hsp-dev
- libghc-reform-hsp-dev-0.2.7.1-c2bb6
- virtueel pakket geboden door libghc-reform-hsp-dev
- libghc-reform-hsp-doc (0.2.7.1-5)
- support for using HSP with Reform; documentation
- libghc-reform-hsp-prof (0.2.7.1-5+b2)
- support for using HSP with Reform; profiling libraries
- libghc-reform-hsp-prof-0.2.7.1-10d5f
- virtueel pakket geboden door libghc-reform-hsp-prof
- libghc-reform-hsp-prof-0.2.7.1-1d5c4
- virtueel pakket geboden door libghc-reform-hsp-prof
- libghc-reform-hsp-prof-0.2.7.1-77df0
- virtueel pakket geboden door libghc-reform-hsp-prof
- libghc-reform-hsp-prof-0.2.7.1-c2bb6
- virtueel pakket geboden door libghc-reform-hsp-prof
- libghc-reform-prof (0.2.7.2-3+b2)
- HTML form generation and validation library; profiling libraries
- libghc-reform-prof-0.2.7.2-2e6f3
- virtueel pakket geboden door libghc-reform-prof
- libghc-reform-prof-0.2.7.2-589ad
- virtueel pakket geboden door libghc-reform-prof
- libghc-reform-prof-0.2.7.2-7c31a
- virtueel pakket geboden door libghc-reform-prof
- libghc-reform-prof-0.2.7.2-e34b2
- virtueel pakket geboden door libghc-reform-prof
- libghc-regex-applicative-dev (0.3.3-5+b1)
- regex-based parsing with applicative interface
- libghc-regex-applicative-dev-0.3.3-5137a
- virtueel pakket geboden door libghc-regex-applicative-dev
- libghc-regex-applicative-dev-0.3.3-787e7
- virtueel pakket geboden door libghc-regex-applicative-dev
- libghc-regex-applicative-dev-0.3.3-a4320
- virtueel pakket geboden door libghc-regex-applicative-dev
- libghc-regex-applicative-dev-0.3.3-ca43c
- virtueel pakket geboden door libghc-regex-applicative-dev
- libghc-regex-applicative-doc (0.3.3-5)
- regex-based parsing with applicative interface; documentation
- libghc-regex-applicative-prof (0.3.3-5+b1)
- regex-based parsing with applicative interface; profiling libraries
- libghc-regex-applicative-prof-0.3.3-5137a
- virtueel pakket geboden door libghc-regex-applicative-prof
- libghc-regex-applicative-prof-0.3.3-787e7
- virtueel pakket geboden door libghc-regex-applicative-prof
- libghc-regex-applicative-prof-0.3.3-a4320
- virtueel pakket geboden door libghc-regex-applicative-prof
- libghc-regex-applicative-prof-0.3.3-ca43c
- virtueel pakket geboden door libghc-regex-applicative-prof
- libghc-regex-applicative-text-dev (0.1.0.1-7+b1)
- regex-applicative on text
- libghc-regex-applicative-text-dev-0.1.0.1-05545
- virtueel pakket geboden door libghc-regex-applicative-text-dev
- libghc-regex-applicative-text-dev-0.1.0.1-59091
- virtueel pakket geboden door libghc-regex-applicative-text-dev
- libghc-regex-applicative-text-dev-0.1.0.1-919a3
- virtueel pakket geboden door libghc-regex-applicative-text-dev
- libghc-regex-applicative-text-dev-0.1.0.1-cd69d
- virtueel pakket geboden door libghc-regex-applicative-text-dev
- libghc-regex-applicative-text-doc (0.1.0.1-7)
- regex-applicative on text; documentation
- libghc-regex-applicative-text-prof (0.1.0.1-7+b1)
- regex-applicative on text; profiling libraries
- libghc-regex-applicative-text-prof-0.1.0.1-05545
- virtueel pakket geboden door libghc-regex-applicative-text-prof
- libghc-regex-applicative-text-prof-0.1.0.1-59091
- virtueel pakket geboden door libghc-regex-applicative-text-prof
- libghc-regex-applicative-text-prof-0.1.0.1-919a3
- virtueel pakket geboden door libghc-regex-applicative-text-prof
- libghc-regex-applicative-text-prof-0.1.0.1-cd69d
- virtueel pakket geboden door libghc-regex-applicative-text-prof
- libghc-regex-base-dev (0.93.2-13+b2)
- GHC library providing an API for regular expressions
- libghc-regex-base-dev-0.93.2-586a1
- virtueel pakket geboden door libghc-regex-base-dev
- libghc-regex-base-dev-0.93.2-c150b
- virtueel pakket geboden door libghc-regex-base-dev
- libghc-regex-base-dev-0.93.2-f119b
- virtueel pakket geboden door libghc-regex-base-dev
- libghc-regex-base-dev-0.93.2-f5054
- virtueel pakket geboden door libghc-regex-base-dev
- libghc-regex-base-doc (0.93.2-13)
- GHC library providing an API for regular expressions; documentation
- libghc-regex-base-prof (0.93.2-13+b2)
- GHC library providing an API for regular expressions; profiling libraries
- libghc-regex-base-prof-0.93.2-586a1
- virtueel pakket geboden door libghc-regex-base-prof
- libghc-regex-base-prof-0.93.2-c150b
- virtueel pakket geboden door libghc-regex-base-prof
- libghc-regex-base-prof-0.93.2-f119b
- virtueel pakket geboden door libghc-regex-base-prof
- libghc-regex-base-prof-0.93.2-f5054
- virtueel pakket geboden door libghc-regex-base-prof
- libghc-regex-compat-dev (0.95.1-12+b2)
- GHC library providing old Text.Regex interface
- libghc-regex-compat-dev-0.95.1-324f8
- virtueel pakket geboden door libghc-regex-compat-dev
- libghc-regex-compat-dev-0.95.1-b92f2
- virtueel pakket geboden door libghc-regex-compat-dev
- libghc-regex-compat-dev-0.95.1-ee43d
- virtueel pakket geboden door libghc-regex-compat-dev
- libghc-regex-compat-dev-0.95.1-fb4a6
- virtueel pakket geboden door libghc-regex-compat-dev
- libghc-regex-compat-doc (0.95.1-12)
- API documentation for regex-compat Haskell library
- libghc-regex-compat-prof (0.95.1-12+b2)
- GHC profiling library providing old Text.Regex interface
- libghc-regex-compat-prof-0.95.1-324f8
- virtueel pakket geboden door libghc-regex-compat-prof
- libghc-regex-compat-prof-0.95.1-b92f2
- virtueel pakket geboden door libghc-regex-compat-prof
- libghc-regex-compat-prof-0.95.1-ee43d
- virtueel pakket geboden door libghc-regex-compat-prof
- libghc-regex-compat-prof-0.95.1-fb4a6
- virtueel pakket geboden door libghc-regex-compat-prof
- libghc-regex-compat-tdfa-dev (0.95.1.4-7+b2)
- Unicode Support version of Text.Regex, using regex-tdfa
- libghc-regex-compat-tdfa-dev-0.95.1.4-05efa
- virtueel pakket geboden door libghc-regex-compat-tdfa-dev
- libghc-regex-compat-tdfa-dev-0.95.1.4-61bfb
- virtueel pakket geboden door libghc-regex-compat-tdfa-dev
- libghc-regex-compat-tdfa-dev-0.95.1.4-b2852
- virtueel pakket geboden door libghc-regex-compat-tdfa-dev
- libghc-regex-compat-tdfa-dev-0.95.1.4-e9d52
- virtueel pakket geboden door libghc-regex-compat-tdfa-dev
- libghc-regex-compat-tdfa-doc (0.95.1.4-7)
- Unicode Support version of Text.Regex, using regex-tdfa; documentation
- libghc-regex-compat-tdfa-prof (0.95.1.4-7+b2)
- Unicode Support version of Text.Regex, using regex-tdfa; profiling libraries
- libghc-regex-compat-tdfa-prof-0.95.1.4-05efa
- virtueel pakket geboden door libghc-regex-compat-tdfa-prof
- libghc-regex-compat-tdfa-prof-0.95.1.4-61bfb
- virtueel pakket geboden door libghc-regex-compat-tdfa-prof
- libghc-regex-compat-tdfa-prof-0.95.1.4-b2852
- virtueel pakket geboden door libghc-regex-compat-tdfa-prof
- libghc-regex-compat-tdfa-prof-0.95.1.4-e9d52
- virtueel pakket geboden door libghc-regex-compat-tdfa-prof
- libghc-regex-pcre-dev (0.94.4-11+b2)
- Perl-compatible regular expressions
- libghc-regex-pcre-dev-0.94.4-128a0
- virtueel pakket geboden door libghc-regex-pcre-dev
- libghc-regex-pcre-dev-0.94.4-49e93
- virtueel pakket geboden door libghc-regex-pcre-dev
- libghc-regex-pcre-dev-0.94.4-8468f
- virtueel pakket geboden door libghc-regex-pcre-dev
- libghc-regex-pcre-dev-0.94.4-fb926
- virtueel pakket geboden door libghc-regex-pcre-dev
- libghc-regex-pcre-doc (0.94.4-11)
- Perl-compatible regular expressions; documentation
- libghc-regex-pcre-prof (0.94.4-11+b2)
- Perl-compatible regular expressions; profiling libraries
- libghc-regex-pcre-prof-0.94.4-128a0
- virtueel pakket geboden door libghc-regex-pcre-prof
- libghc-regex-pcre-prof-0.94.4-49e93
- virtueel pakket geboden door libghc-regex-pcre-prof
- libghc-regex-pcre-prof-0.94.4-8468f
- virtueel pakket geboden door libghc-regex-pcre-prof
- libghc-regex-pcre-prof-0.94.4-fb926
- virtueel pakket geboden door libghc-regex-pcre-prof
- libghc-regex-posix-dev (0.95.2-11+b2)
- GHC library of the POSIX regex backend for regex-base
- libghc-regex-posix-dev-0.95.2-9e952
- virtueel pakket geboden door libghc-regex-posix-dev
- libghc-regex-posix-dev-0.95.2-ae16a
- virtueel pakket geboden door libghc-regex-posix-dev
- libghc-regex-posix-dev-0.95.2-b55df
- virtueel pakket geboden door libghc-regex-posix-dev
- libghc-regex-posix-dev-0.95.2-d5be1
- virtueel pakket geboden door libghc-regex-posix-dev
- libghc-regex-posix-doc (0.95.2-11)
- API documentation for regex-posix Haskell library
- libghc-regex-posix-prof (0.95.2-11+b2)
- GHC profiling library of the POSIX regex backend for regex-base
- libghc-regex-posix-prof-0.95.2-9e952
- virtueel pakket geboden door libghc-regex-posix-prof
- libghc-regex-posix-prof-0.95.2-ae16a
- virtueel pakket geboden door libghc-regex-posix-prof
- libghc-regex-posix-prof-0.95.2-b55df
- virtueel pakket geboden door libghc-regex-posix-prof
- libghc-regex-posix-prof-0.95.2-d5be1
- virtueel pakket geboden door libghc-regex-posix-prof
- libghc-regex-tdfa-dev (1.2.3.1-4+b2)
- Haskell library for a tagged DFA regex engine
- libghc-regex-tdfa-dev-1.2.3.1-3f38d
- virtueel pakket geboden door libghc-regex-tdfa-dev
- libghc-regex-tdfa-dev-1.2.3.1-6d2ce
- virtueel pakket geboden door libghc-regex-tdfa-dev
- libghc-regex-tdfa-dev-1.2.3.1-a8dd4
- virtueel pakket geboden door libghc-regex-tdfa-dev
- libghc-regex-tdfa-dev-1.2.3.1-bad7f
- virtueel pakket geboden door libghc-regex-tdfa-dev
- libghc-regex-tdfa-doc (1.2.3.1-4)
- Haskell library for a tagged DFA regex engine; documentation
- libghc-regex-tdfa-prof (1.2.3.1-4+b2)
- Haskell library for a tagged DFA regex engine; profiling libraries
- libghc-regex-tdfa-prof-1.2.3.1-3f38d
- virtueel pakket geboden door libghc-regex-tdfa-prof
- libghc-regex-tdfa-prof-1.2.3.1-6d2ce
- virtueel pakket geboden door libghc-regex-tdfa-prof
- libghc-regex-tdfa-prof-1.2.3.1-a8dd4
- virtueel pakket geboden door libghc-regex-tdfa-prof
- libghc-regex-tdfa-prof-1.2.3.1-bad7f
- virtueel pakket geboden door libghc-regex-tdfa-prof
- libghc-regex-tdfa-text-dev (1.0.0.3-4+b2)
- Text interface for regex-tdfa
- libghc-regex-tdfa-text-dev-1.0.0.3-77019
- virtueel pakket geboden door libghc-regex-tdfa-text-dev
- libghc-regex-tdfa-text-dev-1.0.0.3-b6fb2
- virtueel pakket geboden door libghc-regex-tdfa-text-dev
- libghc-regex-tdfa-text-dev-1.0.0.3-ba26b
- virtueel pakket geboden door libghc-regex-tdfa-text-dev
- libghc-regex-tdfa-text-dev-1.0.0.3-cf964
- virtueel pakket geboden door libghc-regex-tdfa-text-dev
- libghc-regex-tdfa-text-doc (1.0.0.3-4)
- Text interface for regex-tdfa; documentation
- libghc-regex-tdfa-text-prof (1.0.0.3-4+b2)
- Text interface for regex-tdfa; profiling libraries
- libghc-regex-tdfa-text-prof-1.0.0.3-77019
- virtueel pakket geboden door libghc-regex-tdfa-text-prof
- libghc-regex-tdfa-text-prof-1.0.0.3-b6fb2
- virtueel pakket geboden door libghc-regex-tdfa-text-prof
- libghc-regex-tdfa-text-prof-1.0.0.3-ba26b
- virtueel pakket geboden door libghc-regex-tdfa-text-prof
- libghc-regex-tdfa-text-prof-1.0.0.3-cf964
- virtueel pakket geboden door libghc-regex-tdfa-text-prof
- libghc-regexpr-dev (0.5.4-14+b2)
- Regular expression library like Perl/Ruby
- libghc-regexpr-dev-0.5.4-8ceab
- virtueel pakket geboden door libghc-regexpr-dev
- libghc-regexpr-dev-0.5.4-b9a15
- virtueel pakket geboden door libghc-regexpr-dev
- libghc-regexpr-dev-0.5.4-bf299
- virtueel pakket geboden door libghc-regexpr-dev
- libghc-regexpr-doc (0.5.4-14)
- Regular expression library like Perl/Ruby; documentation
- libghc-regexpr-prof (0.5.4-14+b2)
- Regular expression library like Perl/Ruby; profiling libraries
- libghc-regexpr-prof-0.5.4-8ceab
- virtueel pakket geboden door libghc-regexpr-prof
- libghc-regexpr-prof-0.5.4-b9a15
- virtueel pakket geboden door libghc-regexpr-prof
- libghc-regexpr-prof-0.5.4-bf299
- virtueel pakket geboden door libghc-regexpr-prof
- libghc-reinterpret-cast-dev (0.1.0-1+b1)
- Memory reinterpretation casts for Float/Double/Word32/Word64
- libghc-reinterpret-cast-dev-0.1.0-a7f1b
- virtueel pakket geboden door libghc-reinterpret-cast-dev
- libghc-reinterpret-cast-dev-0.1.0-cb0af
- virtueel pakket geboden door libghc-reinterpret-cast-dev
- libghc-reinterpret-cast-dev-0.1.0-ecdb2
- virtueel pakket geboden door libghc-reinterpret-cast-dev
- libghc-reinterpret-cast-doc (0.1.0-1)
- Memory reinterpretation casts for Float/Double/Word32/Word64; documentation
- libghc-reinterpret-cast-prof (0.1.0-1+b1)
- Memory reinterpretation casts for Float/Double/Word32/Word64; profiling libraries
- libghc-reinterpret-cast-prof-0.1.0-a7f1b
- virtueel pakket geboden door libghc-reinterpret-cast-prof
- libghc-reinterpret-cast-prof-0.1.0-cb0af
- virtueel pakket geboden door libghc-reinterpret-cast-prof
- libghc-reinterpret-cast-prof-0.1.0-ecdb2
- virtueel pakket geboden door libghc-reinterpret-cast-prof
- libghc-relational-query-dev (0.12.1.0-2+b2)
- Typeful, Modular, Relational, algebraic query engine
- libghc-relational-query-dev-0.12.1.0-362da
- virtueel pakket geboden door libghc-relational-query-dev
- libghc-relational-query-dev-0.12.1.0-3f297
- virtueel pakket geboden door libghc-relational-query-dev
- libghc-relational-query-dev-0.12.1.0-409a9
- virtueel pakket geboden door libghc-relational-query-dev
- libghc-relational-query-dev-0.12.1.0-bac42
- virtueel pakket geboden door libghc-relational-query-dev
- libghc-relational-query-doc (0.12.1.0-2)
- Typeful, Modular, Relational, algebraic query engine; documentation
- libghc-relational-query-hdbc-dev (0.7.1.1-1+b2)
- relational-query instance and typed interface for HDBC
- libghc-relational-query-hdbc-dev-0.7.1.1-c3462
- virtueel pakket geboden door libghc-relational-query-hdbc-dev
- libghc-relational-query-hdbc-dev-0.7.1.1-cc4e3
- virtueel pakket geboden door libghc-relational-query-hdbc-dev
- libghc-relational-query-hdbc-dev-0.7.1.1-ee5c7
- virtueel pakket geboden door libghc-relational-query-hdbc-dev
- libghc-relational-query-hdbc-dev-0.7.1.1-fdafc
- virtueel pakket geboden door libghc-relational-query-hdbc-dev
- libghc-relational-query-hdbc-doc (0.7.1.1-1)
- relational-query instance and typed interface for HDBC; documentation
- libghc-relational-query-hdbc-prof (0.7.1.1-1+b2)
- relational-query instance and typed interface for HDBC; profiling libraries
- libghc-relational-query-hdbc-prof-0.7.1.1-c3462
- virtueel pakket geboden door libghc-relational-query-hdbc-prof
- libghc-relational-query-hdbc-prof-0.7.1.1-cc4e3
- virtueel pakket geboden door libghc-relational-query-hdbc-prof
- libghc-relational-query-hdbc-prof-0.7.1.1-ee5c7
- virtueel pakket geboden door libghc-relational-query-hdbc-prof
- libghc-relational-query-hdbc-prof-0.7.1.1-fdafc
- virtueel pakket geboden door libghc-relational-query-hdbc-prof
- libghc-relational-query-prof (0.12.1.0-2+b2)
- Typeful, Modular, Relational, algebraic query engine; profiling libraries
- libghc-relational-query-prof-0.12.1.0-362da
- virtueel pakket geboden door libghc-relational-query-prof
- libghc-relational-query-prof-0.12.1.0-3f297
- virtueel pakket geboden door libghc-relational-query-prof
- libghc-relational-query-prof-0.12.1.0-409a9
- virtueel pakket geboden door libghc-relational-query-prof
- libghc-relational-query-prof-0.12.1.0-bac42
- virtueel pakket geboden door libghc-relational-query-prof
- libghc-relational-record-doc (0.2.2.0-2)
- Documentation package of Haskell Relational Record
- libghc-relational-schemas-dev (0.1.6.2-2+b2)
- RDBMSs' schema templates for relational-query
- libghc-relational-schemas-dev-0.1.6.2-5ee1f
- virtueel pakket geboden door libghc-relational-schemas-dev
- libghc-relational-schemas-dev-0.1.6.2-6bdd0
- virtueel pakket geboden door libghc-relational-schemas-dev
- libghc-relational-schemas-dev-0.1.6.2-a9aed
- virtueel pakket geboden door libghc-relational-schemas-dev
- libghc-relational-schemas-dev-0.1.6.2-f4bce
- virtueel pakket geboden door libghc-relational-schemas-dev
- libghc-relational-schemas-doc (0.1.6.2-2)
- RDBMSs' schema templates for relational-query; documentation
- libghc-relational-schemas-prof (0.1.6.2-2+b2)
- RDBMSs' schema templates for relational-query; profiling libraries
- libghc-relational-schemas-prof-0.1.6.2-5ee1f
- virtueel pakket geboden door libghc-relational-schemas-prof
- libghc-relational-schemas-prof-0.1.6.2-6bdd0
- virtueel pakket geboden door libghc-relational-schemas-prof
- libghc-relational-schemas-prof-0.1.6.2-a9aed
- virtueel pakket geboden door libghc-relational-schemas-prof
- libghc-relational-schemas-prof-0.1.6.2-f4bce
- virtueel pakket geboden door libghc-relational-schemas-prof
- libghc-repa-dev (3.4.1.3-3+b1)
- Regular parallel arrays for Haskell
- libghc-repa-dev-3.4.1.3-38f3e
- virtueel pakket geboden door libghc-repa-dev
- libghc-repa-dev-3.4.1.3-52f27
- virtueel pakket geboden door libghc-repa-dev
- libghc-repa-dev-3.4.1.3-69f72
- virtueel pakket geboden door libghc-repa-dev
- libghc-repa-dev-3.4.1.3-7b06f
- virtueel pakket geboden door libghc-repa-dev
- libghc-repa-doc (3.4.1.3-3)
- Regular parallel arrays for Haskell; documentation
- libghc-repa-prof (3.4.1.3-3+b1)
- Regular parallel arrays for Haskell; profiling libraries
- libghc-repa-prof-3.4.1.3-38f3e
- virtueel pakket geboden door libghc-repa-prof
- libghc-repa-prof-3.4.1.3-52f27
- virtueel pakket geboden door libghc-repa-prof
- libghc-repa-prof-3.4.1.3-69f72
- virtueel pakket geboden door libghc-repa-prof
- libghc-repa-prof-3.4.1.3-7b06f
- virtueel pakket geboden door libghc-repa-prof
- libghc-resolv-dev (0.1.1.1-1+b1)
- Domain Name Service (DNS) lookup via libresolv
- libghc-resolv-dev-0.1.1.1-81c2a
- virtueel pakket geboden door libghc-resolv-dev
- libghc-resolv-dev-0.1.1.1-a007f
- virtueel pakket geboden door libghc-resolv-dev
- libghc-resolv-dev-0.1.1.1-e03a9
- virtueel pakket geboden door libghc-resolv-dev
- libghc-resolv-dev-0.1.1.1-ec2bb
- virtueel pakket geboden door libghc-resolv-dev
- libghc-resolv-doc (0.1.1.1-1)
- Domain Name Service (DNS) lookup via libresolv; documentation
- libghc-resolv-prof (0.1.1.1-1+b1)
- Domain Name Service (DNS) lookup via libresolv; profiling libraries
- libghc-resolv-prof-0.1.1.1-81c2a
- virtueel pakket geboden door libghc-resolv-prof
- libghc-resolv-prof-0.1.1.1-a007f
- virtueel pakket geboden door libghc-resolv-prof
- libghc-resolv-prof-0.1.1.1-e03a9
- virtueel pakket geboden door libghc-resolv-prof
- libghc-resolv-prof-0.1.1.1-ec2bb
- virtueel pakket geboden door libghc-resolv-prof
- libghc-resource-pool-dev (0.2.3.2-9+b2 [amd64, arm64], 0.2.3.2-9+b1 [armhf, i386])
- high-performance striped resource-pooling implementation
- libghc-resource-pool-dev-0.2.3.2-25cef
- virtueel pakket geboden door libghc-resource-pool-dev
- libghc-resource-pool-dev-0.2.3.2-2f0e6
- virtueel pakket geboden door libghc-resource-pool-dev
- libghc-resource-pool-dev-0.2.3.2-2fc6b
- virtueel pakket geboden door libghc-resource-pool-dev
- libghc-resource-pool-dev-0.2.3.2-de667
- virtueel pakket geboden door libghc-resource-pool-dev
- libghc-resource-pool-doc (0.2.3.2-9)
- high-performance striped resource-pooling implementation; documentation
- libghc-resource-pool-prof (0.2.3.2-9+b2 [amd64, arm64], 0.2.3.2-9+b1 [armhf, i386])
- high-performance striped resource-pooling implementation; profiling libraries
- libghc-resource-pool-prof-0.2.3.2-25cef
- virtueel pakket geboden door libghc-resource-pool-prof
- libghc-resource-pool-prof-0.2.3.2-2f0e6
- virtueel pakket geboden door libghc-resource-pool-prof
- libghc-resource-pool-prof-0.2.3.2-2fc6b
- virtueel pakket geboden door libghc-resource-pool-prof
- libghc-resource-pool-prof-0.2.3.2-de667
- virtueel pakket geboden door libghc-resource-pool-prof
- libghc-resourcet-dev (1.2.1-3+b2)
- deterministic allocation and freeing of scarce resources
- libghc-resourcet-dev-1.2.1-71782
- virtueel pakket geboden door libghc-resourcet-dev
- libghc-resourcet-dev-1.2.1-8a9ee
- virtueel pakket geboden door libghc-resourcet-dev
- libghc-resourcet-dev-1.2.1-8b981
- virtueel pakket geboden door libghc-resourcet-dev
- libghc-resourcet-dev-1.2.1-f65c9
- virtueel pakket geboden door libghc-resourcet-dev
- libghc-resourcet-doc (1.2.1-3)
- deterministic allocation and freeing of scarce resources; documentation
- libghc-resourcet-prof (1.2.1-3+b2)
- deterministic allocation and freeing of scarce resources; profiling libraries
- libghc-resourcet-prof-1.2.1-71782
- virtueel pakket geboden door libghc-resourcet-prof
- libghc-resourcet-prof-1.2.1-8a9ee
- virtueel pakket geboden door libghc-resourcet-prof
- libghc-resourcet-prof-1.2.1-8b981
- virtueel pakket geboden door libghc-resourcet-prof
- libghc-resourcet-prof-1.2.1-f65c9
- virtueel pakket geboden door libghc-resourcet-prof
- libghc-retry-dev (0.7.7.0-1+b1)
- Retry combinators for monadic actions that may fail
- libghc-retry-dev-0.7.7.0-18aef
- virtueel pakket geboden door libghc-retry-dev
- libghc-retry-dev-0.7.7.0-62760
- virtueel pakket geboden door libghc-retry-dev
- libghc-retry-dev-0.7.7.0-72556
- virtueel pakket geboden door libghc-retry-dev
- libghc-retry-dev-0.7.7.0-f218a
- virtueel pakket geboden door libghc-retry-dev
- libghc-retry-doc (0.7.7.0-1)
- Retry combinators for monadic actions that may fail; documentation
- libghc-retry-prof (0.7.7.0-1+b1)
- Retry combinators for monadic actions that may fail; profiling libraries
- libghc-retry-prof-0.7.7.0-18aef
- virtueel pakket geboden door libghc-retry-prof
- libghc-retry-prof-0.7.7.0-62760
- virtueel pakket geboden door libghc-retry-prof
- libghc-retry-prof-0.7.7.0-72556
- virtueel pakket geboden door libghc-retry-prof
- libghc-retry-prof-0.7.7.0-f218a
- virtueel pakket geboden door libghc-retry-prof
- libghc-rio-dev (0.1.5.0-1+b1)
- standard library for Haskell
- libghc-rio-dev-0.1.5.0-21381
- virtueel pakket geboden door libghc-rio-dev
- libghc-rio-dev-0.1.5.0-5171f
- virtueel pakket geboden door libghc-rio-dev
- libghc-rio-dev-0.1.5.0-a866e
- virtueel pakket geboden door libghc-rio-dev
- libghc-rio-dev-0.1.5.0-b8811
- virtueel pakket geboden door libghc-rio-dev
- libghc-rio-doc (0.1.5.0-1)
- standard library for Haskell; documentation
- libghc-rio-prof (0.1.5.0-1+b1)
- standard library for Haskell; profiling libraries
- libghc-rio-prof-0.1.5.0-21381
- virtueel pakket geboden door libghc-rio-prof
- libghc-rio-prof-0.1.5.0-5171f
- virtueel pakket geboden door libghc-rio-prof
- libghc-rio-prof-0.1.5.0-a866e
- virtueel pakket geboden door libghc-rio-prof
- libghc-rio-prof-0.1.5.0-b8811
- virtueel pakket geboden door libghc-rio-prof
- libghc-rsa-dev (2.3.0-3+b1)
- implementation of RSA, using the padding schemes of PKCS#1 v2.1.
- libghc-rsa-dev-2.3.0-cb2cf
- virtueel pakket geboden door libghc-rsa-dev
- libghc-rsa-dev-2.3.0-d00c2
- virtueel pakket geboden door libghc-rsa-dev
- libghc-rsa-dev-2.3.0-d27a1
- virtueel pakket geboden door libghc-rsa-dev
- libghc-rsa-dev-2.3.0-da71e
- virtueel pakket geboden door libghc-rsa-dev
- libghc-rsa-doc (2.3.0-3)
- implementation of RSA, using padding schemes of PKCS#1 v2.1.; documentation
- libghc-rsa-prof (2.3.0-3+b1)
- implementation of RSA, using padding schemes of PKCS#1; profiling libraries
- libghc-rsa-prof-2.3.0-cb2cf
- virtueel pakket geboden door libghc-rsa-prof
- libghc-rsa-prof-2.3.0-d00c2
- virtueel pakket geboden door libghc-rsa-prof
- libghc-rsa-prof-2.3.0-d27a1
- virtueel pakket geboden door libghc-rsa-prof
- libghc-rsa-prof-2.3.0-da71e
- virtueel pakket geboden door libghc-rsa-prof
- libghc-rts-dev-1.0-
- virtueel pakket geboden door ghc
- libghc-rts-prof-1.0-
- virtueel pakket geboden door ghc-prof
- libghc-rvar-dev (0.2.0.3-6+b2)
- random variables
- libghc-rvar-dev-0.2.0.3-2c18d
- virtueel pakket geboden door libghc-rvar-dev
- libghc-rvar-dev-0.2.0.3-53f77
- virtueel pakket geboden door libghc-rvar-dev
- libghc-rvar-dev-0.2.0.3-f2f18
- virtueel pakket geboden door libghc-rvar-dev
- libghc-rvar-doc (0.2.0.3-6)
- random variables; documentation
- libghc-rvar-prof (0.2.0.3-6+b2)
- random variables; profiling libraries
- libghc-rvar-prof-0.2.0.3-2c18d
- virtueel pakket geboden door libghc-rvar-prof
- libghc-rvar-prof-0.2.0.3-53f77
- virtueel pakket geboden door libghc-rvar-prof
- libghc-rvar-prof-0.2.0.3-f2f18
- virtueel pakket geboden door libghc-rvar-prof
- libghc-safe-dev (0.3.17-2+b2)
- GHC Library for safe (pattern match free) functions
- libghc-safe-dev-0.3.17-83a1a
- virtueel pakket geboden door libghc-safe-dev
- libghc-safe-dev-0.3.17-cc5a1
- virtueel pakket geboden door libghc-safe-dev
- libghc-safe-doc (0.3.17-2)
- Documentation for safe library for (pattern match free) functions; documentation
- libghc-safe-exceptions-dev (0.1.7.0-4+b1)
- safe, consistent, and easy exception handling
- libghc-safe-exceptions-dev-0.1.7.0-2bde5
- virtueel pakket geboden door libghc-safe-exceptions-dev
- libghc-safe-exceptions-dev-0.1.7.0-a60c8
- virtueel pakket geboden door libghc-safe-exceptions-dev
- libghc-safe-exceptions-dev-0.1.7.0-c5219
- virtueel pakket geboden door libghc-safe-exceptions-dev
- libghc-safe-exceptions-dev-0.1.7.0-c9ee8
- virtueel pakket geboden door libghc-safe-exceptions-dev
- libghc-safe-exceptions-doc (0.1.7.0-4)
- safe, consistent, and easy exception handling; documentation
- libghc-safe-exceptions-prof (0.1.7.0-4+b1)
- safe, consistent, and easy exception handling; profiling libraries
- libghc-safe-exceptions-prof-0.1.7.0-2bde5
- virtueel pakket geboden door libghc-safe-exceptions-prof
- libghc-safe-exceptions-prof-0.1.7.0-a60c8
- virtueel pakket geboden door libghc-safe-exceptions-prof
- libghc-safe-exceptions-prof-0.1.7.0-c5219
- virtueel pakket geboden door libghc-safe-exceptions-prof
- libghc-safe-exceptions-prof-0.1.7.0-c9ee8
- virtueel pakket geboden door libghc-safe-exceptions-prof
- libghc-safe-prof (0.3.17-2+b2)
- Profiling library for safe (pattern match free) functions; profiling libraries
- libghc-safe-prof-0.3.17-83a1a
- virtueel pakket geboden door libghc-safe-prof
- libghc-safe-prof-0.3.17-cc5a1
- virtueel pakket geboden door libghc-safe-prof
- libghc-safecopy-dev (0.9.4.1-3+b2)
- Haskell serialization library with version control - GHC libraries
- libghc-safecopy-dev-0.9.4.1-4fb82
- virtueel pakket geboden door libghc-safecopy-dev
- libghc-safecopy-dev-0.9.4.1-5b384
- virtueel pakket geboden door libghc-safecopy-dev
- libghc-safecopy-dev-0.9.4.1-c512e
- virtueel pakket geboden door libghc-safecopy-dev
- libghc-safecopy-dev-0.9.4.1-f260d
- virtueel pakket geboden door libghc-safecopy-dev
- libghc-safecopy-doc (0.9.4.1-3)
- Haskell serialization library with version control - documentation; documentation
- libghc-safecopy-prof (0.9.4.1-3+b2)
- Haskell serialization library with version control - GHC profiling libraries; profiling libraries
- libghc-safecopy-prof-0.9.4.1-4fb82
- virtueel pakket geboden door libghc-safecopy-prof
- libghc-safecopy-prof-0.9.4.1-5b384
- virtueel pakket geboden door libghc-safecopy-prof
- libghc-safecopy-prof-0.9.4.1-c512e
- virtueel pakket geboden door libghc-safecopy-prof
- libghc-safecopy-prof-0.9.4.1-f260d
- virtueel pakket geboden door libghc-safecopy-prof
- libghc-safesemaphore-dev (0.10.1-10+b2)
- exception safe alternatives to QSem, QSemN, and SampleVar - GHC libraries
- libghc-safesemaphore-dev-0.10.1-52dff
- virtueel pakket geboden door libghc-safesemaphore-dev
- libghc-safesemaphore-dev-0.10.1-58c95
- virtueel pakket geboden door libghc-safesemaphore-dev
- libghc-safesemaphore-dev-0.10.1-885b9
- virtueel pakket geboden door libghc-safesemaphore-dev
- libghc-safesemaphore-dev-0.10.1-ac032
- virtueel pakket geboden door libghc-safesemaphore-dev
- libghc-safesemaphore-doc (0.10.1-10)
- exception safe alternatives to QSem, QSemN, and SampleVar - documentation; documentation
- libghc-safesemaphore-prof (0.10.1-10+b2)
- exception safe alternatives to QSem, QSemN, and SampleVar - GHC profiling data; profiling libraries
- libghc-safesemaphore-prof-0.10.1-52dff
- virtueel pakket geboden door libghc-safesemaphore-prof
- libghc-safesemaphore-prof-0.10.1-58c95
- virtueel pakket geboden door libghc-safesemaphore-prof
- libghc-safesemaphore-prof-0.10.1-885b9
- virtueel pakket geboden door libghc-safesemaphore-prof
- libghc-safesemaphore-prof-0.10.1-ac032
- virtueel pakket geboden door libghc-safesemaphore-prof
- libghc-sandi-dev (0.4.2-2+b1)
- Haskell library of data encoders and decoders
- libghc-sandi-dev-0.4.2-02e9a
- virtueel pakket geboden door libghc-sandi-dev
- libghc-sandi-dev-0.4.2-2b054
- virtueel pakket geboden door libghc-sandi-dev
- libghc-sandi-dev-0.4.2-851a2
- virtueel pakket geboden door libghc-sandi-dev
- libghc-sandi-dev-0.4.2-da5ba
- virtueel pakket geboden door libghc-sandi-dev
- libghc-sandi-doc (0.4.2-2)
- Haskell library of data encoders and decoders; documentation
- libghc-sandi-prof (0.4.2-2+b1)
- Haskell library of data encoders and decoders; profiling libraries
- libghc-sandi-prof-0.4.2-02e9a
- virtueel pakket geboden door libghc-sandi-prof
- libghc-sandi-prof-0.4.2-2b054
- virtueel pakket geboden door libghc-sandi-prof
- libghc-sandi-prof-0.4.2-851a2
- virtueel pakket geboden door libghc-sandi-prof
- libghc-sandi-prof-0.4.2-da5ba
- virtueel pakket geboden door libghc-sandi-prof
- libghc-say-dev (0.1.0.1-2+b2)
- send textual messages to a Handle in a thread-friendly way
- libghc-say-dev-0.1.0.1-530f4
- virtueel pakket geboden door libghc-say-dev
- libghc-say-dev-0.1.0.1-9512d
- virtueel pakket geboden door libghc-say-dev
- libghc-say-dev-0.1.0.1-b3f05
- virtueel pakket geboden door libghc-say-dev
- libghc-say-dev-0.1.0.1-bd550
- virtueel pakket geboden door libghc-say-dev
- libghc-say-doc (0.1.0.1-2)
- send textual messages to a Handle in a thread-friendly way; documentation
- libghc-say-prof (0.1.0.1-2+b2)
- send textual messages to a Handle in a thread-friendly way; profiling libraries
- libghc-say-prof-0.1.0.1-530f4
- virtueel pakket geboden door libghc-say-prof
- libghc-say-prof-0.1.0.1-9512d
- virtueel pakket geboden door libghc-say-prof
- libghc-say-prof-0.1.0.1-b3f05
- virtueel pakket geboden door libghc-say-prof
- libghc-say-prof-0.1.0.1-bd550
- virtueel pakket geboden door libghc-say-prof
- libghc-sbv-dev (7.12-2+b2)
- symbolic Haskell theorem prover using SMT solving
- libghc-sbv-dev-7.12-31188
- virtueel pakket geboden door libghc-sbv-dev
- libghc-sbv-dev-7.12-3ec05
- virtueel pakket geboden door libghc-sbv-dev
- libghc-sbv-dev-7.12-47075
- virtueel pakket geboden door libghc-sbv-dev
- libghc-sbv-dev-7.12-648e7
- virtueel pakket geboden door libghc-sbv-dev
- libghc-sbv-doc (7.12-2)
- symbolic Haskell theorem prover using SMT solving; documentation
- libghc-sbv-prof (7.12-2+b2)
- symbolic Haskell theorem prover using SMT solving; profiling libraries
- libghc-sbv-prof-7.12-31188
- virtueel pakket geboden door libghc-sbv-prof
- libghc-sbv-prof-7.12-3ec05
- virtueel pakket geboden door libghc-sbv-prof
- libghc-sbv-prof-7.12-47075
- virtueel pakket geboden door libghc-sbv-prof
- libghc-sbv-prof-7.12-648e7
- virtueel pakket geboden door libghc-sbv-prof
- libghc-scanner-dev (0.2-5+b2)
- fast incremental combinator parsing for bytestrings
- libghc-scanner-dev-0.2-08eac
- virtueel pakket geboden door libghc-scanner-dev
- libghc-scanner-dev-0.2-3c5e1
- virtueel pakket geboden door libghc-scanner-dev
- libghc-scanner-dev-0.2-46148
- virtueel pakket geboden door libghc-scanner-dev
- libghc-scanner-dev-0.2-b9245
- virtueel pakket geboden door libghc-scanner-dev
- libghc-scanner-doc (0.2-5)
- fast incremental combinator parsing for bytestrings; documentation
- libghc-scanner-prof (0.2-5+b2)
- fast incremental combinator parsing for bytestrings; profiling libraries
- libghc-scanner-prof-0.2-08eac
- virtueel pakket geboden door libghc-scanner-prof
- libghc-scanner-prof-0.2-3c5e1
- virtueel pakket geboden door libghc-scanner-prof
- libghc-scanner-prof-0.2-46148
- virtueel pakket geboden door libghc-scanner-prof
- libghc-scanner-prof-0.2-b9245
- virtueel pakket geboden door libghc-scanner-prof
- libghc-scientific-dev (0.3.6.2-3+b1)
- scientific notation for floating-point numbers
- libghc-scientific-dev-0.3.6.2-12bd8
- virtueel pakket geboden door libghc-scientific-dev
- libghc-scientific-dev-0.3.6.2-1d842
- virtueel pakket geboden door libghc-scientific-dev
- libghc-scientific-dev-0.3.6.2-7ad85
- virtueel pakket geboden door libghc-scientific-dev
- libghc-scientific-dev-0.3.6.2-b6eee
- virtueel pakket geboden door libghc-scientific-dev
- libghc-scientific-doc (0.3.6.2-3)
- scientific notation for floating-point numbers; documentation
- libghc-scientific-prof (0.3.6.2-3+b1)
- scientific notation for floating-point numbers; profiling libraries
- libghc-scientific-prof-0.3.6.2-12bd8
- virtueel pakket geboden door libghc-scientific-prof
- libghc-scientific-prof-0.3.6.2-1d842
- virtueel pakket geboden door libghc-scientific-prof
- libghc-scientific-prof-0.3.6.2-7ad85
- virtueel pakket geboden door libghc-scientific-prof
- libghc-scientific-prof-0.3.6.2-b6eee
- virtueel pakket geboden door libghc-scientific-prof
- libghc-sdl-dev (0.6.7.0-1+b2)
- Haskell SDL binding for GHC
- libghc-sdl-dev-0.6.7.0-02983
- virtueel pakket geboden door libghc-sdl-dev
- libghc-sdl-dev-0.6.7.0-7ba4a
- virtueel pakket geboden door libghc-sdl-dev
- libghc-sdl-dev-0.6.7.0-8af32
- virtueel pakket geboden door libghc-sdl-dev
- libghc-sdl-dev-0.6.7.0-e5476
- virtueel pakket geboden door libghc-sdl-dev
- libghc-sdl-doc (0.6.7.0-1)
- Haskell SDL binding for GHC - documentation
- libghc-sdl-gfx-dev (0.7.0.0-1+b1)
- Haskell SDL gfx binding for GHC
- libghc-sdl-gfx-doc (0.7.0.0-1)
- Haskell SDL gfx binding for GHC - documentation
- libghc-sdl-gfx-prof (0.7.0.0-1+b1)
- Haskell SDL gfx binding for GHC - profiling libraries
- libghc-sdl-image-dev (0.6.2.0-1+b1)
- Haskell SDL Image binding for GHC
- libghc-sdl-image-doc (0.6.2.0-1)
- Haskell SDL Image binding for GHC - documentation
- libghc-sdl-image-prof (0.6.2.0-1+b1)
- Haskell SDL Image binding for GHC - profiling libraries
- libghc-sdl-mixer-dev (0.6.3.0-1+b1)
- Haskell SDL Mixer binding for GHC
- libghc-sdl-mixer-doc (0.6.3.0-1)
- Haskell SDL Mixer binding for GHC - documentation
- libghc-sdl-mixer-prof (0.6.3.0-1+b1)
- Haskell SDL Mixer binding for GHC - profiling libraries
- libghc-sdl-prof (0.6.7.0-1+b2)
- Haskell SDL binding for GHC - profiling libraries
- libghc-sdl-prof-0.6.7.0-02983
- virtueel pakket geboden door libghc-sdl-prof
- libghc-sdl-prof-0.6.7.0-7ba4a
- virtueel pakket geboden door libghc-sdl-prof
- libghc-sdl-prof-0.6.7.0-8af32
- virtueel pakket geboden door libghc-sdl-prof
- libghc-sdl-prof-0.6.7.0-e5476
- virtueel pakket geboden door libghc-sdl-prof
- libghc-sdl-ttf-dev (0.6.3.0-1+b1)
- Haskell SDL TTF binding for GHC
- libghc-sdl-ttf-doc (0.6.3.0-1)
- Haskell SDL TTF binding for GHC - documentation
- libghc-sdl-ttf-prof (0.6.3.0-1+b1)
- Haskell SDL TTF binding for GHC - profiling libraries
- libghc-sdl2-dev (2.4.1.0-2+b1)
- high- and low-level bindings to the SDL 2 library
- libghc-sdl2-dev-2.4.1.0-88931
- virtueel pakket geboden door libghc-sdl2-dev
- libghc-sdl2-dev-2.4.1.0-d4db3
- virtueel pakket geboden door libghc-sdl2-dev
- libghc-sdl2-dev-2.4.1.0-fb6be
- virtueel pakket geboden door libghc-sdl2-dev
- libghc-sdl2-dev-2.4.1.0-fe883
- virtueel pakket geboden door libghc-sdl2-dev
- libghc-sdl2-doc (2.4.1.0-2)
- high- and low-level bindings to the SDL 2 library; documentation
- libghc-sdl2-prof (2.4.1.0-2+b1)
- high- and low-level bindings to the SDL 2 library; profiling libraries
- libghc-sdl2-prof-2.4.1.0-88931
- virtueel pakket geboden door libghc-sdl2-prof
- libghc-sdl2-prof-2.4.1.0-d4db3
- virtueel pakket geboden door libghc-sdl2-prof
- libghc-sdl2-prof-2.4.1.0-fb6be
- virtueel pakket geboden door libghc-sdl2-prof
- libghc-sdl2-prof-2.4.1.0-fe883
- virtueel pakket geboden door libghc-sdl2-prof
- libghc-sdl2-ttf-dev (2.1.0-3+b1)
- bindings to SDL2_ttf
- libghc-sdl2-ttf-dev-2.1.0-3cf23
- virtueel pakket geboden door libghc-sdl2-ttf-dev
- libghc-sdl2-ttf-dev-2.1.0-91fb9
- virtueel pakket geboden door libghc-sdl2-ttf-dev
- libghc-sdl2-ttf-dev-2.1.0-95502
- virtueel pakket geboden door libghc-sdl2-ttf-dev
- libghc-sdl2-ttf-dev-2.1.0-9b18b
- virtueel pakket geboden door libghc-sdl2-ttf-dev
- libghc-sdl2-ttf-doc (2.1.0-3)
- bindings to SDL2_ttf; documentation
- libghc-sdl2-ttf-prof (2.1.0-3+b1)
- bindings to SDL2_ttf; profiling libraries
- libghc-sdl2-ttf-prof-2.1.0-3cf23
- virtueel pakket geboden door libghc-sdl2-ttf-prof
- libghc-sdl2-ttf-prof-2.1.0-91fb9
- virtueel pakket geboden door libghc-sdl2-ttf-prof
- libghc-sdl2-ttf-prof-2.1.0-95502
- virtueel pakket geboden door libghc-sdl2-ttf-prof
- libghc-sdl2-ttf-prof-2.1.0-9b18b
- virtueel pakket geboden door libghc-sdl2-ttf-prof
- libghc-securemem-dev (0.1.10-2+b2)
- auto-scrubbing and const-time-eq memory chunk abstraction
- libghc-securemem-dev-0.1.10-15125
- virtueel pakket geboden door libghc-securemem-dev
- libghc-securemem-dev-0.1.10-4dd79
- virtueel pakket geboden door libghc-securemem-dev
- libghc-securemem-dev-0.1.10-889c0
- virtueel pakket geboden door libghc-securemem-dev
- libghc-securemem-dev-0.1.10-a2788
- virtueel pakket geboden door libghc-securemem-dev
- libghc-securemem-doc (0.1.10-2)
- auto-scrubbing and const-time-eq memory chunk abstraction; documentation
- libghc-securemem-prof (0.1.10-2+b2)
- auto-scrubbing and const-time-eq memory chunk abstraction; profiling libraries
- libghc-securemem-prof-0.1.10-15125
- virtueel pakket geboden door libghc-securemem-prof
- libghc-securemem-prof-0.1.10-4dd79
- virtueel pakket geboden door libghc-securemem-prof
- libghc-securemem-prof-0.1.10-889c0
- virtueel pakket geboden door libghc-securemem-prof
- libghc-securemem-prof-0.1.10-a2788
- virtueel pakket geboden door libghc-securemem-prof
- libghc-semigroupoids-dev (5.2.2-3+b1)
- Haskell 98 semigroupoids: Category sans id
- libghc-semigroupoids-dev-5.2.2-35ec4
- virtueel pakket geboden door libghc-semigroupoids-dev
- libghc-semigroupoids-dev-5.2.2-4dfef
- virtueel pakket geboden door libghc-semigroupoids-dev
- libghc-semigroupoids-dev-5.2.2-51141
- virtueel pakket geboden door libghc-semigroupoids-dev
- libghc-semigroupoids-dev-5.2.2-648b5
- virtueel pakket geboden door libghc-semigroupoids-dev
- libghc-semigroupoids-doc (5.2.2-3)
- Haskell 98 semigroupoids: Category sans id; documentation
- libghc-semigroupoids-prof (5.2.2-3+b1)
- Haskell 98 semigroupoids: Category sans id; profiling libraries
- libghc-semigroupoids-prof-5.2.2-35ec4
- virtueel pakket geboden door libghc-semigroupoids-prof
- libghc-semigroupoids-prof-5.2.2-4dfef
- virtueel pakket geboden door libghc-semigroupoids-prof
- libghc-semigroupoids-prof-5.2.2-51141
- virtueel pakket geboden door libghc-semigroupoids-prof
- libghc-semigroupoids-prof-5.2.2-648b5
- virtueel pakket geboden door libghc-semigroupoids-prof
- libghc-semigroups-dev (0.18.5-2+b2)
- Haskell98 semigroups
- libghc-semigroups-dev-0.18.5-cb97f
- virtueel pakket geboden door libghc-semigroups-dev
- libghc-semigroups-dev-0.18.5-df031
- virtueel pakket geboden door libghc-semigroups-dev
- libghc-semigroups-doc (0.18.5-2)
- Haskell98 semigroups; documentation
- libghc-semigroups-prof (0.18.5-2+b2)
- Haskell98 semigroups; profiling libraries
- libghc-semigroups-prof-0.18.5-cb97f
- virtueel pakket geboden door libghc-semigroups-prof
- libghc-semigroups-prof-0.18.5-df031
- virtueel pakket geboden door libghc-semigroups-prof
- libghc-sendfile-dev (0.7.9-10+b2)
- Haskell portable sendfile library
- libghc-sendfile-dev-0.7.9-2163f
- virtueel pakket geboden door libghc-sendfile-dev
- libghc-sendfile-dev-0.7.9-3059e
- virtueel pakket geboden door libghc-sendfile-dev
- libghc-sendfile-dev-0.7.9-76582
- virtueel pakket geboden door libghc-sendfile-dev
- libghc-sendfile-dev-0.7.9-ccad8
- virtueel pakket geboden door libghc-sendfile-dev
- libghc-sendfile-doc (0.7.9-10)
- Haskell portable sendfile library; documentation
- libghc-sendfile-prof (0.7.9-10+b2)
- Haskell portable sendfile library; profiling libraries
- libghc-sendfile-prof-0.7.9-2163f
- virtueel pakket geboden door libghc-sendfile-prof
- libghc-sendfile-prof-0.7.9-3059e
- virtueel pakket geboden door libghc-sendfile-prof
- libghc-sendfile-prof-0.7.9-76582
- virtueel pakket geboden door libghc-sendfile-prof
- libghc-sendfile-prof-0.7.9-ccad8
- virtueel pakket geboden door libghc-sendfile-prof
- libghc-servant-client-core-dev (0.14.1-2+b1)
- Core functionality and class for client function generation for servant APIs
- libghc-servant-client-core-dev-0.14.1-4258d
- virtueel pakket geboden door libghc-servant-client-core-dev
- libghc-servant-client-core-dev-0.14.1-5bd69
- virtueel pakket geboden door libghc-servant-client-core-dev
- libghc-servant-client-core-dev-0.14.1-e6100
- virtueel pakket geboden door libghc-servant-client-core-dev
- libghc-servant-client-core-dev-0.14.1-ec03d
- virtueel pakket geboden door libghc-servant-client-core-dev
- libghc-servant-client-core-doc (0.14.1-2)
- Core functionality and class for client function generation for servant APIs; documentation
- libghc-servant-client-core-prof (0.14.1-2+b1)
- Core functionality and class for client function generation for servant APIs; profiling libraries
- libghc-servant-client-core-prof-0.14.1-4258d
- virtueel pakket geboden door libghc-servant-client-core-prof
- libghc-servant-client-core-prof-0.14.1-5bd69
- virtueel pakket geboden door libghc-servant-client-core-prof
- libghc-servant-client-core-prof-0.14.1-e6100
- virtueel pakket geboden door libghc-servant-client-core-prof
- libghc-servant-client-core-prof-0.14.1-ec03d
- virtueel pakket geboden door libghc-servant-client-core-prof
- libghc-servant-client-dev (0.14-3+b1)
- library for writing clients for servant webservices
- libghc-servant-client-dev-0.14-0a0c4
- virtueel pakket geboden door libghc-servant-client-dev
- libghc-servant-client-dev-0.14-2bb24
- virtueel pakket geboden door libghc-servant-client-dev
- libghc-servant-client-dev-0.14-78640
- virtueel pakket geboden door libghc-servant-client-dev
- libghc-servant-client-dev-0.14-bf2a3
- virtueel pakket geboden door libghc-servant-client-dev
- libghc-servant-client-doc (0.14-3)
- library for writing clients for servant webservices; documentation
- libghc-servant-client-prof (0.14-3+b1)
- library for writing clients for servant webservices; profiling libraries
- libghc-servant-client-prof-0.14-0a0c4
- virtueel pakket geboden door libghc-servant-client-prof
- libghc-servant-client-prof-0.14-2bb24
- virtueel pakket geboden door libghc-servant-client-prof
- libghc-servant-client-prof-0.14-78640
- virtueel pakket geboden door libghc-servant-client-prof
- libghc-servant-client-prof-0.14-bf2a3
- virtueel pakket geboden door libghc-servant-client-prof
- libghc-servant-dev (0.14.1-2+b1)
- family of combinators for defining webservices APIs
- libghc-servant-dev-0.14.1-03375
- virtueel pakket geboden door libghc-servant-dev
- libghc-servant-dev-0.14.1-08f74
- virtueel pakket geboden door libghc-servant-dev
- libghc-servant-dev-0.14.1-17e86
- virtueel pakket geboden door libghc-servant-dev
- libghc-servant-dev-0.14.1-68f03
- virtueel pakket geboden door libghc-servant-dev
- libghc-servant-doc (0.14.1-2)
- family of combinators for defining webservices APIs; documentation
- libghc-servant-prof (0.14.1-2+b1)
- family of combinators for defining webservices APIs; profiling libraries
- libghc-servant-prof-0.14.1-03375
- virtueel pakket geboden door libghc-servant-prof
- libghc-servant-prof-0.14.1-08f74
- virtueel pakket geboden door libghc-servant-prof
- libghc-servant-prof-0.14.1-17e86
- virtueel pakket geboden door libghc-servant-prof
- libghc-servant-prof-0.14.1-68f03
- virtueel pakket geboden door libghc-servant-prof
- libghc-servant-server-dev (0.14.1-2+b1)
- combinators for defining webservices APIs and serving them
- libghc-servant-server-dev-0.14.1-139a5
- virtueel pakket geboden door libghc-servant-server-dev
- libghc-servant-server-dev-0.14.1-2d191
- virtueel pakket geboden door libghc-servant-server-dev
- libghc-servant-server-dev-0.14.1-3aa6f
- virtueel pakket geboden door libghc-servant-server-dev
- libghc-servant-server-dev-0.14.1-f9efb
- virtueel pakket geboden door libghc-servant-server-dev
- libghc-servant-server-doc (0.14.1-2)
- combinators for defining webservices APIs and serving them; documentation
- libghc-servant-server-prof (0.14.1-2+b1)
- combinators for defining webservices APIs and serving them; profiling libraries
- libghc-servant-server-prof-0.14.1-139a5
- virtueel pakket geboden door libghc-servant-server-prof
- libghc-servant-server-prof-0.14.1-2d191
- virtueel pakket geboden door libghc-servant-server-prof
- libghc-servant-server-prof-0.14.1-3aa6f
- virtueel pakket geboden door libghc-servant-server-prof
- libghc-servant-server-prof-0.14.1-f9efb
- virtueel pakket geboden door libghc-servant-server-prof
- (1.4.1-3+b2)
- utility functions for working with sets
- virtueel pakket geboden door libghc-set-extra-dev
- virtueel pakket geboden door libghc-set-extra-dev
- virtueel pakket geboden door libghc-set-extra-dev
- virtueel pakket geboden door libghc-set-extra-dev
- (1.4.1-3)
- utility functions for working with sets; documentation
- (1.4.1-3+b2)
- utility functions for working with sets; profiling libraries
- virtueel pakket geboden door libghc-set-extra-prof
- virtueel pakket geboden door libghc-set-extra-prof
- virtueel pakket geboden door libghc-set-extra-prof
- virtueel pakket geboden door libghc-set-extra-prof
- libghc-setenv-dev (0.1.1.3-8+b2)
- Cross-platform library for setting environment variables
- libghc-setenv-dev-0.1.1.3-08da2
- virtueel pakket geboden door libghc-setenv-dev
- libghc-setenv-dev-0.1.1.3-acdd3
- virtueel pakket geboden door libghc-setenv-dev
- libghc-setenv-doc (0.1.1.3-8)
- Cross-platform library for setting environment variables; documentation
- libghc-setenv-prof (0.1.1.3-8+b2)
- Cross-platform library for setting environment variables; profiling libraries
- libghc-setenv-prof-0.1.1.3-08da2
- virtueel pakket geboden door libghc-setenv-prof
- libghc-setenv-prof-0.1.1.3-acdd3
- virtueel pakket geboden door libghc-setenv-prof
- libghc-setlocale-dev (1.0.0.8-1+b2)
- Haskell bindings to setlocale
- libghc-setlocale-dev-1.0.0.8-038c2
- virtueel pakket geboden door libghc-setlocale-dev
- libghc-setlocale-dev-1.0.0.8-7dcc7
- virtueel pakket geboden door libghc-setlocale-dev
- libghc-setlocale-dev-1.0.0.8-7f988
- virtueel pakket geboden door libghc-setlocale-dev
- libghc-setlocale-dev-1.0.0.8-8fc35
- virtueel pakket geboden door libghc-setlocale-dev
- libghc-setlocale-doc (1.0.0.8-1)
- Haskell bindings to setlocale; documentation
- libghc-setlocale-prof (1.0.0.8-1+b2)
- Haskell bindings to setlocale; profiling libraries
- libghc-setlocale-prof-1.0.0.8-038c2
- virtueel pakket geboden door libghc-setlocale-prof
- libghc-setlocale-prof-1.0.0.8-7dcc7
- virtueel pakket geboden door libghc-setlocale-prof
- libghc-setlocale-prof-1.0.0.8-7f988
- virtueel pakket geboden door libghc-setlocale-prof
- libghc-setlocale-prof-1.0.0.8-8fc35
- virtueel pakket geboden door libghc-setlocale-prof
- libghc-sha-dev (1.6.4.4-2+b2)
- Haskell SHA suite of message digest functions
- libghc-sha-dev-1.6.4.4-4ee66
- virtueel pakket geboden door libghc-sha-dev
- libghc-sha-dev-1.6.4.4-878e6
- virtueel pakket geboden door libghc-sha-dev
- libghc-sha-dev-1.6.4.4-e0a0e
- virtueel pakket geboden door libghc-sha-dev
- libghc-sha-dev-1.6.4.4-f3b0c
- virtueel pakket geboden door libghc-sha-dev
- libghc-sha-doc (1.6.4.4-2)
- Haskell SHA suite of message digest functions; documentation
- libghc-sha-prof (1.6.4.4-2+b2)
- Haskell SHA suite of message digest functions; profiling libraries
- libghc-sha-prof-1.6.4.4-4ee66
- virtueel pakket geboden door libghc-sha-prof
- libghc-sha-prof-1.6.4.4-878e6
- virtueel pakket geboden door libghc-sha-prof
- libghc-sha-prof-1.6.4.4-e0a0e
- virtueel pakket geboden door libghc-sha-prof
- libghc-sha-prof-1.6.4.4-f3b0c
- virtueel pakket geboden door libghc-sha-prof
- libghc-shake-data (0.16.4+dfsg-3)
- Haskell library for writing build systems; data files
- libghc-shake-dev (0.16.4+dfsg-3)
- Haskell library for writing build systems
- libghc-shake-dev-0.16.4-ad77b
- virtueel pakket geboden door libghc-shake-dev
- libghc-shake-dev-0.16.4-b3f3c
- virtueel pakket geboden door libghc-shake-dev
- libghc-shake-dev-0.16.4-bf553
- virtueel pakket geboden door libghc-shake-dev
- libghc-shake-dev-0.16.4-f8ca7
- virtueel pakket geboden door libghc-shake-dev
- libghc-shake-doc (0.16.4+dfsg-3)
- Haskell library for writing build systems; documentation
- libghc-shake-prof (0.16.4+dfsg-3)
- Haskell library for writing build systems; profiling libraries
- libghc-shake-prof-0.16.4-ad77b
- virtueel pakket geboden door libghc-shake-prof
- libghc-shake-prof-0.16.4-b3f3c
- virtueel pakket geboden door libghc-shake-prof
- libghc-shake-prof-0.16.4-bf553
- virtueel pakket geboden door libghc-shake-prof
- libghc-shake-prof-0.16.4-f8ca7
- virtueel pakket geboden door libghc-shake-prof
- libghc-shakespeare-dev (2.0.15-4+b1)
- toolkit for making compile-time interpolated templates
- libghc-shakespeare-dev-2.0.15-039b3
- virtueel pakket geboden door libghc-shakespeare-dev
- libghc-shakespeare-dev-2.0.15-0ab28
- virtueel pakket geboden door libghc-shakespeare-dev
- libghc-shakespeare-dev-2.0.15-85e3e
- virtueel pakket geboden door libghc-shakespeare-dev
- libghc-shakespeare-dev-2.0.15-f996a
- virtueel pakket geboden door libghc-shakespeare-dev
- libghc-shakespeare-doc (2.0.15-4)
- toolkit for making compile-time interpolated templates; documentation
- libghc-shakespeare-prof (2.0.15-4+b1)
- toolkit for making compile-time interpolated templates; profiling libraries
- libghc-shakespeare-prof-2.0.15-039b3
- virtueel pakket geboden door libghc-shakespeare-prof
- libghc-shakespeare-prof-2.0.15-0ab28
- virtueel pakket geboden door libghc-shakespeare-prof
- libghc-shakespeare-prof-2.0.15-85e3e
- virtueel pakket geboden door libghc-shakespeare-prof
- libghc-shakespeare-prof-2.0.15-f996a
- virtueel pakket geboden door libghc-shakespeare-prof
- libghc-shell-conduit-dev (4.7.0-3+b1)
- write shell scripts with Conduit
- libghc-shell-conduit-dev-4.7.0-4618d
- virtueel pakket geboden door libghc-shell-conduit-dev
- libghc-shell-conduit-dev-4.7.0-74345
- virtueel pakket geboden door libghc-shell-conduit-dev
- libghc-shell-conduit-dev-4.7.0-879e8
- virtueel pakket geboden door libghc-shell-conduit-dev
- libghc-shell-conduit-dev-4.7.0-d71f6
- virtueel pakket geboden door libghc-shell-conduit-dev
- libghc-shell-conduit-doc (4.7.0-3)
- write shell scripts with Conduit; documentation
- libghc-shell-conduit-prof (4.7.0-3+b1)
- write shell scripts with Conduit; profiling libraries
- libghc-shell-conduit-prof-4.7.0-4618d
- virtueel pakket geboden door libghc-shell-conduit-prof
- libghc-shell-conduit-prof-4.7.0-74345
- virtueel pakket geboden door libghc-shell-conduit-prof
- libghc-shell-conduit-prof-4.7.0-879e8
- virtueel pakket geboden door libghc-shell-conduit-prof
- libghc-shell-conduit-prof-4.7.0-d71f6
- virtueel pakket geboden door libghc-shell-conduit-prof
- libghc-shelly-dev (1.8.1-1+b1)
- shell-like (systems) programming in Haskell
- libghc-shelly-dev-1.8.1-5151f
- virtueel pakket geboden door libghc-shelly-dev
- libghc-shelly-dev-1.8.1-5dccb
- virtueel pakket geboden door libghc-shelly-dev
- libghc-shelly-dev-1.8.1-60267
- virtueel pakket geboden door libghc-shelly-dev
- libghc-shelly-dev-1.8.1-6ba44
- virtueel pakket geboden door libghc-shelly-dev
- libghc-shelly-doc (1.8.1-1)
- shell-like (systems) programming in Haskell; documentation
- libghc-shelly-prof (1.8.1-1+b1)
- shell-like (systems) programming in Haskell; profiling libraries
- libghc-shelly-prof-1.8.1-5151f
- virtueel pakket geboden door libghc-shelly-prof
- libghc-shelly-prof-1.8.1-5dccb
- virtueel pakket geboden door libghc-shelly-prof
- libghc-shelly-prof-1.8.1-60267
- virtueel pakket geboden door libghc-shelly-prof
- libghc-shelly-prof-1.8.1-6ba44
- virtueel pakket geboden door libghc-shelly-prof
- libghc-should-not-typecheck-dev (2.1.0-5+b2)
- verify that an expression does not typecheck
- libghc-should-not-typecheck-dev-2.1.0-102b7
- virtueel pakket geboden door libghc-should-not-typecheck-dev
- libghc-should-not-typecheck-dev-2.1.0-253b5
- virtueel pakket geboden door libghc-should-not-typecheck-dev
- libghc-should-not-typecheck-dev-2.1.0-7f69f
- virtueel pakket geboden door libghc-should-not-typecheck-dev
- libghc-should-not-typecheck-dev-2.1.0-93dea
- virtueel pakket geboden door libghc-should-not-typecheck-dev
- libghc-should-not-typecheck-doc (2.1.0-5)
- verify that an expression does not typecheck; documentation
- libghc-should-not-typecheck-prof (2.1.0-5+b2)
- verify that an expression does not typecheck; profiling libraries
- libghc-should-not-typecheck-prof-2.1.0-102b7
- virtueel pakket geboden door libghc-should-not-typecheck-prof
- libghc-should-not-typecheck-prof-2.1.0-253b5
- virtueel pakket geboden door libghc-should-not-typecheck-prof
- libghc-should-not-typecheck-prof-2.1.0-7f69f
- virtueel pakket geboden door libghc-should-not-typecheck-prof
- libghc-should-not-typecheck-prof-2.1.0-93dea
- virtueel pakket geboden door libghc-should-not-typecheck-prof
- libghc-show-dev (0.6-9+b2)
- Show instances for lambdabot
- libghc-show-dev-0.6-0c3ef
- virtueel pakket geboden door libghc-show-dev
- libghc-show-dev-0.6-f6df4
- virtueel pakket geboden door libghc-show-dev
- libghc-show-doc (0.6-9)
- Show instances for lambdabot; documentation
- libghc-show-prof (0.6-9+b2)
- Show instances for lambdabot; profiling libraries
- libghc-show-prof-0.6-0c3ef
- virtueel pakket geboden door libghc-show-prof
- libghc-show-prof-0.6-f6df4
- virtueel pakket geboden door libghc-show-prof
- libghc-silently-dev (1.2.5-7+b2)
- prevent or capture writing to stdout and other handles
- libghc-silently-dev-1.2.5-703db
- virtueel pakket geboden door libghc-silently-dev
- libghc-silently-dev-1.2.5-910da
- virtueel pakket geboden door libghc-silently-dev
- libghc-silently-dev-1.2.5-ab851
- virtueel pakket geboden door libghc-silently-dev
- libghc-silently-dev-1.2.5-ef84f
- virtueel pakket geboden door libghc-silently-dev
- libghc-silently-doc (1.2.5-7)
- prevent or capture writing to stdout and other handles; documentation
- libghc-silently-prof (1.2.5-7+b2)
- prevent or capture writing to stdout and other handles; profiling libraries
- libghc-silently-prof-1.2.5-703db
- virtueel pakket geboden door libghc-silently-prof
- libghc-silently-prof-1.2.5-910da
- virtueel pakket geboden door libghc-silently-prof
- libghc-silently-prof-1.2.5-ab851
- virtueel pakket geboden door libghc-silently-prof
- libghc-silently-prof-1.2.5-ef84f
- virtueel pakket geboden door libghc-silently-prof
- libghc-simple-reflect-dev (0.3.3-2+b2)
- simple reflection of expressions containing variables
- libghc-simple-reflect-dev-0.3.3-80e90
- virtueel pakket geboden door libghc-simple-reflect-dev
- libghc-simple-reflect-dev-0.3.3-df85d
- virtueel pakket geboden door libghc-simple-reflect-dev
- libghc-simple-reflect-doc (0.3.3-2)
- simple reflection of expressions containing variables; documentation
- libghc-simple-reflect-prof (0.3.3-2+b2)
- simple reflection of expressions containing variables; profiling libraries
- libghc-simple-reflect-prof-0.3.3-80e90
- virtueel pakket geboden door libghc-simple-reflect-prof
- libghc-simple-reflect-prof-0.3.3-df85d
- virtueel pakket geboden door libghc-simple-reflect-prof
- libghc-simple-sendfile-dev (0.2.27-4+b1)
- Cross platform library for the sendfile system call
- libghc-simple-sendfile-dev-0.2.27-4aa6d
- virtueel pakket geboden door libghc-simple-sendfile-dev
- libghc-simple-sendfile-dev-0.2.27-ae3d6
- virtueel pakket geboden door libghc-simple-sendfile-dev
- libghc-simple-sendfile-dev-0.2.27-b5656
- virtueel pakket geboden door libghc-simple-sendfile-dev
- libghc-simple-sendfile-dev-0.2.27-b93dd
- virtueel pakket geboden door libghc-simple-sendfile-dev
- libghc-simple-sendfile-doc (0.2.27-4)
- Cross platform library for the sendfile system call; documentation
- libghc-simple-sendfile-prof (0.2.27-4+b1)
- Cross platform library for the sendfile system call; profiling libraries
- libghc-simple-sendfile-prof-0.2.27-4aa6d
- virtueel pakket geboden door libghc-simple-sendfile-prof
- libghc-simple-sendfile-prof-0.2.27-ae3d6
- virtueel pakket geboden door libghc-simple-sendfile-prof
- libghc-simple-sendfile-prof-0.2.27-b5656
- virtueel pakket geboden door libghc-simple-sendfile-prof
- libghc-simple-sendfile-prof-0.2.27-b93dd
- virtueel pakket geboden door libghc-simple-sendfile-prof
- libghc-simple-smt-dev (0.9.1-1+b2)
- A simple way to interact with an SMT solver process.
- libghc-simple-smt-dev-0.9.1-36997
- virtueel pakket geboden door libghc-simple-smt-dev
- libghc-simple-smt-dev-0.9.1-3b07d
- virtueel pakket geboden door libghc-simple-smt-dev
- libghc-simple-smt-dev-0.9.1-63865
- virtueel pakket geboden door libghc-simple-smt-dev
- libghc-simple-smt-dev-0.9.1-68284
- virtueel pakket geboden door libghc-simple-smt-dev
- libghc-simple-smt-doc (0.9.1-1)
- A simple way to interact with an SMT solver process.; documentation
- libghc-simple-smt-prof (0.9.1-1+b2)
- A simple way to interact with an SMT solver process.; profiling libraries
- libghc-simple-smt-prof-0.9.1-36997
- virtueel pakket geboden door libghc-simple-smt-prof
- libghc-simple-smt-prof-0.9.1-3b07d
- virtueel pakket geboden door libghc-simple-smt-prof
- libghc-simple-smt-prof-0.9.1-63865
- virtueel pakket geboden door libghc-simple-smt-prof
- libghc-simple-smt-prof-0.9.1-68284
- virtueel pakket geboden door libghc-simple-smt-prof
- libghc-singleton-bool-dev (0.1.4-2+b2)
- type-level booleans
- libghc-singleton-bool-dev-0.1.4-07d47
- virtueel pakket geboden door libghc-singleton-bool-dev
- libghc-singleton-bool-dev-0.1.4-4e042
- virtueel pakket geboden door libghc-singleton-bool-dev
- libghc-singleton-bool-doc (0.1.4-2)
- type-level booleans; documentation
- libghc-singleton-bool-prof (0.1.4-2+b2)
- type-level booleans; profiling libraries
- libghc-singleton-bool-prof-0.1.4-07d47
- virtueel pakket geboden door libghc-singleton-bool-prof
- libghc-singleton-bool-prof-0.1.4-4e042
- virtueel pakket geboden door libghc-singleton-bool-prof
- libghc-singletons-dev (2.4.1-2)
- Haskell framework for generating singleton types
- libghc-singletons-dev-2.4.1-28556
- virtueel pakket geboden door libghc-singletons-dev
- libghc-singletons-dev-2.4.1-2de03
- virtueel pakket geboden door libghc-singletons-dev
- libghc-singletons-dev-2.4.1-8e5fd
- virtueel pakket geboden door libghc-singletons-dev
- libghc-singletons-dev-2.4.1-a4b15
- virtueel pakket geboden door libghc-singletons-dev
- libghc-singletons-doc (2.4.1-2)
- Haskell framework for generating singleton types; documentation
- libghc-singletons-prof (2.4.1-2)
- Haskell framework for generating singleton types; profiling libraries
- libghc-singletons-prof-2.4.1-28556
- virtueel pakket geboden door libghc-singletons-prof
- libghc-singletons-prof-2.4.1-2de03
- virtueel pakket geboden door libghc-singletons-prof
- libghc-singletons-prof-2.4.1-8e5fd
- virtueel pakket geboden door libghc-singletons-prof
- libghc-singletons-prof-2.4.1-a4b15
- virtueel pakket geboden door libghc-singletons-prof
- libghc-skein-dev (1.0.9.4-5+b2)
- family of cryptographic hash functions
- libghc-skein-dev-1.0.9.4-18d31
- virtueel pakket geboden door libghc-skein-dev
- libghc-skein-dev-1.0.9.4-377c9
- virtueel pakket geboden door libghc-skein-dev
- libghc-skein-dev-1.0.9.4-3855a
- virtueel pakket geboden door libghc-skein-dev
- libghc-skein-dev-1.0.9.4-a5f4a
- virtueel pakket geboden door libghc-skein-dev
- libghc-skein-doc (1.0.9.4-5)
- family of cryptographic hash functions; documentation
- libghc-skein-prof (1.0.9.4-5+b2)
- family of cryptographic hash functions; profiling libraries
- libghc-skein-prof-1.0.9.4-18d31
- virtueel pakket geboden door libghc-skein-prof
- libghc-skein-prof-1.0.9.4-377c9
- virtueel pakket geboden door libghc-skein-prof
- libghc-skein-prof-1.0.9.4-3855a
- virtueel pakket geboden door libghc-skein-prof
- libghc-skein-prof-1.0.9.4-a5f4a
- virtueel pakket geboden door libghc-skein-prof
- libghc-skylighting-core-dev (0.7.5-1)
- syntax highlighting library
- libghc-skylighting-core-dev-0.7.5-0efd8
- virtueel pakket geboden door libghc-skylighting-core-dev
- libghc-skylighting-core-dev-0.7.5-571da
- virtueel pakket geboden door libghc-skylighting-core-dev
- libghc-skylighting-core-dev-0.7.5-5796a
- virtueel pakket geboden door libghc-skylighting-core-dev
- libghc-skylighting-core-dev-0.7.5-84baf
- virtueel pakket geboden door libghc-skylighting-core-dev
- libghc-skylighting-core-doc (0.7.5-1)
- syntax highlighting library; documentation
- libghc-skylighting-core-prof (0.7.5-1)
- syntax highlighting library; profiling libraries
- libghc-skylighting-core-prof-0.7.5-0efd8
- virtueel pakket geboden door libghc-skylighting-core-prof
- libghc-skylighting-core-prof-0.7.5-571da
- virtueel pakket geboden door libghc-skylighting-core-prof
- libghc-skylighting-core-prof-0.7.5-5796a
- virtueel pakket geboden door libghc-skylighting-core-prof
- libghc-skylighting-core-prof-0.7.5-84baf
- virtueel pakket geboden door libghc-skylighting-core-prof
- libghc-skylighting-dev (0.7.5-1)
- syntax highlighting library
- libghc-skylighting-dev-0.7.5-021cc
- virtueel pakket geboden door libghc-skylighting-dev
- libghc-skylighting-dev-0.7.5-98076
- virtueel pakket geboden door libghc-skylighting-dev
- libghc-skylighting-dev-0.7.5-a0643
- virtueel pakket geboden door libghc-skylighting-dev
- libghc-skylighting-dev-0.7.5-af686
- virtueel pakket geboden door libghc-skylighting-dev
- libghc-skylighting-doc (0.7.5-1)
- syntax highlighting library; documentation
- libghc-skylighting-prof (0.7.5-1)
- syntax highlighting library; profiling libraries
- libghc-skylighting-prof-0.7.5-021cc
- virtueel pakket geboden door libghc-skylighting-prof
- libghc-skylighting-prof-0.7.5-98076
- virtueel pakket geboden door libghc-skylighting-prof
- libghc-skylighting-prof-0.7.5-a0643
- virtueel pakket geboden door libghc-skylighting-prof
- libghc-skylighting-prof-0.7.5-af686
- virtueel pakket geboden door libghc-skylighting-prof
- libghc-smallcheck-dev (1.1.5-2+b2)
- Another lightweight testing library
- libghc-smallcheck-dev-1.1.5-0169f
- virtueel pakket geboden door libghc-smallcheck-dev
- libghc-smallcheck-dev-1.1.5-c7a8e
- virtueel pakket geboden door libghc-smallcheck-dev
- libghc-smallcheck-dev-1.1.5-e97ad
- virtueel pakket geboden door libghc-smallcheck-dev
- libghc-smallcheck-doc (1.1.5-2)
- Another lightweight testing library; documentation
- libghc-smallcheck-prof (1.1.5-2+b2)
- Another lightweight testing library; profiling libraries
- libghc-smallcheck-prof-1.1.5-0169f
- virtueel pakket geboden door libghc-smallcheck-prof
- libghc-smallcheck-prof-1.1.5-c7a8e
- virtueel pakket geboden door libghc-smallcheck-prof
- libghc-smallcheck-prof-1.1.5-e97ad
- virtueel pakket geboden door libghc-smallcheck-prof
- libghc-smtlib-dev (1.0.9-2+b2)
- A library for working with the SMTLIB format.
- libghc-smtlib-dev-1.0.9-1a346
- virtueel pakket geboden door libghc-smtlib-dev
- libghc-smtlib-dev-1.0.9-259c3
- virtueel pakket geboden door libghc-smtlib-dev
- libghc-smtlib-dev-1.0.9-60f79
- virtueel pakket geboden door libghc-smtlib-dev
- libghc-smtlib-dev-1.0.9-8e5ae
- virtueel pakket geboden door libghc-smtlib-dev
- libghc-smtlib-doc (1.0.9-2)
- A library for working with the SMTLIB format.; documentation
- libghc-smtlib-prof (1.0.9-2+b2)
- A library for working with the SMTLIB format.; profiling libraries
- libghc-smtlib-prof-1.0.9-1a346
- virtueel pakket geboden door libghc-smtlib-prof
- libghc-smtlib-prof-1.0.9-259c3
- virtueel pakket geboden door libghc-smtlib-prof
- libghc-smtlib-prof-1.0.9-60f79
- virtueel pakket geboden door libghc-smtlib-prof
- libghc-smtlib-prof-1.0.9-8e5ae
- virtueel pakket geboden door libghc-smtlib-prof
- libghc-smtp-mail-dev (0.1.4.6-4+b1)
- Simple email sending via SMTP
- libghc-smtp-mail-dev-0.1.4.6-1e53b
- virtueel pakket geboden door libghc-smtp-mail-dev
- libghc-smtp-mail-dev-0.1.4.6-6e9ab
- virtueel pakket geboden door libghc-smtp-mail-dev
- libghc-smtp-mail-dev-0.1.4.6-79ae4
- virtueel pakket geboden door libghc-smtp-mail-dev
- libghc-smtp-mail-dev-0.1.4.6-a6f1b
- virtueel pakket geboden door libghc-smtp-mail-dev
- libghc-smtp-mail-doc (0.1.4.6-4)
- Simple email sending via SMTP; documentation
- libghc-smtp-mail-prof (0.1.4.6-4+b1)
- Simple email sending via SMTP; profiling libraries
- libghc-smtp-mail-prof-0.1.4.6-1e53b
- virtueel pakket geboden door libghc-smtp-mail-prof
- libghc-smtp-mail-prof-0.1.4.6-6e9ab
- virtueel pakket geboden door libghc-smtp-mail-prof
- libghc-smtp-mail-prof-0.1.4.6-79ae4
- virtueel pakket geboden door libghc-smtp-mail-prof
- libghc-smtp-mail-prof-0.1.4.6-a6f1b
- virtueel pakket geboden door libghc-smtp-mail-prof
- libghc-snap-core-dev (1.0.3.2-3+b1)
- Snap: A Haskell Web Framework (Core)
- libghc-snap-core-dev-1.0.3.2-35fb7
- virtueel pakket geboden door libghc-snap-core-dev
- libghc-snap-core-dev-1.0.3.2-5363f
- virtueel pakket geboden door libghc-snap-core-dev
- libghc-snap-core-dev-1.0.3.2-9cb7e
- virtueel pakket geboden door libghc-snap-core-dev
- libghc-snap-core-dev-1.0.3.2-ed48b
- virtueel pakket geboden door libghc-snap-core-dev
- libghc-snap-core-doc (1.0.3.2-3)
- Snap: A Haskell Web Framework (Core); documentation
- libghc-snap-core-prof (1.0.3.2-3+b1)
- Snap: A Haskell Web Framework (Core); profiling libraries
- libghc-snap-core-prof-1.0.3.2-35fb7
- virtueel pakket geboden door libghc-snap-core-prof
- libghc-snap-core-prof-1.0.3.2-5363f
- virtueel pakket geboden door libghc-snap-core-prof
- libghc-snap-core-prof-1.0.3.2-9cb7e
- virtueel pakket geboden door libghc-snap-core-prof
- libghc-snap-core-prof-1.0.3.2-ed48b
- virtueel pakket geboden door libghc-snap-core-prof
- libghc-snap-dev (1.1.1.0-3+b1)
- Snap Web Framework
- libghc-snap-dev-1.1.1.0-58629
- virtueel pakket geboden door libghc-snap-dev
- libghc-snap-dev-1.1.1.0-6f5ef
- virtueel pakket geboden door libghc-snap-dev
- libghc-snap-dev-1.1.1.0-d57f1
- virtueel pakket geboden door libghc-snap-dev
- libghc-snap-dev-1.1.1.0-f2da0
- virtueel pakket geboden door libghc-snap-dev
- libghc-snap-doc (1.1.1.0-3)
- Snap Web Framework; documentation
- libghc-snap-prof (1.1.1.0-3+b1)
- Snap Web Framework; profiling libraries
- libghc-snap-prof-1.1.1.0-58629
- virtueel pakket geboden door libghc-snap-prof
- libghc-snap-prof-1.1.1.0-6f5ef
- virtueel pakket geboden door libghc-snap-prof
- libghc-snap-prof-1.1.1.0-d57f1
- virtueel pakket geboden door libghc-snap-prof
- libghc-snap-prof-1.1.1.0-f2da0
- virtueel pakket geboden door libghc-snap-prof
- libghc-snap-server-dev (1.1.0.0-3+b1)
- iteratee-based, epoll-enabled web server for the Snap Framework
- libghc-snap-server-dev-1.1.0.0-2e0b4
- virtueel pakket geboden door libghc-snap-server-dev
- libghc-snap-server-dev-1.1.0.0-c7d98
- virtueel pakket geboden door libghc-snap-server-dev
- libghc-snap-server-dev-1.1.0.0-d55d9
- virtueel pakket geboden door libghc-snap-server-dev
- libghc-snap-server-dev-1.1.0.0-e315d
- virtueel pakket geboden door libghc-snap-server-dev
- libghc-snap-server-doc (1.1.0.0-3)
- iteratee-based, epoll-enabled web server for the Snap Framework; documentation
- libghc-snap-server-prof (1.1.0.0-3+b1)
- iteratee-based, epoll-enabled web server for the Snap Framework; profiling lib
- libghc-snap-server-prof-1.1.0.0-2e0b4
- virtueel pakket geboden door libghc-snap-server-prof
- libghc-snap-server-prof-1.1.0.0-c7d98
- virtueel pakket geboden door libghc-snap-server-prof
- libghc-snap-server-prof-1.1.0.0-d55d9
- virtueel pakket geboden door libghc-snap-server-prof
- libghc-snap-server-prof-1.1.0.0-e315d
- virtueel pakket geboden door libghc-snap-server-prof
- libghc-soap-dev (0.2.3.6-3+b1)
- SOAP client library
- libghc-soap-dev-0.2.3.6-3305c
- virtueel pakket geboden door libghc-soap-dev
- libghc-soap-dev-0.2.3.6-76737
- virtueel pakket geboden door libghc-soap-dev
- libghc-soap-dev-0.2.3.6-794ef
- virtueel pakket geboden door libghc-soap-dev
- libghc-soap-dev-0.2.3.6-8fc81
- virtueel pakket geboden door libghc-soap-dev
- libghc-soap-doc (0.2.3.6-3)
- SOAP client library; documentation
- libghc-soap-prof (0.2.3.6-3+b1)
- SOAP client library; profiling libraries
- libghc-soap-prof-0.2.3.6-3305c
- virtueel pakket geboden door libghc-soap-prof
- libghc-soap-prof-0.2.3.6-76737
- virtueel pakket geboden door libghc-soap-prof
- libghc-soap-prof-0.2.3.6-794ef
- virtueel pakket geboden door libghc-soap-prof
- libghc-soap-prof-0.2.3.6-8fc81
- virtueel pakket geboden door libghc-soap-prof
- libghc-soap-tls-dev (0.1.1.4-3+b1)
- TLS-enabled SOAP transport (using tls package)
- libghc-soap-tls-dev-0.1.1.4-12d3d
- virtueel pakket geboden door libghc-soap-tls-dev
- libghc-soap-tls-dev-0.1.1.4-2acd5
- virtueel pakket geboden door libghc-soap-tls-dev
- libghc-soap-tls-dev-0.1.1.4-52185
- virtueel pakket geboden door libghc-soap-tls-dev
- libghc-soap-tls-dev-0.1.1.4-bd3ed
- virtueel pakket geboden door libghc-soap-tls-dev
- libghc-soap-tls-doc (0.1.1.4-3)
- TLS-enabled SOAP transport (using tls package); documentation
- libghc-soap-tls-prof (0.1.1.4-3+b1)
- TLS-enabled SOAP transport (using tls package); profiling libraries
- libghc-soap-tls-prof-0.1.1.4-12d3d
- virtueel pakket geboden door libghc-soap-tls-prof
- libghc-soap-tls-prof-0.1.1.4-2acd5
- virtueel pakket geboden door libghc-soap-tls-prof
- libghc-soap-tls-prof-0.1.1.4-52185
- virtueel pakket geboden door libghc-soap-tls-prof
- libghc-soap-tls-prof-0.1.1.4-bd3ed
- virtueel pakket geboden door libghc-soap-tls-prof
- libghc-sockaddr-dev (0.0.0-10+b2)
- printing SockAddr value
- libghc-sockaddr-dev-0.0.0-3918d
- virtueel pakket geboden door libghc-sockaddr-dev
- libghc-sockaddr-dev-0.0.0-517a3
- virtueel pakket geboden door libghc-sockaddr-dev
- libghc-sockaddr-dev-0.0.0-9473c
- virtueel pakket geboden door libghc-sockaddr-dev
- libghc-sockaddr-dev-0.0.0-ab537
- virtueel pakket geboden door libghc-sockaddr-dev
- libghc-sockaddr-doc (0.0.0-10)
- printing SockAddr value; documentation
- libghc-sockaddr-prof (0.0.0-10+b2)
- printing SockAddr value; profiling libraries
- libghc-sockaddr-prof-0.0.0-3918d
- virtueel pakket geboden door libghc-sockaddr-prof
- libghc-sockaddr-prof-0.0.0-517a3
- virtueel pakket geboden door libghc-sockaddr-prof
- libghc-sockaddr-prof-0.0.0-9473c
- virtueel pakket geboden door libghc-sockaddr-prof
- libghc-sockaddr-prof-0.0.0-ab537
- virtueel pakket geboden door libghc-sockaddr-prof
- libghc-socks-dev (0.5.6-3+b2)
- SOCKS proxy library
- libghc-socks-dev-0.5.6-439ef
- virtueel pakket geboden door libghc-socks-dev
- libghc-socks-dev-0.5.6-46f87
- virtueel pakket geboden door libghc-socks-dev
- libghc-socks-dev-0.5.6-e1192
- virtueel pakket geboden door libghc-socks-dev
- libghc-socks-dev-0.5.6-eb07c
- virtueel pakket geboden door libghc-socks-dev
- libghc-socks-doc (0.5.6-3)
- SOCKS proxy library; documentation
- libghc-socks-prof (0.5.6-3+b2)
- SOCKS proxy library; profiling libraries
- libghc-socks-prof-0.5.6-439ef
- virtueel pakket geboden door libghc-socks-prof
- libghc-socks-prof-0.5.6-46f87
- virtueel pakket geboden door libghc-socks-prof
- libghc-socks-prof-0.5.6-e1192
- virtueel pakket geboden door libghc-socks-prof
- libghc-socks-prof-0.5.6-eb07c
- virtueel pakket geboden door libghc-socks-prof
- libghc-split-dev (0.2.3.3-2+b2)
- Haskell library for splitting lists
- libghc-split-dev-0.2.3.3-17214
- virtueel pakket geboden door libghc-split-dev
- libghc-split-dev-0.2.3.3-1752f
- virtueel pakket geboden door libghc-split-dev
- libghc-split-doc (0.2.3.3-2)
- Haskell library for splitting lists; documentation
- libghc-split-prof (0.2.3.3-2+b2)
- Haskell library for splitting lists; profiling libraries
- libghc-split-prof-0.2.3.3-17214
- virtueel pakket geboden door libghc-split-prof
- libghc-split-prof-0.2.3.3-1752f
- virtueel pakket geboden door libghc-split-prof
- libghc-spool-dev (0.1-1)
- copyless conversion between ByteString and Vector.Storable
- libghc-spool-dev-0.1-26ca2
- virtueel pakket geboden door libghc-spool-dev
- libghc-spool-dev-0.1-acc3e
- virtueel pakket geboden door libghc-spool-dev
- libghc-spool-dev-0.1-b3556
- virtueel pakket geboden door libghc-spool-dev
- libghc-spool-dev-0.1-ee3c9
- virtueel pakket geboden door libghc-spool-dev
- libghc-spool-doc (0.1-1)
- copyless conversion between ByteString and Vector.Storable; documentation
- libghc-spool-prof (0.1-1)
- copyless conversion between ByteString and Vector.Storable; profiling libraries
- libghc-spool-prof-0.1-26ca2
- virtueel pakket geboden door libghc-spool-prof
- libghc-spool-prof-0.1-acc3e
- virtueel pakket geboden door libghc-spool-prof
- libghc-spool-prof-0.1-b3556
- virtueel pakket geboden door libghc-spool-prof
- libghc-spool-prof-0.1-ee3c9
- virtueel pakket geboden door libghc-spool-prof
- libghc-sql-words-dev (0.1.6.2-2+b2)
- SQL keywords data constructor into OverloadedString
- libghc-sql-words-dev-0.1.6.2-3d464
- virtueel pakket geboden door libghc-sql-words-dev
- libghc-sql-words-dev-0.1.6.2-8a14c
- virtueel pakket geboden door libghc-sql-words-dev
- libghc-sql-words-dev-0.1.6.2-ed6e7
- virtueel pakket geboden door libghc-sql-words-dev
- libghc-sql-words-doc (0.1.6.2-2)
- SQL keywords data constructor into OverloadedString; documentation
- libghc-sql-words-prof (0.1.6.2-2+b2)
- SQL keywords data constructor into OverloadedString; profiling libraries
- libghc-sql-words-prof-0.1.6.2-3d464
- virtueel pakket geboden door libghc-sql-words-prof
- libghc-sql-words-prof-0.1.6.2-8a14c
- virtueel pakket geboden door libghc-sql-words-prof
- libghc-sql-words-prof-0.1.6.2-ed6e7
- virtueel pakket geboden door libghc-sql-words-prof
- libghc-src-exts-dev (1.20.2-3+b2)
- Haskell-Source with eXtensions library for GHC
- libghc-src-exts-doc (1.20.2-3)
- API documentation of the haskell-src-exts library; documentation
- libghc-src-exts-prof (1.20.2-3+b2)
- Haskell-Source with eXtensions library for GHC; profiling libraries
- libghc-src-exts-simple-dev (1.20.0.0-2+b2)
- simplified view on the haskell-src-exts AST
- libghc-src-exts-simple-doc (1.20.0.0-2)
- simplified view on the haskell-src-exts AST; documentation
- libghc-src-exts-simple-prof (1.20.0.0-2+b2)
- simplified view on the haskell-src-exts AST; profiling libraries
- libghc-src-exts-util-dev (0.2.3-2+b2)
- helper functions for working with haskell-src-exts trees
- libghc-src-exts-util-doc (0.2.3-2)
- helper functions for working with haskell-src-exts trees; documentation
- libghc-src-exts-util-prof (0.2.3-2+b2)
- helper functions for working with haskell-src-exts trees; profiling libraries
- libghc-src-meta-dev (0.8.0.3-2+b2)
- parse source to Template Haskell abstract syntax
- libghc-src-meta-doc (0.8.0.3-2)
- parse source to Template Haskell abstract syntax; documentation
- libghc-src-meta-prof (0.8.0.3-2+b2)
- parse source to Template Haskell abstract syntax; profiling libraries
- libghc-stateref-dev (0.3-8+b2)
- abstraction for things that work like IORef
- libghc-stateref-dev-0.3-4c277
- virtueel pakket geboden door libghc-stateref-dev
- libghc-stateref-dev-0.3-4e131
- virtueel pakket geboden door libghc-stateref-dev
- libghc-stateref-dev-0.3-bdac4
- virtueel pakket geboden door libghc-stateref-dev
- libghc-stateref-doc (0.3-8)
- abstraction for things that work like IORef; documentation
- libghc-stateref-prof (0.3-8+b2)
- abstraction for things that work like IORef; profiling libraries
- libghc-stateref-prof-0.3-4c277
- virtueel pakket geboden door libghc-stateref-prof
- libghc-stateref-prof-0.3-4e131
- virtueel pakket geboden door libghc-stateref-prof
- libghc-stateref-prof-0.3-bdac4
- virtueel pakket geboden door libghc-stateref-prof
- libghc-statestack-dev (0.2.0.5-7+b2)
- simple State-like monad transformer state push/pop
- libghc-statestack-dev-0.2.0.5-25374
- virtueel pakket geboden door libghc-statestack-dev
- libghc-statestack-dev-0.2.0.5-5c7dc
- virtueel pakket geboden door libghc-statestack-dev
- libghc-statestack-dev-0.2.0.5-b465a
- virtueel pakket geboden door libghc-statestack-dev
- libghc-statestack-doc (0.2.0.5-7)
- simple State-like monad transformer state push/pop; documentation
- libghc-statestack-prof (0.2.0.5-7+b2)
- simple State-like monad transformer state push/pop; profiling libraries
- libghc-statestack-prof-0.2.0.5-25374
- virtueel pakket geboden door libghc-statestack-prof
- libghc-statestack-prof-0.2.0.5-5c7dc
- virtueel pakket geboden door libghc-statestack-prof
- libghc-statestack-prof-0.2.0.5-b465a
- virtueel pakket geboden door libghc-statestack-prof
- libghc-statevar-dev (1.1.1.1-2+b2)
- state variables in Haskell
- libghc-statevar-dev-1.1.1.1-19e44
- virtueel pakket geboden door libghc-statevar-dev
- libghc-statevar-dev-1.1.1.1-2d115
- virtueel pakket geboden door libghc-statevar-dev
- libghc-statevar-dev-1.1.1.1-2f8ca
- virtueel pakket geboden door libghc-statevar-dev
- libghc-statevar-doc (1.1.1.1-2)
- state variables in Haskell; documentation
- libghc-statevar-prof (1.1.1.1-2+b2)
- state variables in Haskell; profiling libraries
- libghc-statevar-prof-1.1.1.1-19e44
- virtueel pakket geboden door libghc-statevar-prof
- libghc-statevar-prof-1.1.1.1-2d115
- virtueel pakket geboden door libghc-statevar-prof
- libghc-statevar-prof-1.1.1.1-2f8ca
- virtueel pakket geboden door libghc-statevar-prof
- libghc-static-hash-dev (0.0.1-13+b2)
- pure immutable hash
- libghc-static-hash-dev-0.0.1-450d4
- virtueel pakket geboden door libghc-static-hash-dev
- libghc-static-hash-dev-0.0.1-b864f
- virtueel pakket geboden door libghc-static-hash-dev
- libghc-static-hash-dev-0.0.1-dc04f
- virtueel pakket geboden door libghc-static-hash-dev
- libghc-static-hash-dev-0.0.1-e8391
- virtueel pakket geboden door libghc-static-hash-dev
- libghc-static-hash-doc (0.0.1-13)
- pure immutable hash; documentation
- libghc-static-hash-prof (0.0.1-13+b2)
- pure immutable hash; profiling libraries
- libghc-static-hash-prof-0.0.1-450d4
- virtueel pakket geboden door libghc-static-hash-prof
- libghc-static-hash-prof-0.0.1-b864f
- virtueel pakket geboden door libghc-static-hash-prof
- libghc-static-hash-prof-0.0.1-dc04f
- virtueel pakket geboden door libghc-static-hash-prof
- libghc-static-hash-prof-0.0.1-e8391
- virtueel pakket geboden door libghc-static-hash-prof
- libghc-statistics-dev (0.14.0.2-3+b1)
- A library of statistical types, data, and functions
- libghc-statistics-dev-0.14.0.2-4e396
- virtueel pakket geboden door libghc-statistics-dev
- libghc-statistics-dev-0.14.0.2-7959b
- virtueel pakket geboden door libghc-statistics-dev
- libghc-statistics-dev-0.14.0.2-80d48
- virtueel pakket geboden door libghc-statistics-dev
- libghc-statistics-dev-0.14.0.2-b2838
- virtueel pakket geboden door libghc-statistics-dev
- libghc-statistics-doc (0.14.0.2-3)
- A library of statistical types, data, and functions; documentation
- libghc-statistics-prof (0.14.0.2-3+b1)
- A library of statistical types, data, and functions; profiling libraries
- libghc-statistics-prof-0.14.0.2-4e396
- virtueel pakket geboden door libghc-statistics-prof
- libghc-statistics-prof-0.14.0.2-7959b
- virtueel pakket geboden door libghc-statistics-prof
- libghc-statistics-prof-0.14.0.2-80d48
- virtueel pakket geboden door libghc-statistics-prof
- libghc-statistics-prof-0.14.0.2-b2838
- virtueel pakket geboden door libghc-statistics-prof
- libghc-status-notifier-item-dev (0.3.0.0-1)
- StatusNotifierItem/libappindicator dbus implementation
- libghc-status-notifier-item-dev-0.3.0.0-6024c
- virtueel pakket geboden door libghc-status-notifier-item-dev
- libghc-status-notifier-item-dev-0.3.0.0-90a04
- virtueel pakket geboden door libghc-status-notifier-item-dev
- libghc-status-notifier-item-dev-0.3.0.0-c8115
- virtueel pakket geboden door libghc-status-notifier-item-dev
- libghc-status-notifier-item-dev-0.3.0.0-eb776
- virtueel pakket geboden door libghc-status-notifier-item-dev
- libghc-status-notifier-item-doc (0.3.0.0-1)
- StatusNotifierItem/libappindicator dbus implementation; documentation
- libghc-status-notifier-item-prof (0.3.0.0-1)
- StatusNotifierItem/libappindicator dbus implementation; profiling libraries
- libghc-status-notifier-item-prof-0.3.0.0-6024c
- virtueel pakket geboden door libghc-status-notifier-item-prof
- libghc-status-notifier-item-prof-0.3.0.0-90a04
- virtueel pakket geboden door libghc-status-notifier-item-prof
- libghc-status-notifier-item-prof-0.3.0.0-c8115
- virtueel pakket geboden door libghc-status-notifier-item-prof
- libghc-status-notifier-item-prof-0.3.0.0-eb776
- virtueel pakket geboden door libghc-status-notifier-item-prof
- libghc-stm-chans-dev (3.0.0.4-8+b2)
- additional types of channels for STM
- libghc-stm-chans-dev-3.0.0.4-44c83
- virtueel pakket geboden door libghc-stm-chans-dev
- libghc-stm-chans-dev-3.0.0.4-a4b8d
- virtueel pakket geboden door libghc-stm-chans-dev
- libghc-stm-chans-doc (3.0.0.4-8)
- additional types of channels for STM; documentation
- libghc-stm-chans-prof (3.0.0.4-8+b2)
- additional types of channels for STM; profiling libraries
- libghc-stm-chans-prof-3.0.0.4-44c83
- virtueel pakket geboden door libghc-stm-chans-prof
- libghc-stm-chans-prof-3.0.0.4-a4b8d
- virtueel pakket geboden door libghc-stm-chans-prof
- libghc-stm-dev (= 2.4.5.1)
- virtueel pakket geboden door ghc
- libghc-stm-dev-2.4.5.1-23dc2
- virtueel pakket geboden door ghc
- libghc-stm-dev-2.4.5.1-e1689
- virtueel pakket geboden door ghc
- libghc-stm-doc (= 2.4.5.1)
- virtueel pakket geboden door ghc-doc
- libghc-stm-prof (= 2.4.5.1)
- virtueel pakket geboden door ghc-prof
- libghc-stm-prof-2.4.5.1-23dc2
- virtueel pakket geboden door ghc-prof
- libghc-stm-prof-2.4.5.1-e1689
- virtueel pakket geboden door ghc-prof
- libghc-stmonadtrans-dev (0.4.3-4+b2)
- monad transformer version of the ST monad
- libghc-stmonadtrans-dev-0.4.3-242da
- virtueel pakket geboden door libghc-stmonadtrans-dev
- libghc-stmonadtrans-dev-0.4.3-356da
- virtueel pakket geboden door libghc-stmonadtrans-dev
- libghc-stmonadtrans-dev-0.4.3-a68ab
- virtueel pakket geboden door libghc-stmonadtrans-dev
- libghc-stmonadtrans-doc (0.4.3-4)
- monad transformer version of the ST monad; documentation
- libghc-stmonadtrans-prof (0.4.3-4+b2)
- monad transformer version of the ST monad; profiling libraries
- libghc-stmonadtrans-prof-0.4.3-242da
- virtueel pakket geboden door libghc-stmonadtrans-prof
- libghc-stmonadtrans-prof-0.4.3-356da
- virtueel pakket geboden door libghc-stmonadtrans-prof
- libghc-stmonadtrans-prof-0.4.3-a68ab
- virtueel pakket geboden door libghc-stmonadtrans-prof
- libghc-storable-complex-dev (0.2.2-8+b2)
- Storable instance for Complex
- libghc-storable-complex-dev-0.2.2-07e7c
- virtueel pakket geboden door libghc-storable-complex-dev
- libghc-storable-complex-dev-0.2.2-bd0e8
- virtueel pakket geboden door libghc-storable-complex-dev
- libghc-storable-complex-doc (0.2.2-8)
- Storable instance for Complex; documentation
- libghc-storable-complex-prof (0.2.2-8+b2)
- Storable instance for Complex; profiling libraries
- libghc-storable-complex-prof-0.2.2-07e7c
- virtueel pakket geboden door libghc-storable-complex-prof
- libghc-storable-complex-prof-0.2.2-bd0e8
- virtueel pakket geboden door libghc-storable-complex-prof
- libghc-storable-record-dev (0.0.4-2+b2)
- elegant definition of Storable instances for records
- libghc-storable-record-dev-0.0.4-19ec5
- virtueel pakket geboden door libghc-storable-record-dev
- libghc-storable-record-dev-0.0.4-1a5b1
- virtueel pakket geboden door libghc-storable-record-dev
- libghc-storable-record-dev-0.0.4-56602
- virtueel pakket geboden door libghc-storable-record-dev
- libghc-storable-record-doc (0.0.4-2)
- elegant definition of Storable instances for records; documentation
- libghc-storable-record-prof (0.0.4-2+b2)
- elegant definition of Storable instances for records; profiling libraries
- libghc-storable-record-prof-0.0.4-19ec5
- virtueel pakket geboden door libghc-storable-record-prof
- libghc-storable-record-prof-0.0.4-1a5b1
- virtueel pakket geboden door libghc-storable-record-prof
- libghc-storable-record-prof-0.0.4-56602
- virtueel pakket geboden door libghc-storable-record-prof
- libghc-storable-tuple-dev (0.0.3.3-3+b2)
- Storable instance for pairs and triples
- libghc-storable-tuple-dev-0.0.3.3-570bf
- virtueel pakket geboden door libghc-storable-tuple-dev
- libghc-storable-tuple-dev-0.0.3.3-61c2e
- virtueel pakket geboden door libghc-storable-tuple-dev
- libghc-storable-tuple-dev-0.0.3.3-a8340
- virtueel pakket geboden door libghc-storable-tuple-dev
- libghc-storable-tuple-doc (0.0.3.3-3)
- Storable instance for pairs and triples; documentation
- libghc-storable-tuple-prof (0.0.3.3-3+b2)
- Storable instance for pairs and triples; profiling libraries
- libghc-storable-tuple-prof-0.0.3.3-570bf
- virtueel pakket geboden door libghc-storable-tuple-prof
- libghc-storable-tuple-prof-0.0.3.3-61c2e
- virtueel pakket geboden door libghc-storable-tuple-prof
- libghc-storable-tuple-prof-0.0.3.3-a8340
- virtueel pakket geboden door libghc-storable-tuple-prof
- libghc-store-core-dev (0.4.4-3+b2)
- fast and lightweight binary serialization
- libghc-store-core-dev-0.4.4-1a482
- virtueel pakket geboden door libghc-store-core-dev
- libghc-store-core-dev-0.4.4-1f494
- virtueel pakket geboden door libghc-store-core-dev
- libghc-store-core-dev-0.4.4-7edba
- virtueel pakket geboden door libghc-store-core-dev
- libghc-store-core-dev-0.4.4-b086a
- virtueel pakket geboden door libghc-store-core-dev
- libghc-store-core-doc (0.4.4-3)
- fast and lightweight binary serialization; documentation
- libghc-store-core-prof (0.4.4-3+b2)
- fast and lightweight binary serialization; profiling libraries
- libghc-store-core-prof-0.4.4-1a482
- virtueel pakket geboden door libghc-store-core-prof
- libghc-store-core-prof-0.4.4-1f494
- virtueel pakket geboden door libghc-store-core-prof
- libghc-store-core-prof-0.4.4-7edba
- virtueel pakket geboden door libghc-store-core-prof
- libghc-store-core-prof-0.4.4-b086a
- virtueel pakket geboden door libghc-store-core-prof
- libghc-store-dev (0.5.0.1-1+b1)
- Fast binary serialization
- libghc-store-dev-0.5.0.1-0f2e1
- virtueel pakket geboden door libghc-store-dev
- libghc-store-dev-0.5.0.1-35cb1
- virtueel pakket geboden door libghc-store-dev
- libghc-store-dev-0.5.0.1-61d0d
- virtueel pakket geboden door libghc-store-dev
- libghc-store-dev-0.5.0.1-d1cec
- virtueel pakket geboden door libghc-store-dev
- libghc-store-doc (0.5.0.1-1)
- Fast binary serialization; documentation
- libghc-store-prof (0.5.0.1-1+b1)
- Fast binary serialization; profiling libraries
- libghc-store-prof-0.5.0.1-0f2e1
- virtueel pakket geboden door libghc-store-prof
- libghc-store-prof-0.5.0.1-35cb1
- virtueel pakket geboden door libghc-store-prof
- libghc-store-prof-0.5.0.1-61d0d
- virtueel pakket geboden door libghc-store-prof
- libghc-store-prof-0.5.0.1-d1cec
- virtueel pakket geboden door libghc-store-prof
- libghc-stream-dev (0.4.7.2-6+b2)
- Haskell stream (infinite list) library for GHC
- libghc-stream-dev-0.4.7.2-16673
- virtueel pakket geboden door libghc-stream-dev
- libghc-stream-dev-0.4.7.2-1d8fb
- virtueel pakket geboden door libghc-stream-dev
- libghc-stream-dev-0.4.7.2-200b5
- virtueel pakket geboden door libghc-stream-dev
- libghc-stream-dev-0.4.7.2-b4975
- virtueel pakket geboden door libghc-stream-dev
- libghc-stream-doc (0.4.7.2-6)
- Haskell stream (infinite list) library for GHC; documentation
- libghc-stream-prof (0.4.7.2-6+b2)
- Haskell stream (infinite list) library for GHC; profiling libraries
- libghc-stream-prof-0.4.7.2-16673
- virtueel pakket geboden door libghc-stream-prof
- libghc-stream-prof-0.4.7.2-1d8fb
- virtueel pakket geboden door libghc-stream-prof
- libghc-stream-prof-0.4.7.2-200b5
- virtueel pakket geboden door libghc-stream-prof
- libghc-stream-prof-0.4.7.2-b4975
- virtueel pakket geboden door libghc-stream-prof
- libghc-streaming-commons-dev (0.2.1.0-2+b2)
- common lower-level functions for various streaming data libraries
- libghc-streaming-commons-dev-0.2.1.0-69765
- virtueel pakket geboden door libghc-streaming-commons-dev
- libghc-streaming-commons-dev-0.2.1.0-ab078
- virtueel pakket geboden door libghc-streaming-commons-dev
- libghc-streaming-commons-dev-0.2.1.0-c2685
- virtueel pakket geboden door libghc-streaming-commons-dev
- libghc-streaming-commons-dev-0.2.1.0-daf59
- virtueel pakket geboden door libghc-streaming-commons-dev
- libghc-streaming-commons-doc (0.2.1.0-2)
- common lower-level functions for various streaming data libraries; documentation
- libghc-streaming-commons-prof (0.2.1.0-2+b2)
- common lower-level functions for various streaming data libraries; profiling libraries
- libghc-streaming-commons-prof-0.2.1.0-69765
- virtueel pakket geboden door libghc-streaming-commons-prof
- libghc-streaming-commons-prof-0.2.1.0-ab078
- virtueel pakket geboden door libghc-streaming-commons-prof
- libghc-streaming-commons-prof-0.2.1.0-c2685
- virtueel pakket geboden door libghc-streaming-commons-prof
- libghc-streaming-commons-prof-0.2.1.0-daf59
- virtueel pakket geboden door libghc-streaming-commons-prof
- libghc-strict-dev (0.3.2-15+b2)
- Strict variants of standard Haskell datatypes
- libghc-strict-dev-0.3.2-53bf2
- virtueel pakket geboden door libghc-strict-dev
- libghc-strict-dev-0.3.2-8e8b1
- virtueel pakket geboden door libghc-strict-dev
- libghc-strict-dev-0.3.2-b974d
- virtueel pakket geboden door libghc-strict-dev
- libghc-strict-dev-0.3.2-e3bc9
- virtueel pakket geboden door libghc-strict-dev
- libghc-strict-doc (0.3.2-15)
- Strict variants of standard Haskell datatypes; documentation
- libghc-strict-prof (0.3.2-15+b2)
- Strict variants of standard Haskell datatypes; profiling libraries
- libghc-strict-prof-0.3.2-53bf2
- virtueel pakket geboden door libghc-strict-prof
- libghc-strict-prof-0.3.2-8e8b1
- virtueel pakket geboden door libghc-strict-prof
- libghc-strict-prof-0.3.2-b974d
- virtueel pakket geboden door libghc-strict-prof
- libghc-strict-prof-0.3.2-e3bc9
- virtueel pakket geboden door libghc-strict-prof
- libghc-string-conversions-dev (0.4.0.1-4+b2)
- simplifies dealing with different types for strings
- libghc-string-conversions-dev-0.4.0.1-2b1b2
- virtueel pakket geboden door libghc-string-conversions-dev
- libghc-string-conversions-dev-0.4.0.1-3a4a2
- virtueel pakket geboden door libghc-string-conversions-dev
- libghc-string-conversions-dev-0.4.0.1-7e462
- virtueel pakket geboden door libghc-string-conversions-dev
- libghc-string-conversions-dev-0.4.0.1-83636
- virtueel pakket geboden door libghc-string-conversions-dev
- libghc-string-conversions-doc (0.4.0.1-4)
- simplifies dealing with different types for strings; documentation
- libghc-string-conversions-prof (0.4.0.1-4+b2)
- simplifies dealing with different types for strings; profiling libraries
- libghc-string-conversions-prof-0.4.0.1-2b1b2
- virtueel pakket geboden door libghc-string-conversions-prof
- libghc-string-conversions-prof-0.4.0.1-3a4a2
- virtueel pakket geboden door libghc-string-conversions-prof
- libghc-string-conversions-prof-0.4.0.1-7e462
- virtueel pakket geboden door libghc-string-conversions-prof
- libghc-string-conversions-prof-0.4.0.1-83636
- virtueel pakket geboden door libghc-string-conversions-prof
- libghc-stringbuilder-dev (0.5.1-2+b2)
- writer monad for multi-line string literals
- libghc-stringbuilder-dev-0.5.1-166f5
- virtueel pakket geboden door libghc-stringbuilder-dev
- libghc-stringbuilder-dev-0.5.1-a0425
- virtueel pakket geboden door libghc-stringbuilder-dev
- libghc-stringbuilder-dev-0.5.1-bd36b
- virtueel pakket geboden door libghc-stringbuilder-dev
- libghc-stringbuilder-doc (0.5.1-2)
- writer monad for multi-line string literals; documentation
- libghc-stringbuilder-prof (0.5.1-2+b2)
- writer monad for multi-line string literals; profiling libraries
- libghc-stringbuilder-prof-0.5.1-166f5
- virtueel pakket geboden door libghc-stringbuilder-prof
- libghc-stringbuilder-prof-0.5.1-a0425
- virtueel pakket geboden door libghc-stringbuilder-prof
- libghc-stringbuilder-prof-0.5.1-bd36b
- virtueel pakket geboden door libghc-stringbuilder-prof
- libghc-stringprep-dev (1.0.0-10+b3)
- Implements the "StringPrep" algorithm
- libghc-stringprep-dev-1.0.0-0f3ea
- virtueel pakket geboden door libghc-stringprep-dev
- libghc-stringprep-dev-1.0.0-35e11
- virtueel pakket geboden door libghc-stringprep-dev
- libghc-stringprep-dev-1.0.0-92197
- virtueel pakket geboden door libghc-stringprep-dev
- libghc-stringprep-dev-1.0.0-9c4fa
- virtueel pakket geboden door libghc-stringprep-dev
- libghc-stringprep-doc (1.0.0-10)
- Implements the "StringPrep" algorithm; documentation
- libghc-stringprep-prof (1.0.0-10+b3)
- Implements the "StringPrep" algorithm; profiling libraries
- libghc-stringprep-prof-1.0.0-0f3ea
- virtueel pakket geboden door libghc-stringprep-prof
- libghc-stringprep-prof-1.0.0-35e11
- virtueel pakket geboden door libghc-stringprep-prof
- libghc-stringprep-prof-1.0.0-92197
- virtueel pakket geboden door libghc-stringprep-prof
- libghc-stringprep-prof-1.0.0-9c4fa
- virtueel pakket geboden door libghc-stringprep-prof
- libghc-stringsearch-dev (0.3.6.6-8+b2)
- Fast searching, splitting and replacing of ByteStrings
- libghc-stringsearch-dev-0.3.6.6-9bb34
- virtueel pakket geboden door libghc-stringsearch-dev
- libghc-stringsearch-dev-0.3.6.6-a51da
- virtueel pakket geboden door libghc-stringsearch-dev
- libghc-stringsearch-dev-0.3.6.6-b9c4c
- virtueel pakket geboden door libghc-stringsearch-dev
- libghc-stringsearch-dev-0.3.6.6-d3048
- virtueel pakket geboden door libghc-stringsearch-dev
- libghc-stringsearch-doc (0.3.6.6-8)
- Fast searching, splitting and replacing of ByteStrings; documentation
- libghc-stringsearch-prof (0.3.6.6-8+b2)
- Fast searching, splitting and replacing of ByteStrings; profiling libraries
- libghc-stringsearch-prof-0.3.6.6-9bb34
- virtueel pakket geboden door libghc-stringsearch-prof
- libghc-stringsearch-prof-0.3.6.6-a51da
- virtueel pakket geboden door libghc-stringsearch-prof
- libghc-stringsearch-prof-0.3.6.6-b9c4c
- virtueel pakket geboden door libghc-stringsearch-prof
- libghc-stringsearch-prof-0.3.6.6-d3048
- virtueel pakket geboden door libghc-stringsearch-prof
- libghc-svg-builder-dev (0.1.1-3+b2)
- DSL for building SVG
- libghc-svg-builder-dev-0.1.1-931ef
- virtueel pakket geboden door libghc-svg-builder-dev
- libghc-svg-builder-dev-0.1.1-b574d
- virtueel pakket geboden door libghc-svg-builder-dev
- libghc-svg-builder-dev-0.1.1-bd5c1
- virtueel pakket geboden door libghc-svg-builder-dev
- libghc-svg-builder-dev-0.1.1-d074f
- virtueel pakket geboden door libghc-svg-builder-dev
- libghc-svg-builder-doc (0.1.1-3)
- DSL for building SVG; documentation
- libghc-svg-builder-prof (0.1.1-3+b2)
- DSL for building SVG; profiling libraries
- libghc-svg-builder-prof-0.1.1-931ef
- virtueel pakket geboden door libghc-svg-builder-prof
- libghc-svg-builder-prof-0.1.1-b574d
- virtueel pakket geboden door libghc-svg-builder-prof
- libghc-svg-builder-prof-0.1.1-bd5c1
- virtueel pakket geboden door libghc-svg-builder-prof
- libghc-svg-builder-prof-0.1.1-d074f
- virtueel pakket geboden door libghc-svg-builder-prof
- libghc-swish-dev (0.10.0.1-1)
- semantic web toolkit - libraries
- libghc-swish-dev-0.10.0.1-2613f
- virtueel pakket geboden door libghc-swish-dev
- libghc-swish-dev-0.10.0.1-61eb0
- virtueel pakket geboden door libghc-swish-dev
- libghc-swish-dev-0.10.0.1-a1b02
- virtueel pakket geboden door libghc-swish-dev
- libghc-swish-dev-0.10.0.1-aad59
- virtueel pakket geboden door libghc-swish-dev
- libghc-swish-doc (0.10.0.1-1)
- semantic web toolkit - library documentation
- libghc-swish-prof (0.10.0.1-1)
- semantic web toolkit - profiling libraries
- libghc-swish-prof-0.10.0.1-2613f
- virtueel pakket geboden door libghc-swish-prof
- libghc-swish-prof-0.10.0.1-61eb0
- virtueel pakket geboden door libghc-swish-prof
- libghc-swish-prof-0.10.0.1-a1b02
- virtueel pakket geboden door libghc-swish-prof
- libghc-swish-prof-0.10.0.1-aad59
- virtueel pakket geboden door libghc-swish-prof
- libghc-syb-dev (0.7-3+b2)
- Generic programming library for Haskell
- libghc-syb-dev-0.7-44ec9
- virtueel pakket geboden door libghc-syb-dev
- libghc-syb-dev-0.7-b28fb
- virtueel pakket geboden door libghc-syb-dev
- libghc-syb-dev-0.7-eee7c
- virtueel pakket geboden door libghc-syb-dev
- libghc-syb-doc (0.7-3)
- Generic programming library for Haskell; documentation
- libghc-syb-prof (0.7-3+b2)
- Generic programming library for Haskell; profiling libraries
- libghc-syb-prof-0.7-44ec9
- virtueel pakket geboden door libghc-syb-prof
- libghc-syb-prof-0.7-b28fb
- virtueel pakket geboden door libghc-syb-prof
- libghc-syb-prof-0.7-eee7c
- virtueel pakket geboden door libghc-syb-prof
- libghc-syb-with-class-dev (0.6.1.10-1+b2)
- Haskell library for generic programming
- libghc-syb-with-class-dev-0.6.1.10-6eb28
- virtueel pakket geboden door libghc-syb-with-class-dev
- libghc-syb-with-class-dev-0.6.1.10-bbd4d
- virtueel pakket geboden door libghc-syb-with-class-dev
- libghc-syb-with-class-dev-0.6.1.10-c00b1
- virtueel pakket geboden door libghc-syb-with-class-dev
- libghc-syb-with-class-dev-0.6.1.10-c29c0
- virtueel pakket geboden door libghc-syb-with-class-dev
- libghc-syb-with-class-doc (0.6.1.10-1)
- Haskell library for generic programming; documentation
- libghc-syb-with-class-prof (0.6.1.10-1+b2)
- Haskell library for generic programming; profiling libraries
- libghc-syb-with-class-prof-0.6.1.10-6eb28
- virtueel pakket geboden door libghc-syb-with-class-prof
- libghc-syb-with-class-prof-0.6.1.10-bbd4d
- virtueel pakket geboden door libghc-syb-with-class-prof
- libghc-syb-with-class-prof-0.6.1.10-c00b1
- virtueel pakket geboden door libghc-syb-with-class-prof
- libghc-syb-with-class-prof-0.6.1.10-c29c0
- virtueel pakket geboden door libghc-syb-with-class-prof
- libghc-system-fileio-dev (0.3.16.4-2+b2)
- consistent filesystem interaction across GHC versions
- libghc-system-fileio-dev-0.3.16.4-4ce43
- virtueel pakket geboden door libghc-system-fileio-dev
- libghc-system-fileio-dev-0.3.16.4-54c1d
- virtueel pakket geboden door libghc-system-fileio-dev
- libghc-system-fileio-dev-0.3.16.4-ea754
- virtueel pakket geboden door libghc-system-fileio-dev
- libghc-system-fileio-dev-0.3.16.4-f0b98
- virtueel pakket geboden door libghc-system-fileio-dev
- libghc-system-fileio-doc (0.3.16.4-2)
- consistent filesystem interaction across GHC versions; documentation
- libghc-system-fileio-prof (0.3.16.4-2+b2)
- consistent filesystem interaction across GHC versions; profiling libraries
- libghc-system-fileio-prof-0.3.16.4-4ce43
- virtueel pakket geboden door libghc-system-fileio-prof
- libghc-system-fileio-prof-0.3.16.4-54c1d
- virtueel pakket geboden door libghc-system-fileio-prof
- libghc-system-fileio-prof-0.3.16.4-ea754
- virtueel pakket geboden door libghc-system-fileio-prof
- libghc-system-fileio-prof-0.3.16.4-f0b98
- virtueel pakket geboden door libghc-system-fileio-prof
- libghc-system-filepath-dev (0.4.14-3+b2)
- high-level, byte-based file and directory path manipulations
- libghc-system-filepath-dev-0.4.14-0ce56
- virtueel pakket geboden door libghc-system-filepath-dev
- libghc-system-filepath-dev-0.4.14-84131
- virtueel pakket geboden door libghc-system-filepath-dev
- libghc-system-filepath-dev-0.4.14-c978f
- virtueel pakket geboden door libghc-system-filepath-dev
- libghc-system-filepath-dev-0.4.14-f327c
- virtueel pakket geboden door libghc-system-filepath-dev
- libghc-system-filepath-doc (0.4.14-3)
- high-level, byte-based file and directory path manipulations; documentation
- libghc-system-filepath-prof (0.4.14-3+b2)
- high-level, byte-based file and directory path manipulations; profiling libraries
- libghc-system-filepath-prof-0.4.14-0ce56
- virtueel pakket geboden door libghc-system-filepath-prof
- libghc-system-filepath-prof-0.4.14-84131
- virtueel pakket geboden door libghc-system-filepath-prof
- libghc-system-filepath-prof-0.4.14-c978f
- virtueel pakket geboden door libghc-system-filepath-prof
- libghc-system-filepath-prof-0.4.14-f327c
- virtueel pakket geboden door libghc-system-filepath-prof
- libghc-system-posix-redirect-dev (1.1.0.1-1)
- toy module to temporarily redirect a program's stdout
- libghc-system-posix-redirect-dev-1.1.0.1-12afa
- virtueel pakket geboden door libghc-system-posix-redirect-dev
- libghc-system-posix-redirect-dev-1.1.0.1-7fa38
- virtueel pakket geboden door libghc-system-posix-redirect-dev
- libghc-system-posix-redirect-dev-1.1.0.1-a6c0e
- virtueel pakket geboden door libghc-system-posix-redirect-dev
- libghc-system-posix-redirect-dev-1.1.0.1-dc0f9
- virtueel pakket geboden door libghc-system-posix-redirect-dev
- libghc-system-posix-redirect-doc (1.1.0.1-1)
- toy module to temporarily redirect a program's stdout; documentation
- libghc-system-posix-redirect-prof (1.1.0.1-1)
- toy module to temporarily redirect a program's stdout; profiling libraries
- libghc-system-posix-redirect-prof-1.1.0.1-12afa
- virtueel pakket geboden door libghc-system-posix-redirect-prof
- libghc-system-posix-redirect-prof-1.1.0.1-7fa38
- virtueel pakket geboden door libghc-system-posix-redirect-prof
- libghc-system-posix-redirect-prof-1.1.0.1-a6c0e
- virtueel pakket geboden door libghc-system-posix-redirect-prof
- libghc-system-posix-redirect-prof-1.1.0.1-dc0f9
- virtueel pakket geboden door libghc-system-posix-redirect-prof
- libghc-tabular-dev (0.2.2.7-9+b2)
- two-dimensional data tables with rendering functions
- libghc-tabular-dev-0.2.2.7-59e56
- virtueel pakket geboden door libghc-tabular-dev
- libghc-tabular-dev-0.2.2.7-d0235
- virtueel pakket geboden door libghc-tabular-dev
- libghc-tabular-dev-0.2.2.7-d5cbf
- virtueel pakket geboden door libghc-tabular-dev
- libghc-tabular-dev-0.2.2.7-e783a
- virtueel pakket geboden door libghc-tabular-dev
- libghc-tabular-doc (0.2.2.7-9)
- two-dimensional data tables with rendering functions; documentation
- libghc-tabular-prof (0.2.2.7-9+b2)
- two-dimensional data tables with rendering functions; profiling libraries
- libghc-tabular-prof-0.2.2.7-59e56
- virtueel pakket geboden door libghc-tabular-prof
- libghc-tabular-prof-0.2.2.7-d0235
- virtueel pakket geboden door libghc-tabular-prof
- libghc-tabular-prof-0.2.2.7-d5cbf
- virtueel pakket geboden door libghc-tabular-prof
- libghc-tabular-prof-0.2.2.7-e783a
- virtueel pakket geboden door libghc-tabular-prof
- libghc-taffybar-dev (1.0.1-1)
- desktop bar extensible in Haskell
- libghc-taffybar-dev-1.0.1-18a1e
- virtueel pakket geboden door libghc-taffybar-dev
- libghc-taffybar-dev-1.0.1-3b0cf
- virtueel pakket geboden door libghc-taffybar-dev
- libghc-taffybar-dev-1.0.1-5a6c7
- virtueel pakket geboden door libghc-taffybar-dev
- libghc-taffybar-dev-1.0.1-d3c33
- virtueel pakket geboden door libghc-taffybar-dev
- libghc-taffybar-doc (1.0.1-1)
- desktop bar extensible in Haskell; documentation
- libghc-taffybar-prof (1.0.1-1)
- desktop bar extensible in Haskell; profiling libraries
- libghc-taffybar-prof-1.0.1-18a1e
- virtueel pakket geboden door libghc-taffybar-prof
- libghc-taffybar-prof-1.0.1-3b0cf
- virtueel pakket geboden door libghc-taffybar-prof
- libghc-taffybar-prof-1.0.1-5a6c7
- virtueel pakket geboden door libghc-taffybar-prof
- libghc-taffybar-prof-1.0.1-d3c33
- virtueel pakket geboden door libghc-taffybar-prof
- libghc-tagged-dev (0.8.5-5+b2)
- newtype wrappers for phantom types
- libghc-tagged-dev-0.8.5-16742
- virtueel pakket geboden door libghc-tagged-dev
- libghc-tagged-dev-0.8.5-1ad75
- virtueel pakket geboden door libghc-tagged-dev
- libghc-tagged-dev-0.8.5-e69da
- virtueel pakket geboden door libghc-tagged-dev
- libghc-tagged-dev-0.8.5-f4d9b
- virtueel pakket geboden door libghc-tagged-dev
- libghc-tagged-doc (0.8.5-5)
- newtype wrappers for phantom types; documentation
- libghc-tagged-prof (0.8.5-5+b2)
- newtype wrappers for phantom types; profiling libraries
- libghc-tagged-prof-0.8.5-16742
- virtueel pakket geboden door libghc-tagged-prof
- libghc-tagged-prof-0.8.5-1ad75
- virtueel pakket geboden door libghc-tagged-prof
- libghc-tagged-prof-0.8.5-e69da
- virtueel pakket geboden door libghc-tagged-prof
- libghc-tagged-prof-0.8.5-f4d9b
- virtueel pakket geboden door libghc-tagged-prof
- libghc-tagshare-dev (0.0-4+b2)
- explicit sharing with tags
- libghc-tagshare-dev-0.0-35ebb
- virtueel pakket geboden door libghc-tagshare-dev
- libghc-tagshare-dev-0.0-7918c
- virtueel pakket geboden door libghc-tagshare-dev
- libghc-tagshare-dev-0.0-c758b
- virtueel pakket geboden door libghc-tagshare-dev
- libghc-tagshare-dev-0.0-c97e0
- virtueel pakket geboden door libghc-tagshare-dev
- libghc-tagshare-doc (0.0-4)
- explicit sharing with tags; documentation
- libghc-tagshare-prof (0.0-4+b2)
- explicit sharing with tags; profiling libraries
- libghc-tagshare-prof-0.0-35ebb
- virtueel pakket geboden door libghc-tagshare-prof
- libghc-tagshare-prof-0.0-7918c
- virtueel pakket geboden door libghc-tagshare-prof
- libghc-tagshare-prof-0.0-c758b
- virtueel pakket geboden door libghc-tagshare-prof
- libghc-tagshare-prof-0.0-c97e0
- virtueel pakket geboden door libghc-tagshare-prof
- libghc-tagsoup-dev (0.14.6-4+b2)
- Haskell library to robustly parse unstructured HTML
- libghc-tagsoup-dev-0.14.6-7766f
- virtueel pakket geboden door libghc-tagsoup-dev
- libghc-tagsoup-dev-0.14.6-a8e06
- virtueel pakket geboden door libghc-tagsoup-dev
- libghc-tagsoup-dev-0.14.6-ce879
- virtueel pakket geboden door libghc-tagsoup-dev
- libghc-tagsoup-dev-0.14.6-dfb5a
- virtueel pakket geboden door libghc-tagsoup-dev
- libghc-tagsoup-doc (0.14.6-4)
- Documentation for Haskell TagSoup library
- libghc-tagsoup-prof (0.14.6-4+b2)
- Profiling support for TagSoup Haskell library
- libghc-tagsoup-prof-0.14.6-7766f
- virtueel pakket geboden door libghc-tagsoup-prof
- libghc-tagsoup-prof-0.14.6-a8e06
- virtueel pakket geboden door libghc-tagsoup-prof
- libghc-tagsoup-prof-0.14.6-ce879
- virtueel pakket geboden door libghc-tagsoup-prof
- libghc-tagsoup-prof-0.14.6-dfb5a
- virtueel pakket geboden door libghc-tagsoup-prof
- libghc-tagstream-conduit-dev (0.5.5.3-10+b1)
- streamlined HTML tag parser
- libghc-tagstream-conduit-dev-0.5.5.3-0fd8b
- virtueel pakket geboden door libghc-tagstream-conduit-dev
- libghc-tagstream-conduit-dev-0.5.5.3-4044a
- virtueel pakket geboden door libghc-tagstream-conduit-dev
- libghc-tagstream-conduit-dev-0.5.5.3-7015d
- virtueel pakket geboden door libghc-tagstream-conduit-dev
- libghc-tagstream-conduit-dev-0.5.5.3-8490c
- virtueel pakket geboden door libghc-tagstream-conduit-dev
- libghc-tagstream-conduit-doc (0.5.5.3-10)
- streamlined HTML tag parser; documentation
- libghc-tagstream-conduit-prof (0.5.5.3-10+b1)
- streamlined HTML tag parser; profiling libraries
- libghc-tagstream-conduit-prof-0.5.5.3-0fd8b
- virtueel pakket geboden door libghc-tagstream-conduit-prof
- libghc-tagstream-conduit-prof-0.5.5.3-4044a
- virtueel pakket geboden door libghc-tagstream-conduit-prof
- libghc-tagstream-conduit-prof-0.5.5.3-7015d
- virtueel pakket geboden door libghc-tagstream-conduit-prof
- libghc-tagstream-conduit-prof-0.5.5.3-8490c
- virtueel pakket geboden door libghc-tagstream-conduit-prof
- libghc-tar-dev (0.5.1.0-4+b1)
- reading, writing and manipulating ".tar" archive files
- libghc-tar-dev-0.5.1.0-7212c
- virtueel pakket geboden door libghc-tar-dev
- libghc-tar-dev-0.5.1.0-97aaa
- virtueel pakket geboden door libghc-tar-dev
- libghc-tar-dev-0.5.1.0-c7efe
- virtueel pakket geboden door libghc-tar-dev
- libghc-tar-dev-0.5.1.0-f0d0e
- virtueel pakket geboden door libghc-tar-dev
- libghc-tar-doc (0.5.1.0-4)
- reading, writing and manipulating ".tar" archive files; documentation
- libghc-tar-prof (0.5.1.0-4+b1)
- reading, writing and manipulating ".tar" archive files; profiling libraries
- libghc-tar-prof-0.5.1.0-7212c
- virtueel pakket geboden door libghc-tar-prof
- libghc-tar-prof-0.5.1.0-97aaa
- virtueel pakket geboden door libghc-tar-prof
- libghc-tar-prof-0.5.1.0-c7efe
- virtueel pakket geboden door libghc-tar-prof
- libghc-tar-prof-0.5.1.0-f0d0e
- virtueel pakket geboden door libghc-tar-prof
- libghc-tasty-dev (1.1.0.3-2+b1)
- Haskell testing framework
- libghc-tasty-dev-1.1.0.3-0c7dc
- virtueel pakket geboden door libghc-tasty-dev
- libghc-tasty-dev-1.1.0.3-29854
- virtueel pakket geboden door libghc-tasty-dev
- libghc-tasty-dev-1.1.0.3-30c0c
- virtueel pakket geboden door libghc-tasty-dev
- libghc-tasty-dev-1.1.0.3-9948d
- virtueel pakket geboden door libghc-tasty-dev
- libghc-tasty-doc (1.1.0.3-2)
- Haskell testing framework; documentation
- libghc-tasty-expected-failure-dev (0.11.1.1-2+b1)
- mark tasty tests as failure-expected
- libghc-tasty-expected-failure-dev-0.11.1.1-0699b
- virtueel pakket geboden door libghc-tasty-expected-failure-dev
- libghc-tasty-expected-failure-dev-0.11.1.1-4502b
- virtueel pakket geboden door libghc-tasty-expected-failure-dev
- libghc-tasty-expected-failure-dev-0.11.1.1-54007
- virtueel pakket geboden door libghc-tasty-expected-failure-dev
- libghc-tasty-expected-failure-dev-0.11.1.1-ff931
- virtueel pakket geboden door libghc-tasty-expected-failure-dev
- libghc-tasty-expected-failure-doc (0.11.1.1-2)
- mark tasty tests as failure-expected; documentation
- libghc-tasty-expected-failure-prof (0.11.1.1-2+b1)
- mark tasty tests as failure-expected; profiling libraries
- libghc-tasty-expected-failure-prof-0.11.1.1-0699b
- virtueel pakket geboden door libghc-tasty-expected-failure-prof
- libghc-tasty-expected-failure-prof-0.11.1.1-4502b
- virtueel pakket geboden door libghc-tasty-expected-failure-prof
- libghc-tasty-expected-failure-prof-0.11.1.1-54007
- virtueel pakket geboden door libghc-tasty-expected-failure-prof
- libghc-tasty-expected-failure-prof-0.11.1.1-ff931
- virtueel pakket geboden door libghc-tasty-expected-failure-prof
- libghc-tasty-golden-dev (2.3.2-3+b1)
- Golden test support for Tasty test framework
- libghc-tasty-golden-dev-2.3.2-14015
- virtueel pakket geboden door libghc-tasty-golden-dev
- libghc-tasty-golden-dev-2.3.2-87ffa
- virtueel pakket geboden door libghc-tasty-golden-dev
- libghc-tasty-golden-dev-2.3.2-88513
- virtueel pakket geboden door libghc-tasty-golden-dev
- libghc-tasty-golden-dev-2.3.2-d5f72
- virtueel pakket geboden door libghc-tasty-golden-dev
- libghc-tasty-golden-doc (2.3.2-3)
- Golden test support for Tasty test framework; documentation
- libghc-tasty-golden-prof (2.3.2-3+b1)
- Golden test support for Tasty test framework; profiling libraries
- libghc-tasty-golden-prof-2.3.2-14015
- virtueel pakket geboden door libghc-tasty-golden-prof
- libghc-tasty-golden-prof-2.3.2-87ffa
- virtueel pakket geboden door libghc-tasty-golden-prof
- libghc-tasty-golden-prof-2.3.2-88513
- virtueel pakket geboden door libghc-tasty-golden-prof
- libghc-tasty-golden-prof-2.3.2-d5f72
- virtueel pakket geboden door libghc-tasty-golden-prof
- libghc-tasty-hedgehog-dev (0.2.0.0-2+b1)
- hedgehog-tasty integration
- libghc-tasty-hedgehog-dev-0.2.0.0-2cef3
- virtueel pakket geboden door libghc-tasty-hedgehog-dev
- libghc-tasty-hedgehog-dev-0.2.0.0-37c2d
- virtueel pakket geboden door libghc-tasty-hedgehog-dev
- libghc-tasty-hedgehog-dev-0.2.0.0-6676e
- virtueel pakket geboden door libghc-tasty-hedgehog-dev
- libghc-tasty-hedgehog-dev-0.2.0.0-b9097
- virtueel pakket geboden door libghc-tasty-hedgehog-dev
- libghc-tasty-hedgehog-doc (0.2.0.0-2)
- hedgehog-tasty integration; documentation
- libghc-tasty-hedgehog-prof (0.2.0.0-2+b1)
- hedgehog-tasty integration; profiling libraries
- libghc-tasty-hedgehog-prof-0.2.0.0-2cef3
- virtueel pakket geboden door libghc-tasty-hedgehog-prof
- libghc-tasty-hedgehog-prof-0.2.0.0-37c2d
- virtueel pakket geboden door libghc-tasty-hedgehog-prof
- libghc-tasty-hedgehog-prof-0.2.0.0-6676e
- virtueel pakket geboden door libghc-tasty-hedgehog-prof
- libghc-tasty-hedgehog-prof-0.2.0.0-b9097
- virtueel pakket geboden door libghc-tasty-hedgehog-prof
- libghc-tasty-hspec-dev (1.1.5-2+b1)
- Tasty test framework, Hspec support
- libghc-tasty-hspec-dev-1.1.5-363eb
- virtueel pakket geboden door libghc-tasty-hspec-dev
- libghc-tasty-hspec-dev-1.1.5-73f9c
- virtueel pakket geboden door libghc-tasty-hspec-dev
- libghc-tasty-hspec-dev-1.1.5-842c2
- virtueel pakket geboden door libghc-tasty-hspec-dev
- libghc-tasty-hspec-dev-1.1.5-9c176
- virtueel pakket geboden door libghc-tasty-hspec-dev
- libghc-tasty-hspec-doc (1.1.5-2)
- Tasty test framework, Hspec support; documentation
- libghc-tasty-hspec-prof (1.1.5-2+b1)
- Tasty test framework, Hspec support; profiling libraries
- libghc-tasty-hspec-prof-1.1.5-363eb
- virtueel pakket geboden door libghc-tasty-hspec-prof
- libghc-tasty-hspec-prof-1.1.5-73f9c
- virtueel pakket geboden door libghc-tasty-hspec-prof
- libghc-tasty-hspec-prof-1.1.5-842c2
- virtueel pakket geboden door libghc-tasty-hspec-prof
- libghc-tasty-hspec-prof-1.1.5-9c176
- virtueel pakket geboden door libghc-tasty-hspec-prof
- libghc-tasty-hunit-dev (0.10.0.1-2+b1)
- Haskell testing framework, HUnit support
- libghc-tasty-hunit-dev-0.10.0.1-60795
- virtueel pakket geboden door libghc-tasty-hunit-dev
- libghc-tasty-hunit-dev-0.10.0.1-7f609
- virtueel pakket geboden door libghc-tasty-hunit-dev
- libghc-tasty-hunit-dev-0.10.0.1-8c1d3
- virtueel pakket geboden door libghc-tasty-hunit-dev
- libghc-tasty-hunit-dev-0.10.0.1-be57b
- virtueel pakket geboden door libghc-tasty-hunit-dev
- libghc-tasty-hunit-doc (0.10.0.1-2)
- Haskell testing framework, HUnit support; documentation
- libghc-tasty-hunit-prof (0.10.0.1-2+b1)
- Haskell testing framework, HUnit support; profiling libraries
- libghc-tasty-hunit-prof-0.10.0.1-60795
- virtueel pakket geboden door libghc-tasty-hunit-prof
- libghc-tasty-hunit-prof-0.10.0.1-7f609
- virtueel pakket geboden door libghc-tasty-hunit-prof
- libghc-tasty-hunit-prof-0.10.0.1-8c1d3
- virtueel pakket geboden door libghc-tasty-hunit-prof
- libghc-tasty-hunit-prof-0.10.0.1-be57b
- virtueel pakket geboden door libghc-tasty-hunit-prof
- libghc-tasty-kat-dev (0.0.3-9+b1)
- Known Answer Tests (KAT) framework for tasty
- libghc-tasty-kat-dev-0.0.3-21a7c
- virtueel pakket geboden door libghc-tasty-kat-dev
- libghc-tasty-kat-dev-0.0.3-836dc
- virtueel pakket geboden door libghc-tasty-kat-dev
- libghc-tasty-kat-dev-0.0.3-ad7d8
- virtueel pakket geboden door libghc-tasty-kat-dev
- libghc-tasty-kat-dev-0.0.3-b1458
- virtueel pakket geboden door libghc-tasty-kat-dev
- libghc-tasty-kat-doc (0.0.3-9)
- Known Answer Tests (KAT) framework for tasty; documentation
- libghc-tasty-kat-prof (0.0.3-9+b1)
- Known Answer Tests (KAT) framework for tasty; profiling libraries
- libghc-tasty-kat-prof-0.0.3-21a7c
- virtueel pakket geboden door libghc-tasty-kat-prof
- libghc-tasty-kat-prof-0.0.3-836dc
- virtueel pakket geboden door libghc-tasty-kat-prof
- libghc-tasty-kat-prof-0.0.3-ad7d8
- virtueel pakket geboden door libghc-tasty-kat-prof
- libghc-tasty-kat-prof-0.0.3-b1458
- virtueel pakket geboden door libghc-tasty-kat-prof
- libghc-tasty-prof (1.1.0.3-2+b1)
- Haskell testing framework; profiling libraries
- libghc-tasty-prof-1.1.0.3-0c7dc
- virtueel pakket geboden door libghc-tasty-prof
- libghc-tasty-prof-1.1.0.3-29854
- virtueel pakket geboden door libghc-tasty-prof
- libghc-tasty-prof-1.1.0.3-30c0c
- virtueel pakket geboden door libghc-tasty-prof
- libghc-tasty-prof-1.1.0.3-9948d
- virtueel pakket geboden door libghc-tasty-prof
- libghc-tasty-quickcheck-dev (0.10-1+b1)
- Haskell testing framework, QuickCheck support
- libghc-tasty-quickcheck-dev-0.10-0db30
- virtueel pakket geboden door libghc-tasty-quickcheck-dev
- libghc-tasty-quickcheck-dev-0.10-0dcfe
- virtueel pakket geboden door libghc-tasty-quickcheck-dev
- libghc-tasty-quickcheck-dev-0.10-16bec
- virtueel pakket geboden door libghc-tasty-quickcheck-dev
- libghc-tasty-quickcheck-dev-0.10-e51fa
- virtueel pakket geboden door libghc-tasty-quickcheck-dev
- libghc-tasty-quickcheck-doc (0.10-1)
- Haskell testing framework, QuickCheck support; documentation
- libghc-tasty-quickcheck-prof (0.10-1+b1)
- Haskell testing framework, QuickCheck support; profiling libraries
- libghc-tasty-quickcheck-prof-0.10-0db30
- virtueel pakket geboden door libghc-tasty-quickcheck-prof
- libghc-tasty-quickcheck-prof-0.10-0dcfe
- virtueel pakket geboden door libghc-tasty-quickcheck-prof
- libghc-tasty-quickcheck-prof-0.10-16bec
- virtueel pakket geboden door libghc-tasty-quickcheck-prof
- libghc-tasty-quickcheck-prof-0.10-e51fa
- virtueel pakket geboden door libghc-tasty-quickcheck-prof
- libghc-tasty-rerun-dev (1.1.12-3+b1)
- Haskell testing framework, rerun support
- libghc-tasty-rerun-dev-1.1.12-15d4e
- virtueel pakket geboden door libghc-tasty-rerun-dev
- libghc-tasty-rerun-dev-1.1.12-1dce5
- virtueel pakket geboden door libghc-tasty-rerun-dev
- libghc-tasty-rerun-dev-1.1.12-227cc
- virtueel pakket geboden door libghc-tasty-rerun-dev
- libghc-tasty-rerun-dev-1.1.12-b5606
- virtueel pakket geboden door libghc-tasty-rerun-dev
- libghc-tasty-rerun-doc (1.1.12-3)
- Haskell testing framework, rereun support; documentation
- libghc-tasty-rerun-prof (1.1.12-3+b1)
- Haskell testing framework, rerun support; profiling libraries
- libghc-tasty-rerun-prof-1.1.12-15d4e
- virtueel pakket geboden door libghc-tasty-rerun-prof
- libghc-tasty-rerun-prof-1.1.12-1dce5
- virtueel pakket geboden door libghc-tasty-rerun-prof
- libghc-tasty-rerun-prof-1.1.12-227cc
- virtueel pakket geboden door libghc-tasty-rerun-prof
- libghc-tasty-rerun-prof-1.1.12-b5606
- virtueel pakket geboden door libghc-tasty-rerun-prof
- libghc-tasty-smallcheck-dev (0.8.1-5+b1)
- SmallCheck support for the Tasty test framework
- libghc-tasty-smallcheck-dev-0.8.1-41eac
- virtueel pakket geboden door libghc-tasty-smallcheck-dev
- libghc-tasty-smallcheck-dev-0.8.1-7f125
- virtueel pakket geboden door libghc-tasty-smallcheck-dev
- libghc-tasty-smallcheck-dev-0.8.1-925a7
- virtueel pakket geboden door libghc-tasty-smallcheck-dev
- libghc-tasty-smallcheck-dev-0.8.1-99f39
- virtueel pakket geboden door libghc-tasty-smallcheck-dev
- libghc-tasty-smallcheck-doc (0.8.1-5)
- SmallCheck support for the Tasty test framework; documentation
- libghc-tasty-smallcheck-prof (0.8.1-5+b1)
- SmallCheck support for the Tasty test framework; profiling libraries
- libghc-tasty-smallcheck-prof-0.8.1-41eac
- virtueel pakket geboden door libghc-tasty-smallcheck-prof
- libghc-tasty-smallcheck-prof-0.8.1-7f125
- virtueel pakket geboden door libghc-tasty-smallcheck-prof
- libghc-tasty-smallcheck-prof-0.8.1-925a7
- virtueel pakket geboden door libghc-tasty-smallcheck-prof
- libghc-tasty-smallcheck-prof-0.8.1-99f39
- virtueel pakket geboden door libghc-tasty-smallcheck-prof
- libghc-tasty-th-dev (0.1.7-3+b1)
- TH code generation for Tasty test framework
- libghc-tasty-th-dev-0.1.7-5ab0e
- virtueel pakket geboden door libghc-tasty-th-dev
- libghc-tasty-th-dev-0.1.7-5c2f8
- virtueel pakket geboden door libghc-tasty-th-dev
- libghc-tasty-th-dev-0.1.7-93ae0
- virtueel pakket geboden door libghc-tasty-th-dev
- libghc-tasty-th-dev-0.1.7-d6ac6
- virtueel pakket geboden door libghc-tasty-th-dev
- libghc-tasty-th-doc (0.1.7-3)
- TH code generation for Tasty test framework; documentation
- libghc-tasty-th-prof (0.1.7-3+b1)
- TH code generation for Tasty test framework; profiling libraries
- libghc-tasty-th-prof-0.1.7-5ab0e
- virtueel pakket geboden door libghc-tasty-th-prof
- libghc-tasty-th-prof-0.1.7-5c2f8
- virtueel pakket geboden door libghc-tasty-th-prof
- libghc-tasty-th-prof-0.1.7-93ae0
- virtueel pakket geboden door libghc-tasty-th-prof
- libghc-tasty-th-prof-0.1.7-d6ac6
- virtueel pakket geboden door libghc-tasty-th-prof
- libghc-template-dev (0.2.0.10-9+b2)
- string substitution library
- libghc-template-dev-0.2.0.10-714e8
- virtueel pakket geboden door libghc-template-dev
- libghc-template-dev-0.2.0.10-82b3c
- virtueel pakket geboden door libghc-template-dev
- libghc-template-dev-0.2.0.10-dcc9c
- virtueel pakket geboden door libghc-template-dev
- libghc-template-dev-0.2.0.10-e7fb9
- virtueel pakket geboden door libghc-template-dev
- libghc-template-doc (0.2.0.10-9)
- string substitution library; documentation
- libghc-template-haskell-dev (= 2.13.0.0)
- virtueel pakket geboden door ghc
- libghc-template-haskell-dev-2.13.0.0-06eb4
- virtueel pakket geboden door ghc
- libghc-template-haskell-dev-2.13.0.0-154a8
- virtueel pakket geboden door ghc
- libghc-template-haskell-dev-2.13.0.0-50e9c
- virtueel pakket geboden door ghc
- libghc-template-haskell-dev-2.13.0.0-87d93
- virtueel pakket geboden door ghc
- libghc-template-haskell-doc (= 2.13.0.0)
- virtueel pakket geboden door ghc-doc
- libghc-template-haskell-prof (= 2.13.0.0)
- virtueel pakket geboden door ghc-prof
- libghc-template-haskell-prof-2.13.0.0-06eb4
- virtueel pakket geboden door ghc-prof
- libghc-template-haskell-prof-2.13.0.0-154a8
- virtueel pakket geboden door ghc-prof
- libghc-template-haskell-prof-2.13.0.0-50e9c
- virtueel pakket geboden door ghc-prof
- libghc-template-haskell-prof-2.13.0.0-87d93
- virtueel pakket geboden door ghc-prof
- libghc-template-prof (0.2.0.10-9+b2)
- string substitution library; profiling libraries
- libghc-template-prof-0.2.0.10-714e8
- virtueel pakket geboden door libghc-template-prof
- libghc-template-prof-0.2.0.10-82b3c
- virtueel pakket geboden door libghc-template-prof
- libghc-template-prof-0.2.0.10-dcc9c
- virtueel pakket geboden door libghc-template-prof
- libghc-template-prof-0.2.0.10-e7fb9
- virtueel pakket geboden door libghc-template-prof
- libghc-temporary-dev (1.3-1+b2)
- Temporary files and directories
- libghc-temporary-dev-1.3-12009
- virtueel pakket geboden door libghc-temporary-dev
- libghc-temporary-dev-1.3-d65cb
- virtueel pakket geboden door libghc-temporary-dev
- libghc-temporary-dev-1.3-e5b44
- virtueel pakket geboden door libghc-temporary-dev
- libghc-temporary-dev-1.3-ead11
- virtueel pakket geboden door libghc-temporary-dev
- libghc-temporary-doc (1.3-1)
- Temporary files and directories; documentation
- libghc-temporary-prof (1.3-1+b2)
- Temporary files and directories; profiling libraries
- libghc-temporary-prof-1.3-12009
- virtueel pakket geboden door libghc-temporary-prof
- libghc-temporary-prof-1.3-d65cb
- virtueel pakket geboden door libghc-temporary-prof
- libghc-temporary-prof-1.3-e5b44
- virtueel pakket geboden door libghc-temporary-prof
- libghc-temporary-prof-1.3-ead11
- virtueel pakket geboden door libghc-temporary-prof
- libghc-terminal-progress-bar-dev (0.2-3+b2)
- A simple progress bar in the terminal
- libghc-terminal-progress-bar-dev-0.2-283dd
- virtueel pakket geboden door libghc-terminal-progress-bar-dev
- libghc-terminal-progress-bar-dev-0.2-28ada
- virtueel pakket geboden door libghc-terminal-progress-bar-dev
- libghc-terminal-progress-bar-dev-0.2-456e3
- virtueel pakket geboden door libghc-terminal-progress-bar-dev
- libghc-terminal-progress-bar-dev-0.2-625e9
- virtueel pakket geboden door libghc-terminal-progress-bar-dev
- libghc-terminal-progress-bar-doc (0.2-3)
- A simple progress bar in the terminal; documentation
- libghc-terminal-progress-bar-prof (0.2-3+b2)
- A simple progress bar in the terminal; profiling libraries
- libghc-terminal-progress-bar-prof-0.2-283dd
- virtueel pakket geboden door libghc-terminal-progress-bar-prof
- libghc-terminal-progress-bar-prof-0.2-28ada
- virtueel pakket geboden door libghc-terminal-progress-bar-prof
- libghc-terminal-progress-bar-prof-0.2-456e3
- virtueel pakket geboden door libghc-terminal-progress-bar-prof
- libghc-terminal-progress-bar-prof-0.2-625e9
- virtueel pakket geboden door libghc-terminal-progress-bar-prof
- libghc-terminal-size-dev (0.3.2.1-6+b2)
- get terminal window height and width
- libghc-terminal-size-dev-0.3.2.1-1523f
- virtueel pakket geboden door libghc-terminal-size-dev
- libghc-terminal-size-dev-0.3.2.1-66c83
- virtueel pakket geboden door libghc-terminal-size-dev
- libghc-terminal-size-dev-0.3.2.1-f9b7f
- virtueel pakket geboden door libghc-terminal-size-dev
- libghc-terminal-size-doc (0.3.2.1-6)
- get terminal window height and width; documentation
- libghc-terminal-size-prof (0.3.2.1-6+b2)
- get terminal window height and width; profiling libraries
- libghc-terminal-size-prof-0.3.2.1-1523f
- virtueel pakket geboden door libghc-terminal-size-prof
- libghc-terminal-size-prof-0.3.2.1-66c83
- virtueel pakket geboden door libghc-terminal-size-prof
- libghc-terminal-size-prof-0.3.2.1-f9b7f
- virtueel pakket geboden door libghc-terminal-size-prof
- libghc-terminfo-dev (= 0.4.1.1)
- virtueel pakket geboden door ghc
- libghc-terminfo-dev-0.4.1.1-275dc
- virtueel pakket geboden door ghc
- libghc-terminfo-dev-0.4.1.1-76d93
- virtueel pakket geboden door ghc
- libghc-terminfo-dev-0.4.1.1-7c97c
- virtueel pakket geboden door ghc
- libghc-terminfo-dev-0.4.1.1-d0436
- virtueel pakket geboden door ghc
- libghc-terminfo-doc (= 0.4.1.1)
- virtueel pakket geboden door ghc-doc
- libghc-terminfo-prof (= 0.4.1.1)
- virtueel pakket geboden door ghc-prof
- libghc-terminfo-prof-0.4.1.1-275dc
- virtueel pakket geboden door ghc-prof
- libghc-terminfo-prof-0.4.1.1-76d93
- virtueel pakket geboden door ghc-prof
- libghc-terminfo-prof-0.4.1.1-7c97c
- virtueel pakket geboden door ghc-prof
- libghc-terminfo-prof-0.4.1.1-d0436
- virtueel pakket geboden door ghc-prof
- libghc-termonad-dev (0.2.1.0-2)
- terminal emulator configurable in Haskell
- libghc-termonad-dev-0.2.1.0-a4e61
- virtueel pakket geboden door libghc-termonad-dev
- libghc-termonad-doc (0.2.1.0-2)
- terminal emulator configurable in Haskell; documentation
- libghc-termonad-prof (0.2.1.0-2)
- terminal emulator configurable in Haskell; profiling libraries
- libghc-termonad-prof-0.2.1.0-a4e61
- virtueel pakket geboden door libghc-termonad-prof
- libghc-test-framework-dev (0.8.2.0-2+b2)
- Framework for running and organising tests
- libghc-test-framework-dev-0.8.2.0-0c081
- virtueel pakket geboden door libghc-test-framework-dev
- libghc-test-framework-dev-0.8.2.0-1d5f5
- virtueel pakket geboden door libghc-test-framework-dev
- libghc-test-framework-dev-0.8.2.0-73b25
- virtueel pakket geboden door libghc-test-framework-dev
- libghc-test-framework-dev-0.8.2.0-da068
- virtueel pakket geboden door libghc-test-framework-dev
- libghc-test-framework-doc (0.8.2.0-2)
- Framework for running and organising tests; documentation
- libghc-test-framework-hunit-dev (0.3.0.2-6+b2)
- HUnit support for the test-framework package.
- libghc-test-framework-hunit-dev-0.3.0.2-51c4a
- virtueel pakket geboden door libghc-test-framework-hunit-dev
- libghc-test-framework-hunit-dev-0.3.0.2-58df4
- virtueel pakket geboden door libghc-test-framework-hunit-dev
- libghc-test-framework-hunit-dev-0.3.0.2-66c69
- virtueel pakket geboden door libghc-test-framework-hunit-dev
- libghc-test-framework-hunit-dev-0.3.0.2-caac0
- virtueel pakket geboden door libghc-test-framework-hunit-dev
- libghc-test-framework-hunit-doc (0.3.0.2-6)
- HUnit support for the test-framework package.; documentation
- libghc-test-framework-hunit-prof (0.3.0.2-6+b2)
- HUnit support for the test-framework package.; profiling libraries
- libghc-test-framework-hunit-prof-0.3.0.2-51c4a
- virtueel pakket geboden door libghc-test-framework-hunit-prof
- libghc-test-framework-hunit-prof-0.3.0.2-58df4
- virtueel pakket geboden door libghc-test-framework-hunit-prof
- libghc-test-framework-hunit-prof-0.3.0.2-66c69
- virtueel pakket geboden door libghc-test-framework-hunit-prof
- libghc-test-framework-hunit-prof-0.3.0.2-caac0
- virtueel pakket geboden door libghc-test-framework-hunit-prof
- libghc-test-framework-prof (0.8.2.0-2+b2)
- Framework for running and organising tests; profiling libraries
- libghc-test-framework-prof-0.8.2.0-0c081
- virtueel pakket geboden door libghc-test-framework-prof
- libghc-test-framework-prof-0.8.2.0-1d5f5
- virtueel pakket geboden door libghc-test-framework-prof
- libghc-test-framework-prof-0.8.2.0-73b25
- virtueel pakket geboden door libghc-test-framework-prof
- libghc-test-framework-prof-0.8.2.0-da068
- virtueel pakket geboden door libghc-test-framework-prof
- libghc-test-framework-quickcheck2-dev (0.3.0.5-1+b2)
- QuickCheck2 support for the test-framework package.
- libghc-test-framework-quickcheck2-dev-0.3.0.5-39edc
- virtueel pakket geboden door libghc-test-framework-quickcheck2-dev
- libghc-test-framework-quickcheck2-dev-0.3.0.5-39f2e
- virtueel pakket geboden door libghc-test-framework-quickcheck2-dev
- libghc-test-framework-quickcheck2-dev-0.3.0.5-66cc4
- virtueel pakket geboden door libghc-test-framework-quickcheck2-dev
- libghc-test-framework-quickcheck2-dev-0.3.0.5-fe617
- virtueel pakket geboden door libghc-test-framework-quickcheck2-dev
- libghc-test-framework-quickcheck2-doc (0.3.0.5-1)
- QuickCheck2 support for the test-framework package.; documentation
- libghc-test-framework-quickcheck2-prof (0.3.0.5-1+b2)
- QuickCheck2 support for the test-framework package.; profiling libraries
- libghc-test-framework-quickcheck2-prof-0.3.0.5-39edc
- virtueel pakket geboden door libghc-test-framework-quickcheck2-prof
- libghc-test-framework-quickcheck2-prof-0.3.0.5-39f2e
- virtueel pakket geboden door libghc-test-framework-quickcheck2-prof
- libghc-test-framework-quickcheck2-prof-0.3.0.5-66cc4
- virtueel pakket geboden door libghc-test-framework-quickcheck2-prof
- libghc-test-framework-quickcheck2-prof-0.3.0.5-fe617
- virtueel pakket geboden door libghc-test-framework-quickcheck2-prof
- libghc-test-framework-th-dev (0.2.4-11+b2)
- Automagically generate the {HUnit,Quickcheck}-bulk-code
- libghc-test-framework-th-dev-0.2.4-20733
- virtueel pakket geboden door libghc-test-framework-th-dev
- libghc-test-framework-th-dev-0.2.4-80299
- virtueel pakket geboden door libghc-test-framework-th-dev
- libghc-test-framework-th-dev-0.2.4-a2117
- virtueel pakket geboden door libghc-test-framework-th-dev
- libghc-test-framework-th-dev-0.2.4-cfc79
- virtueel pakket geboden door libghc-test-framework-th-dev
- libghc-test-framework-th-doc (0.2.4-11)
- Automagically generate the {HUnit,Quickcheck}-bulk-code; documentation
- libghc-test-framework-th-prime-dev (0.0.10-3+b2)
- Template Haskell for test framework
- libghc-test-framework-th-prime-dev-0.0.10-45cf6
- virtueel pakket geboden door libghc-test-framework-th-prime-dev
- libghc-test-framework-th-prime-dev-0.0.10-9a701
- virtueel pakket geboden door libghc-test-framework-th-prime-dev
- libghc-test-framework-th-prime-dev-0.0.10-bbb45
- virtueel pakket geboden door libghc-test-framework-th-prime-dev
- libghc-test-framework-th-prime-dev-0.0.10-d2ad3
- virtueel pakket geboden door libghc-test-framework-th-prime-dev
- libghc-test-framework-th-prime-doc (0.0.10-3)
- Template Haskell for test framework; documentation
- libghc-test-framework-th-prime-prof (0.0.10-3+b2)
- Template Haskell for test framework; profiling libraries
- libghc-test-framework-th-prime-prof-0.0.10-45cf6
- virtueel pakket geboden door libghc-test-framework-th-prime-prof
- libghc-test-framework-th-prime-prof-0.0.10-9a701
- virtueel pakket geboden door libghc-test-framework-th-prime-prof
- libghc-test-framework-th-prime-prof-0.0.10-bbb45
- virtueel pakket geboden door libghc-test-framework-th-prime-prof
- libghc-test-framework-th-prime-prof-0.0.10-d2ad3
- virtueel pakket geboden door libghc-test-framework-th-prime-prof
- libghc-test-framework-th-prof (0.2.4-11+b2)
- Automagically generate the {HUnit,Quickcheck}-bulk-code; profiling libraries
- libghc-test-framework-th-prof-0.2.4-20733
- virtueel pakket geboden door libghc-test-framework-th-prof
- libghc-test-framework-th-prof-0.2.4-80299
- virtueel pakket geboden door libghc-test-framework-th-prof
- libghc-test-framework-th-prof-0.2.4-a2117
- virtueel pakket geboden door libghc-test-framework-th-prof
- libghc-test-framework-th-prof-0.2.4-cfc79
- virtueel pakket geboden door libghc-test-framework-th-prof
- libghc-texmath-dev (0.11.1-3+b1)
- Haskell library to convert LaTeX to MathML
- libghc-texmath-dev-0.11.1-4185a
- virtueel pakket geboden door libghc-texmath-dev
- libghc-texmath-dev-0.11.1-aaf83
- virtueel pakket geboden door libghc-texmath-dev
- libghc-texmath-dev-0.11.1-c4f8d
- virtueel pakket geboden door libghc-texmath-dev
- libghc-texmath-dev-0.11.1-e67fd
- virtueel pakket geboden door libghc-texmath-dev
- libghc-texmath-doc (0.11.1-3)
- Haskell library to convert LaTeX to MathML; documentation
- libghc-texmath-prof (0.11.1-3+b1)
- Haskell library to convert LaTeX to MathML; profiling libraries
- libghc-texmath-prof-0.11.1-4185a
- virtueel pakket geboden door libghc-texmath-prof
- libghc-texmath-prof-0.11.1-aaf83
- virtueel pakket geboden door libghc-texmath-prof
- libghc-texmath-prof-0.11.1-c4f8d
- virtueel pakket geboden door libghc-texmath-prof
- libghc-texmath-prof-0.11.1-e67fd
- virtueel pakket geboden door libghc-texmath-prof
- libghc-text-binary-dev (0.2.1.1-6+b2)
- Binary instances for text types
- libghc-text-binary-dev-0.2.1.1-0986b
- virtueel pakket geboden door libghc-text-binary-dev
- libghc-text-binary-dev-0.2.1.1-ed4d0
- virtueel pakket geboden door libghc-text-binary-dev
- libghc-text-binary-doc (0.2.1.1-6)
- Binary instances for text types; documentation
- libghc-text-binary-prof (0.2.1.1-6+b2)
- Binary instances for text types; profiling libraries
- libghc-text-binary-prof-0.2.1.1-0986b
- virtueel pakket geboden door libghc-text-binary-prof
- libghc-text-binary-prof-0.2.1.1-ed4d0
- virtueel pakket geboden door libghc-text-binary-prof
- libghc-text-dev (= 1.2.3.1)
- virtueel pakket geboden door ghc
- libghc-text-dev-1.2.3.1-30bbe
- virtueel pakket geboden door ghc
- libghc-text-dev-1.2.3.1-3acd7
- virtueel pakket geboden door ghc
- libghc-text-dev-1.2.3.1-89382
- virtueel pakket geboden door ghc
- libghc-text-dev-1.2.3.1-d3c2e
- virtueel pakket geboden door ghc
- libghc-text-doc (= 1.2.3.1)
- virtueel pakket geboden door ghc-doc
- libghc-text-format-dev (0.3.2-2+b2)
- text formatting
- libghc-text-format-dev-0.3.2-33b8d
- virtueel pakket geboden door libghc-text-format-dev
- libghc-text-format-dev-0.3.2-47333
- virtueel pakket geboden door libghc-text-format-dev
- libghc-text-format-dev-0.3.2-73029
- virtueel pakket geboden door libghc-text-format-dev
- libghc-text-format-dev-0.3.2-edfc9
- virtueel pakket geboden door libghc-text-format-dev
- libghc-text-format-doc (0.3.2-2)
- text formatting; documentation
- libghc-text-format-prof (0.3.2-2+b2)
- text formatting; profiling libraries
- libghc-text-format-prof-0.3.2-33b8d
- virtueel pakket geboden door libghc-text-format-prof
- libghc-text-format-prof-0.3.2-47333
- virtueel pakket geboden door libghc-text-format-prof
- libghc-text-format-prof-0.3.2-73029
- virtueel pakket geboden door libghc-text-format-prof
- libghc-text-format-prof-0.3.2-edfc9
- virtueel pakket geboden door libghc-text-format-prof
- libghc-text-icu-dev (0.7.0.1-12+b3)
- bindings to the ICU library
- libghc-text-icu-dev-0.7.0.1-37ef5
- virtueel pakket geboden door libghc-text-icu-dev
- libghc-text-icu-dev-0.7.0.1-73095
- virtueel pakket geboden door libghc-text-icu-dev
- libghc-text-icu-dev-0.7.0.1-9c067
- virtueel pakket geboden door libghc-text-icu-dev
- libghc-text-icu-dev-0.7.0.1-bfd0d
- virtueel pakket geboden door libghc-text-icu-dev
- libghc-text-icu-doc (0.7.0.1-12)
- bindings to the ICU library; documentation
- libghc-text-icu-prof (0.7.0.1-12+b3)
- bindings to the ICU library; profiling libraries
- libghc-text-icu-prof-0.7.0.1-37ef5
- virtueel pakket geboden door libghc-text-icu-prof
- libghc-text-icu-prof-0.7.0.1-73095
- virtueel pakket geboden door libghc-text-icu-prof
- libghc-text-icu-prof-0.7.0.1-9c067
- virtueel pakket geboden door libghc-text-icu-prof
- libghc-text-icu-prof-0.7.0.1-bfd0d
- virtueel pakket geboden door libghc-text-icu-prof
- libghc-text-metrics-dev (0.3.0-4+b2)
- Calculate various string metrics efficiently
- libghc-text-metrics-dev-0.3.0-2148c
- virtueel pakket geboden door libghc-text-metrics-dev
- libghc-text-metrics-dev-0.3.0-281d9
- virtueel pakket geboden door libghc-text-metrics-dev
- libghc-text-metrics-dev-0.3.0-b4630
- virtueel pakket geboden door libghc-text-metrics-dev
- libghc-text-metrics-dev-0.3.0-b4cd4
- virtueel pakket geboden door libghc-text-metrics-dev
- libghc-text-metrics-doc (0.3.0-4)
- Calculate various string metrics efficiently; documentation
- libghc-text-metrics-prof (0.3.0-4+b2)
- Calculate various string metrics efficiently; profiling libraries
- libghc-text-metrics-prof-0.3.0-2148c
- virtueel pakket geboden door libghc-text-metrics-prof
- libghc-text-metrics-prof-0.3.0-281d9
- virtueel pakket geboden door libghc-text-metrics-prof
- libghc-text-metrics-prof-0.3.0-b4630
- virtueel pakket geboden door libghc-text-metrics-prof
- libghc-text-metrics-prof-0.3.0-b4cd4
- virtueel pakket geboden door libghc-text-metrics-prof
- libghc-text-postgresql-dev (0.0.3.1-2+b2)
- Parser and Printer of PostgreSQL extended types
- libghc-text-postgresql-dev-0.0.3.1-29229
- virtueel pakket geboden door libghc-text-postgresql-dev
- libghc-text-postgresql-dev-0.0.3.1-68163
- virtueel pakket geboden door libghc-text-postgresql-dev
- libghc-text-postgresql-dev-0.0.3.1-77b64
- virtueel pakket geboden door libghc-text-postgresql-dev
- libghc-text-postgresql-dev-0.0.3.1-cacd6
- virtueel pakket geboden door libghc-text-postgresql-dev
- libghc-text-postgresql-doc (0.0.3.1-2)
- Parser and Printer of PostgreSQL extended types; documentation
- libghc-text-postgresql-prof (0.0.3.1-2+b2)
- Parser and Printer of PostgreSQL extended types; profiling libraries
- libghc-text-postgresql-prof-0.0.3.1-29229
- virtueel pakket geboden door libghc-text-postgresql-prof
- libghc-text-postgresql-prof-0.0.3.1-68163
- virtueel pakket geboden door libghc-text-postgresql-prof
- libghc-text-postgresql-prof-0.0.3.1-77b64
- virtueel pakket geboden door libghc-text-postgresql-prof
- libghc-text-postgresql-prof-0.0.3.1-cacd6
- virtueel pakket geboden door libghc-text-postgresql-prof
- libghc-text-prof (= 1.2.3.1)
- virtueel pakket geboden door ghc-prof
- libghc-text-prof-1.2.3.1-30bbe
- virtueel pakket geboden door ghc-prof
- libghc-text-prof-1.2.3.1-3acd7
- virtueel pakket geboden door ghc-prof
- libghc-text-prof-1.2.3.1-89382
- virtueel pakket geboden door ghc-prof
- libghc-text-prof-1.2.3.1-d3c2e
- virtueel pakket geboden door ghc-prof
- libghc-text-short-dev (0.1.2-4+b2)
- memory-efficient representation of Unicode text strings
- libghc-text-short-dev-0.1.2-92ba9
- virtueel pakket geboden door libghc-text-short-dev
- libghc-text-short-dev-0.1.2-cc63e
- virtueel pakket geboden door libghc-text-short-dev
- libghc-text-short-dev-0.1.2-d2ef9
- virtueel pakket geboden door libghc-text-short-dev
- libghc-text-short-dev-0.1.2-d65a8
- virtueel pakket geboden door libghc-text-short-dev
- libghc-text-short-doc (0.1.2-4)
- memory-efficient representation of Unicode text strings; documentation
- libghc-text-short-prof (0.1.2-4+b2)
- memory-efficient representation of Unicode text strings; profiling libraries
- libghc-text-short-prof-0.1.2-92ba9
- virtueel pakket geboden door libghc-text-short-prof
- libghc-text-short-prof-0.1.2-cc63e
- virtueel pakket geboden door libghc-text-short-prof
- libghc-text-short-prof-0.1.2-d2ef9
- virtueel pakket geboden door libghc-text-short-prof
- libghc-text-short-prof-0.1.2-d65a8
- virtueel pakket geboden door libghc-text-short-prof
- libghc-text-show-dev (3.7.4-2+b1)
- efficient conversion of values into Text
- libghc-text-show-dev-3.7.4-33b34
- virtueel pakket geboden door libghc-text-show-dev
- libghc-text-show-dev-3.7.4-4e473
- virtueel pakket geboden door libghc-text-show-dev
- libghc-text-show-dev-3.7.4-c9206
- virtueel pakket geboden door libghc-text-show-dev
- libghc-text-show-dev-3.7.4-cf15b
- virtueel pakket geboden door libghc-text-show-dev
- libghc-text-show-doc (3.7.4-2)
- efficient conversion of values into Text; documentation
- libghc-text-show-prof (3.7.4-2+b1)
- efficient conversion of values into Text; profiling libraries
- libghc-text-show-prof-3.7.4-33b34
- virtueel pakket geboden door libghc-text-show-prof
- libghc-text-show-prof-3.7.4-4e473
- virtueel pakket geboden door libghc-text-show-prof
- libghc-text-show-prof-3.7.4-c9206
- virtueel pakket geboden door libghc-text-show-prof
- libghc-text-show-prof-3.7.4-cf15b
- virtueel pakket geboden door libghc-text-show-prof
- libghc-text-zipper-dev (0.10.1-4+b2)
- text editor zipper library
- libghc-text-zipper-dev-0.10.1-1a6f7
- virtueel pakket geboden door libghc-text-zipper-dev
- libghc-text-zipper-dev-0.10.1-23a64
- virtueel pakket geboden door libghc-text-zipper-dev
- libghc-text-zipper-dev-0.10.1-4a5af
- virtueel pakket geboden door libghc-text-zipper-dev
- libghc-text-zipper-dev-0.10.1-9d47b
- virtueel pakket geboden door libghc-text-zipper-dev
- libghc-text-zipper-doc (0.10.1-4)
- text editor zipper library; documentation
- libghc-text-zipper-prof (0.10.1-4+b2)
- text editor zipper library; profiling libraries
- libghc-text-zipper-prof-0.10.1-1a6f7
- virtueel pakket geboden door libghc-text-zipper-prof
- libghc-text-zipper-prof-0.10.1-23a64
- virtueel pakket geboden door libghc-text-zipper-prof
- libghc-text-zipper-prof-0.10.1-4a5af
- virtueel pakket geboden door libghc-text-zipper-prof
- libghc-text-zipper-prof-0.10.1-9d47b
- virtueel pakket geboden door libghc-text-zipper-prof
- libghc-tf-random-dev (0.5-9+b2)
- high-quality splittable pseudorandom number generator
- libghc-tf-random-dev-0.5-2967a
- virtueel pakket geboden door libghc-tf-random-dev
- libghc-tf-random-dev-0.5-356ac
- virtueel pakket geboden door libghc-tf-random-dev
- libghc-tf-random-dev-0.5-39963
- virtueel pakket geboden door libghc-tf-random-dev
- libghc-tf-random-dev-0.5-706b4
- virtueel pakket geboden door libghc-tf-random-dev
- libghc-tf-random-doc (0.5-9)
- high-quality splittable pseudorandom number generator; documentation
- libghc-tf-random-prof (0.5-9+b2)
- high-quality splittable pseudorandom number generator; profiling libraries
- libghc-tf-random-prof-0.5-2967a
- virtueel pakket geboden door libghc-tf-random-prof
- libghc-tf-random-prof-0.5-356ac
- virtueel pakket geboden door libghc-tf-random-prof
- libghc-tf-random-prof-0.5-39963
- virtueel pakket geboden door libghc-tf-random-prof
- libghc-tf-random-prof-0.5-706b4
- virtueel pakket geboden door libghc-tf-random-prof
- libghc-th-abstraction-dev (0.2.8.0-2+b2)
- nicer interface for reified information about data types
- libghc-th-abstraction-dev-0.2.8.0-613e0
- virtueel pakket geboden door libghc-th-abstraction-dev
- libghc-th-abstraction-dev-0.2.8.0-8ac09
- virtueel pakket geboden door libghc-th-abstraction-dev
- libghc-th-abstraction-dev-0.2.8.0-c8b85
- virtueel pakket geboden door libghc-th-abstraction-dev
- libghc-th-abstraction-dev-0.2.8.0-f639c
- virtueel pakket geboden door libghc-th-abstraction-dev
- libghc-th-abstraction-doc (0.2.8.0-2)
- nicer interface for reified information about data types; documentation
- libghc-th-abstraction-prof (0.2.8.0-2+b2)
- nicer interface for reified information about data types; profiling libraries
- libghc-th-abstraction-prof-0.2.8.0-613e0
- virtueel pakket geboden door libghc-th-abstraction-prof
- libghc-th-abstraction-prof-0.2.8.0-8ac09
- virtueel pakket geboden door libghc-th-abstraction-prof
- libghc-th-abstraction-prof-0.2.8.0-c8b85
- virtueel pakket geboden door libghc-th-abstraction-prof
- libghc-th-abstraction-prof-0.2.8.0-f639c
- virtueel pakket geboden door libghc-th-abstraction-prof
- libghc-th-data-compat-dev (0.0.2.7-1+b2)
- compatibility for data definition template of TH
- libghc-th-data-compat-dev-0.0.2.7-4ff74
- virtueel pakket geboden door libghc-th-data-compat-dev
- libghc-th-data-compat-dev-0.0.2.7-8bc42
- virtueel pakket geboden door libghc-th-data-compat-dev
- libghc-th-data-compat-doc (0.0.2.7-1)
- compatibility for data definition template of TH; documentation
- libghc-th-data-compat-prof (0.0.2.7-1+b2)
- compatibility for data definition template of TH; profiling libraries
- libghc-th-data-compat-prof-0.0.2.7-4ff74
- virtueel pakket geboden door libghc-th-data-compat-prof
- libghc-th-data-compat-prof-0.0.2.7-8bc42
- virtueel pakket geboden door libghc-th-data-compat-prof
- libghc-th-desugar-dev (1.8-1)
- functions to desugar Template Haskell
- libghc-th-desugar-dev-1.8-43088
- virtueel pakket geboden door libghc-th-desugar-dev
- libghc-th-desugar-dev-1.8-68bd7
- virtueel pakket geboden door libghc-th-desugar-dev
- libghc-th-desugar-dev-1.8-7f88d
- virtueel pakket geboden door libghc-th-desugar-dev
- libghc-th-desugar-dev-1.8-e5e69
- virtueel pakket geboden door libghc-th-desugar-dev
- libghc-th-desugar-doc (1.8-1)
- functions to desugar Template Haskell; documentation
- libghc-th-desugar-prof (1.8-1)
- functions to desugar Template Haskell; profiling libraries
- libghc-th-desugar-prof-1.8-43088
- virtueel pakket geboden door libghc-th-desugar-prof
- libghc-th-desugar-prof-1.8-68bd7
- virtueel pakket geboden door libghc-th-desugar-prof
- libghc-th-desugar-prof-1.8-7f88d
- virtueel pakket geboden door libghc-th-desugar-prof
- libghc-th-desugar-prof-1.8-e5e69
- virtueel pakket geboden door libghc-th-desugar-prof
- libghc-th-expand-syns-dev (0.4.4.0-3+b2)
- expands type synonyms in Template Haskell ASTs
- libghc-th-expand-syns-dev-0.4.4.0-3ee2c
- virtueel pakket geboden door libghc-th-expand-syns-dev
- libghc-th-expand-syns-dev-0.4.4.0-48cd7
- virtueel pakket geboden door libghc-th-expand-syns-dev
- libghc-th-expand-syns-dev-0.4.4.0-57e85
- virtueel pakket geboden door libghc-th-expand-syns-dev
- libghc-th-expand-syns-dev-0.4.4.0-e1ccf
- virtueel pakket geboden door libghc-th-expand-syns-dev
- libghc-th-expand-syns-doc (0.4.4.0-3)
- expands type synonyms in Template Haskell ASTs; documentation
- libghc-th-expand-syns-prof (0.4.4.0-3+b2)
- expands type synonyms in Template Haskell ASTs; profiling libraries
- libghc-th-expand-syns-prof-0.4.4.0-3ee2c
- virtueel pakket geboden door libghc-th-expand-syns-prof
- libghc-th-expand-syns-prof-0.4.4.0-48cd7
- virtueel pakket geboden door libghc-th-expand-syns-prof
- libghc-th-expand-syns-prof-0.4.4.0-57e85
- virtueel pakket geboden door libghc-th-expand-syns-prof
- libghc-th-expand-syns-prof-0.4.4.0-e1ccf
- virtueel pakket geboden door libghc-th-expand-syns-prof
- (0.0.0.4-5+b2)
- grab bag of functions for use with Template Haskell
- virtueel pakket geboden door libghc-th-extras-dev
- virtueel pakket geboden door libghc-th-extras-dev
- virtueel pakket geboden door libghc-th-extras-dev
- (0.0.0.4-5)
- grab bag of functions for use with Template Haskell; documentation
- (0.0.0.4-5+b2)
- grab bag of functions for use with Template Haskell; profiling libraries
- virtueel pakket geboden door libghc-th-extras-prof
- virtueel pakket geboden door libghc-th-extras-prof
- virtueel pakket geboden door libghc-th-extras-prof
- libghc-th-lift-dev (0.7.11-1+b2)
- derive Template Haskell's Lift class for datatypes.
- libghc-th-lift-dev-0.7.11-2126c
- virtueel pakket geboden door libghc-th-lift-dev
- libghc-th-lift-dev-0.7.11-b86e9
- virtueel pakket geboden door libghc-th-lift-dev
- libghc-th-lift-dev-0.7.11-c9609
- virtueel pakket geboden door libghc-th-lift-dev
- libghc-th-lift-doc (0.7.11-1)
- derive Template Haskell's Lift class for datatypes.; documentation
- libghc-th-lift-instances-dev (0.1.11-4+b2)
- Lift instances for template-haskell for common data types
- libghc-th-lift-instances-dev-0.1.11-48316
- virtueel pakket geboden door libghc-th-lift-instances-dev
- libghc-th-lift-instances-dev-0.1.11-4e434
- virtueel pakket geboden door libghc-th-lift-instances-dev
- libghc-th-lift-instances-dev-0.1.11-64eda
- virtueel pakket geboden door libghc-th-lift-instances-dev
- libghc-th-lift-instances-dev-0.1.11-b4822
- virtueel pakket geboden door libghc-th-lift-instances-dev
- libghc-th-lift-instances-doc (0.1.11-4)
- Lift instances for template-haskell for common data types; documentation
- libghc-th-lift-instances-prof (0.1.11-4+b2)
- Lift instances for template-haskell for common data types; profiling libraries
- libghc-th-lift-instances-prof-0.1.11-48316
- virtueel pakket geboden door libghc-th-lift-instances-prof
- libghc-th-lift-instances-prof-0.1.11-4e434
- virtueel pakket geboden door libghc-th-lift-instances-prof
- libghc-th-lift-instances-prof-0.1.11-64eda
- virtueel pakket geboden door libghc-th-lift-instances-prof
- libghc-th-lift-instances-prof-0.1.11-b4822
- virtueel pakket geboden door libghc-th-lift-instances-prof
- libghc-th-lift-prof (0.7.11-1+b2)
- derive Template Haskell's Lift class for datatypes.; profiling libraries
- libghc-th-lift-prof-0.7.11-2126c
- virtueel pakket geboden door libghc-th-lift-prof
- libghc-th-lift-prof-0.7.11-b86e9
- virtueel pakket geboden door libghc-th-lift-prof
- libghc-th-lift-prof-0.7.11-c9609
- virtueel pakket geboden door libghc-th-lift-prof
- libghc-th-orphans-dev (0.13.6-3+b2)
- orphan instances for TH datatypes
- libghc-th-orphans-dev-0.13.6-0c0d0
- virtueel pakket geboden door libghc-th-orphans-dev
- libghc-th-orphans-dev-0.13.6-1a152
- virtueel pakket geboden door libghc-th-orphans-dev
- libghc-th-orphans-dev-0.13.6-ae1f2
- virtueel pakket geboden door libghc-th-orphans-dev
- libghc-th-orphans-dev-0.13.6-b9223
- virtueel pakket geboden door libghc-th-orphans-dev
- libghc-th-orphans-doc (0.13.6-3)
- orphan instances for TH datatypes; documentation
- libghc-th-orphans-prof (0.13.6-3+b2)
- orphan instances for TH datatypes; profiling libraries
- libghc-th-orphans-prof-0.13.6-0c0d0
- virtueel pakket geboden door libghc-th-orphans-prof
- libghc-th-orphans-prof-0.13.6-1a152
- virtueel pakket geboden door libghc-th-orphans-prof
- libghc-th-orphans-prof-0.13.6-ae1f2
- virtueel pakket geboden door libghc-th-orphans-prof
- libghc-th-orphans-prof-0.13.6-b9223
- virtueel pakket geboden door libghc-th-orphans-prof
- libghc-th-reify-compat-dev (0.0.1.5-1+b2)
- compatibility for the result type of TH reify
- libghc-th-reify-compat-dev-0.0.1.5-5f9bc
- virtueel pakket geboden door libghc-th-reify-compat-dev
- libghc-th-reify-compat-dev-0.0.1.5-c9d3b
- virtueel pakket geboden door libghc-th-reify-compat-dev
- libghc-th-reify-compat-doc (0.0.1.5-1)
- compatibility for the result type of TH reify; documentation
- libghc-th-reify-compat-prof (0.0.1.5-1+b2)
- compatibility for the result type of TH reify; profiling libraries
- libghc-th-reify-compat-prof-0.0.1.5-5f9bc
- virtueel pakket geboden door libghc-th-reify-compat-prof
- libghc-th-reify-compat-prof-0.0.1.5-c9d3b
- virtueel pakket geboden door libghc-th-reify-compat-prof
- libghc-th-reify-many-dev (0.1.8-4+b2)
- recurseively reify template haskell datatype info
- libghc-th-reify-many-dev-0.1.8-d07d6
- virtueel pakket geboden door libghc-th-reify-many-dev
- libghc-th-reify-many-dev-0.1.8-ea5af
- virtueel pakket geboden door libghc-th-reify-many-dev
- libghc-th-reify-many-dev-0.1.8-eae67
- virtueel pakket geboden door libghc-th-reify-many-dev
- libghc-th-reify-many-dev-0.1.8-f0558
- virtueel pakket geboden door libghc-th-reify-many-dev
- libghc-th-reify-many-doc (0.1.8-4)
- recurseively reify template haskell datatype info; documentation
- libghc-th-reify-many-prof (0.1.8-4+b2)
- recurseively reify template haskell datatype info; profiling libraries
- libghc-th-reify-many-prof-0.1.8-d07d6
- virtueel pakket geboden door libghc-th-reify-many-prof
- libghc-th-reify-many-prof-0.1.8-ea5af
- virtueel pakket geboden door libghc-th-reify-many-prof
- libghc-th-reify-many-prof-0.1.8-eae67
- virtueel pakket geboden door libghc-th-reify-many-prof
- libghc-th-reify-many-prof-0.1.8-f0558
- virtueel pakket geboden door libghc-th-reify-many-prof
- libghc-th-utilities-dev (0.2.0.1-5+b2)
- useful functions for use with Template Haskell
- libghc-th-utilities-dev-0.2.0.1-1c08d
- virtueel pakket geboden door libghc-th-utilities-dev
- libghc-th-utilities-dev-0.2.0.1-32a13
- virtueel pakket geboden door libghc-th-utilities-dev
- libghc-th-utilities-dev-0.2.0.1-680c4
- virtueel pakket geboden door libghc-th-utilities-dev
- libghc-th-utilities-dev-0.2.0.1-e7b60
- virtueel pakket geboden door libghc-th-utilities-dev
- libghc-th-utilities-doc (0.2.0.1-5)
- useful functions for use with Template Haskell; documentation
- libghc-th-utilities-prof (0.2.0.1-5+b2)
- useful functions for use with Template Haskell; profiling libraries
- libghc-th-utilities-prof-0.2.0.1-1c08d
- virtueel pakket geboden door libghc-th-utilities-prof
- libghc-th-utilities-prof-0.2.0.1-32a13
- virtueel pakket geboden door libghc-th-utilities-prof
- libghc-th-utilities-prof-0.2.0.1-680c4
- virtueel pakket geboden door libghc-th-utilities-prof
- libghc-th-utilities-prof-0.2.0.1-e7b60
- virtueel pakket geboden door libghc-th-utilities-prof
- libghc-these-dev (0.7.4-5+b1)
- either-or-both data type
- libghc-these-dev-0.7.4-635f2
- virtueel pakket geboden door libghc-these-dev
- libghc-these-dev-0.7.4-8fab5
- virtueel pakket geboden door libghc-these-dev
- libghc-these-dev-0.7.4-94c24
- virtueel pakket geboden door libghc-these-dev
- libghc-these-dev-0.7.4-abacf
- virtueel pakket geboden door libghc-these-dev
- libghc-these-doc (0.7.4-5)
- either-or-both data type; documentation
- libghc-these-prof (0.7.4-5+b1)
- either-or-both data type; profiling libraries
- libghc-these-prof-0.7.4-635f2
- virtueel pakket geboden door libghc-these-prof
- libghc-these-prof-0.7.4-8fab5
- virtueel pakket geboden door libghc-these-prof
- libghc-these-prof-0.7.4-94c24
- virtueel pakket geboden door libghc-these-prof
- libghc-these-prof-0.7.4-abacf
- virtueel pakket geboden door libghc-these-prof
- libghc-threads-dev (0.5.1.6-3+b2)
- Fork threads and wait for their result
- libghc-threads-dev-0.5.1.6-b6e32
- virtueel pakket geboden door libghc-threads-dev
- libghc-threads-dev-0.5.1.6-c70b3
- virtueel pakket geboden door libghc-threads-dev
- libghc-threads-dev-0.5.1.6-d214e
- virtueel pakket geboden door libghc-threads-dev
- libghc-threads-doc (0.5.1.6-3)
- Fork threads and wait for their result; documentation
- libghc-threads-prof (0.5.1.6-3+b2)
- Fork threads and wait for their result; profiling libraries
- libghc-threads-prof-0.5.1.6-b6e32
- virtueel pakket geboden door libghc-threads-prof
- libghc-threads-prof-0.5.1.6-c70b3
- virtueel pakket geboden door libghc-threads-prof
- libghc-threads-prof-0.5.1.6-d214e
- virtueel pakket geboden door libghc-threads-prof
- libghc-thyme-dev (0.3.5.5-4+b1)
- faster time library
- libghc-thyme-dev-0.3.5.5-13b12
- virtueel pakket geboden door libghc-thyme-dev
- libghc-thyme-dev-0.3.5.5-3c0ea
- virtueel pakket geboden door libghc-thyme-dev
- libghc-thyme-dev-0.3.5.5-51f02
- virtueel pakket geboden door libghc-thyme-dev
- libghc-thyme-dev-0.3.5.5-a87b1
- virtueel pakket geboden door libghc-thyme-dev
- libghc-thyme-doc (0.3.5.5-4)
- faster time library; documentation
- libghc-thyme-prof (0.3.5.5-4+b1)
- faster time library; profiling libraries
- libghc-thyme-prof-0.3.5.5-13b12
- virtueel pakket geboden door libghc-thyme-prof
- libghc-thyme-prof-0.3.5.5-3c0ea
- virtueel pakket geboden door libghc-thyme-prof
- libghc-thyme-prof-0.3.5.5-51f02
- virtueel pakket geboden door libghc-thyme-prof
- libghc-thyme-prof-0.3.5.5-a87b1
- virtueel pakket geboden door libghc-thyme-prof
- libghc-tidal-dev (0.9.10-3+b1)
- pattern language for improvised music
- libghc-tidal-dev-0.9.10-2de8b
- virtueel pakket geboden door libghc-tidal-dev
- libghc-tidal-dev-0.9.10-63949
- virtueel pakket geboden door libghc-tidal-dev
- libghc-tidal-dev-0.9.10-a52ee
- virtueel pakket geboden door libghc-tidal-dev
- libghc-tidal-dev-0.9.10-b9748
- virtueel pakket geboden door libghc-tidal-dev
- libghc-tidal-doc (0.9.10-3)
- pattern language for improvised music; documentation
- libghc-tidal-prof (0.9.10-3+b1)
- pattern language for improvised music; profiling libraries
- libghc-tidal-prof-0.9.10-2de8b
- virtueel pakket geboden door libghc-tidal-prof
- libghc-tidal-prof-0.9.10-63949
- virtueel pakket geboden door libghc-tidal-prof
- libghc-tidal-prof-0.9.10-a52ee
- virtueel pakket geboden door libghc-tidal-prof
- libghc-tidal-prof-0.9.10-b9748
- virtueel pakket geboden door libghc-tidal-prof
- libghc-time-compat-dev (0.1.0.3-9+b2)
- Compatibility with old-time for the time package
- libghc-time-compat-dev-0.1.0.3-39a65
- virtueel pakket geboden door libghc-time-compat-dev
- libghc-time-compat-dev-0.1.0.3-b0a0a
- virtueel pakket geboden door libghc-time-compat-dev
- libghc-time-compat-dev-0.1.0.3-c0a47
- virtueel pakket geboden door libghc-time-compat-dev
- libghc-time-compat-dev-0.1.0.3-dd498
- virtueel pakket geboden door libghc-time-compat-dev
- libghc-time-compat-doc (0.1.0.3-9)
- Compatibility with old-time for the time package; documentation
- libghc-time-compat-prof (0.1.0.3-9+b2)
- Compatibility with old-time for the time package; profiling libraries
- libghc-time-compat-prof-0.1.0.3-39a65
- virtueel pakket geboden door libghc-time-compat-prof
- libghc-time-compat-prof-0.1.0.3-b0a0a
- virtueel pakket geboden door libghc-time-compat-prof
- libghc-time-compat-prof-0.1.0.3-c0a47
- virtueel pakket geboden door libghc-time-compat-prof
- libghc-time-compat-prof-0.1.0.3-dd498
- virtueel pakket geboden door libghc-time-compat-prof
- libghc-time-dev (= 1.8.0.2)
- virtueel pakket geboden door ghc
- libghc-time-dev-1.8.0.2-0092b
- virtueel pakket geboden door ghc
- libghc-time-dev-1.8.0.2-161f8
- virtueel pakket geboden door ghc
- libghc-time-dev-1.8.0.2-2ae9f
- virtueel pakket geboden door ghc
- libghc-time-dev-1.8.0.2-9c1c7
- virtueel pakket geboden door ghc
- libghc-time-doc (= 1.8.0.2)
- virtueel pakket geboden door ghc-doc
- libghc-time-locale-compat-dev (0.1.1.5-1+b2)
- compatibility of TimeLocale between old-locale and time-1.5
- libghc-time-locale-compat-dev-0.1.1.5-37084
- virtueel pakket geboden door libghc-time-locale-compat-dev
- libghc-time-locale-compat-dev-0.1.1.5-6bf91
- virtueel pakket geboden door libghc-time-locale-compat-dev
- libghc-time-locale-compat-dev-0.1.1.5-b7dad
- virtueel pakket geboden door libghc-time-locale-compat-dev
- libghc-time-locale-compat-dev-0.1.1.5-c5700
- virtueel pakket geboden door libghc-time-locale-compat-dev
- libghc-time-locale-compat-doc (0.1.1.5-1)
- compatibility of TimeLocale between old-locale and time-1.5; documentation
- libghc-time-locale-compat-prof (0.1.1.5-1+b2)
- compatibility of TimeLocale between old-locale and time-1.5; profiling libraries
- libghc-time-locale-compat-prof-0.1.1.5-37084
- virtueel pakket geboden door libghc-time-locale-compat-prof
- libghc-time-locale-compat-prof-0.1.1.5-6bf91
- virtueel pakket geboden door libghc-time-locale-compat-prof
- libghc-time-locale-compat-prof-0.1.1.5-b7dad
- virtueel pakket geboden door libghc-time-locale-compat-prof
- libghc-time-locale-compat-prof-0.1.1.5-c5700
- virtueel pakket geboden door libghc-time-locale-compat-prof
- libghc-time-parsers-dev (0.1.2.0-7+b1)
- parsers for types in the time library
- libghc-time-parsers-dev-0.1.2.0-4dd21
- virtueel pakket geboden door libghc-time-parsers-dev
- libghc-time-parsers-dev-0.1.2.0-67763
- virtueel pakket geboden door libghc-time-parsers-dev
- libghc-time-parsers-dev-0.1.2.0-9cb1c
- virtueel pakket geboden door libghc-time-parsers-dev
- libghc-time-parsers-dev-0.1.2.0-9dab2
- virtueel pakket geboden door libghc-time-parsers-dev
- libghc-time-parsers-doc (0.1.2.0-7)
- parsers for types in the time library; documentation
- libghc-time-parsers-prof (0.1.2.0-7+b1)
- parsers for types in the time library; profiling libraries
- libghc-time-parsers-prof-0.1.2.0-4dd21
- virtueel pakket geboden door libghc-time-parsers-prof
- libghc-time-parsers-prof-0.1.2.0-67763
- virtueel pakket geboden door libghc-time-parsers-prof
- libghc-time-parsers-prof-0.1.2.0-9cb1c
- virtueel pakket geboden door libghc-time-parsers-prof
- libghc-time-parsers-prof-0.1.2.0-9dab2
- virtueel pakket geboden door libghc-time-parsers-prof
- libghc-time-prof (= 1.8.0.2)
- virtueel pakket geboden door ghc-prof
- libghc-time-prof-1.8.0.2-0092b
- virtueel pakket geboden door ghc-prof
- libghc-time-prof-1.8.0.2-161f8
- virtueel pakket geboden door ghc-prof
- libghc-time-prof-1.8.0.2-2ae9f
- virtueel pakket geboden door ghc-prof
- libghc-time-prof-1.8.0.2-9c1c7
- virtueel pakket geboden door ghc-prof
- libghc-time-units-dev (1.0.0-2+b2)
- basic library for defining units of time as types
- libghc-time-units-dev-1.0.0-081c0
- virtueel pakket geboden door libghc-time-units-dev
- libghc-time-units-dev-1.0.0-688c8
- virtueel pakket geboden door libghc-time-units-dev
- libghc-time-units-dev-1.0.0-e2b1d
- virtueel pakket geboden door libghc-time-units-dev
- libghc-time-units-doc (1.0.0-2)
- basic library for defining units of time as types; documentation
- libghc-time-units-prof (1.0.0-2+b2)
- basic library for defining units of time as types; profiling libraries
- libghc-time-units-prof-1.0.0-081c0
- virtueel pakket geboden door libghc-time-units-prof
- libghc-time-units-prof-1.0.0-688c8
- virtueel pakket geboden door libghc-time-units-prof
- libghc-time-units-prof-1.0.0-e2b1d
- virtueel pakket geboden door libghc-time-units-prof
- libghc-tldr-dev (0.4.0-2+b2)
- Haskell tldr client
- libghc-tldr-dev-0.4.0-51f57
- virtueel pakket geboden door libghc-tldr-dev
- libghc-tldr-dev-0.4.0-a2342
- virtueel pakket geboden door libghc-tldr-dev
- libghc-tldr-dev-0.4.0-e5fe6
- virtueel pakket geboden door libghc-tldr-dev
- libghc-tldr-dev-0.4.0-ef317
- virtueel pakket geboden door libghc-tldr-dev
- libghc-tldr-doc (0.4.0-2)
- Haskell tldr client; documentation
- libghc-tldr-prof (0.4.0-2+b2)
- Haskell tldr client; profiling libraries
- libghc-tldr-prof-0.4.0-51f57
- virtueel pakket geboden door libghc-tldr-prof
- libghc-tldr-prof-0.4.0-a2342
- virtueel pakket geboden door libghc-tldr-prof
- libghc-tldr-prof-0.4.0-e5fe6
- virtueel pakket geboden door libghc-tldr-prof
- libghc-tldr-prof-0.4.0-ef317
- virtueel pakket geboden door libghc-tldr-prof
- libghc-tls-dev (1.4.1-3+b1)
- native Haskell implementation of TLS/SSL protocol
- libghc-tls-dev-1.4.1-2f83b
- virtueel pakket geboden door libghc-tls-dev
- libghc-tls-dev-1.4.1-39992
- virtueel pakket geboden door libghc-tls-dev
- libghc-tls-dev-1.4.1-8d8ba
- virtueel pakket geboden door libghc-tls-dev
- libghc-tls-dev-1.4.1-b7471
- virtueel pakket geboden door libghc-tls-dev
- libghc-tls-doc (1.4.1-3)
- native Haskell implementation of TLS/SSL protocol; documentation
- libghc-tls-prof (1.4.1-3+b1)
- native Haskell implementation of TLS/SSL protocol; profiling libraries
- libghc-tls-prof-1.4.1-2f83b
- virtueel pakket geboden door libghc-tls-prof
- libghc-tls-prof-1.4.1-39992
- virtueel pakket geboden door libghc-tls-prof
- libghc-tls-prof-1.4.1-8d8ba
- virtueel pakket geboden door libghc-tls-prof
- libghc-tls-prof-1.4.1-b7471
- virtueel pakket geboden door libghc-tls-prof
- libghc-tls-session-manager-dev (0.0.0.2-3+b1)
- in-memory TLS session manager
- libghc-tls-session-manager-dev-0.0.0.2-a1bfb
- virtueel pakket geboden door libghc-tls-session-manager-dev
- libghc-tls-session-manager-dev-0.0.0.2-b13d4
- virtueel pakket geboden door libghc-tls-session-manager-dev
- libghc-tls-session-manager-dev-0.0.0.2-ee1db
- virtueel pakket geboden door libghc-tls-session-manager-dev
- libghc-tls-session-manager-dev-0.0.0.2-f7be7
- virtueel pakket geboden door libghc-tls-session-manager-dev
- libghc-tls-session-manager-doc (0.0.0.2-3)
- in-memory TLS session manager; documentation
- libghc-tls-session-manager-prof (0.0.0.2-3+b1)
- in-memory TLS session manager; profiling libraries
- libghc-tls-session-manager-prof-0.0.0.2-a1bfb
- virtueel pakket geboden door libghc-tls-session-manager-prof
- libghc-tls-session-manager-prof-0.0.0.2-b13d4
- virtueel pakket geboden door libghc-tls-session-manager-prof
- libghc-tls-session-manager-prof-0.0.0.2-ee1db
- virtueel pakket geboden door libghc-tls-session-manager-prof
- libghc-tls-session-manager-prof-0.0.0.2-f7be7
- virtueel pakket geboden door libghc-tls-session-manager-prof
- libghc-token-bucket-dev (0.1.0.1-8+b2)
- Haskell implementation of leaky bucket rate limiting
- libghc-token-bucket-dev-0.1.0.1-18004
- virtueel pakket geboden door libghc-token-bucket-dev
- libghc-token-bucket-dev-0.1.0.1-2b10a
- virtueel pakket geboden door libghc-token-bucket-dev
- libghc-token-bucket-doc (0.1.0.1-8)
- Haskell implementation of leaky bucket rate limiting; documentation
- libghc-token-bucket-prof (0.1.0.1-8+b2)
- Haskell implementation of leaky bucket rate limiting; profiling libraries
- libghc-token-bucket-prof-0.1.0.1-18004
- virtueel pakket geboden door libghc-token-bucket-prof
- libghc-token-bucket-prof-0.1.0.1-2b10a
- virtueel pakket geboden door libghc-token-bucket-prof
- libghc-torrent-dev (10000.1.1-3+b2)
- BitTorrent file parser and generator
- libghc-torrent-dev-10000.1.1-2d8db
- virtueel pakket geboden door libghc-torrent-dev
- libghc-torrent-dev-10000.1.1-689af
- virtueel pakket geboden door libghc-torrent-dev
- libghc-torrent-dev-10000.1.1-eff78
- virtueel pakket geboden door libghc-torrent-dev
- libghc-torrent-dev-10000.1.1-fd55f
- virtueel pakket geboden door libghc-torrent-dev
- libghc-torrent-doc (10000.1.1-3)
- BitTorrent file parser and generator; documentation
- libghc-torrent-prof (10000.1.1-3+b2)
- BitTorrent file parser and generator; profiling libraries
- libghc-torrent-prof-10000.1.1-2d8db
- virtueel pakket geboden door libghc-torrent-prof
- libghc-torrent-prof-10000.1.1-689af
- virtueel pakket geboden door libghc-torrent-prof
- libghc-torrent-prof-10000.1.1-eff78
- virtueel pakket geboden door libghc-torrent-prof
- libghc-torrent-prof-10000.1.1-fd55f
- virtueel pakket geboden door libghc-torrent-prof
- libghc-transformers-base-dev (0.4.5.2-2+b2)
- lift computations from the bottom of a transformer stack
- libghc-transformers-base-dev-0.4.5.2-333e2
- virtueel pakket geboden door libghc-transformers-base-dev
- libghc-transformers-base-dev-0.4.5.2-9c404
- virtueel pakket geboden door libghc-transformers-base-dev
- libghc-transformers-base-dev-0.4.5.2-9e0d1
- virtueel pakket geboden door libghc-transformers-base-dev
- libghc-transformers-base-doc (0.4.5.2-2)
- lift computations from the bottom of a transformer stack; documentation
- libghc-transformers-base-prof (0.4.5.2-2+b2)
- lift computations from the bottom of a transformer stack; profiling libraries
- libghc-transformers-base-prof-0.4.5.2-333e2
- virtueel pakket geboden door libghc-transformers-base-prof
- libghc-transformers-base-prof-0.4.5.2-9c404
- virtueel pakket geboden door libghc-transformers-base-prof
- libghc-transformers-base-prof-0.4.5.2-9e0d1
- virtueel pakket geboden door libghc-transformers-base-prof
- libghc-transformers-compat-dev (0.6.2-1+b2)
- small compatibility shim for older versions of transformers.
- libghc-transformers-compat-dev-0.6.2-90583
- virtueel pakket geboden door libghc-transformers-compat-dev
- libghc-transformers-compat-dev-0.6.2-90ddd
- virtueel pakket geboden door libghc-transformers-compat-dev
- libghc-transformers-compat-dev-0.6.2-9b3c7
- virtueel pakket geboden door libghc-transformers-compat-dev
- libghc-transformers-compat-doc (0.6.2-1)
- small compatibility shim for older versions of transformers.; documentation
- libghc-transformers-compat-prof (0.6.2-1+b2)
- small compatibility shim for older versions of transformers.; profiling libraries
- libghc-transformers-compat-prof-0.6.2-90583
- virtueel pakket geboden door libghc-transformers-compat-prof
- libghc-transformers-compat-prof-0.6.2-90ddd
- virtueel pakket geboden door libghc-transformers-compat-prof
- libghc-transformers-compat-prof-0.6.2-9b3c7
- virtueel pakket geboden door libghc-transformers-compat-prof
- libghc-transformers-dev (= 0.5.5.0)
- virtueel pakket geboden door ghc
- libghc-transformers-dev-0.5.5.0-1fc6e
- virtueel pakket geboden door ghc
- libghc-transformers-dev-0.5.5.0-3e0fa
- virtueel pakket geboden door ghc
- libghc-transformers-dev-0.5.5.0-b5d2f
- virtueel pakket geboden door ghc
- libghc-transformers-doc (= 0.5.5.0)
- virtueel pakket geboden door ghc-doc
- libghc-transformers-prof (= 0.5.5.0)
- virtueel pakket geboden door ghc-prof
- libghc-transformers-prof-0.5.5.0-1fc6e
- virtueel pakket geboden door ghc-prof
- libghc-transformers-prof-0.5.5.0-3e0fa
- virtueel pakket geboden door ghc-prof
- libghc-transformers-prof-0.5.5.0-b5d2f
- virtueel pakket geboden door ghc-prof
- libghc-tree-monad-dev (0.3-3+b2)
- Non-Determinism Monad for Tree Search
- libghc-tree-monad-dev-0.3-211bd
- virtueel pakket geboden door libghc-tree-monad-dev
- libghc-tree-monad-dev-0.3-82093
- virtueel pakket geboden door libghc-tree-monad-dev
- libghc-tree-monad-doc (0.3-3)
- Non-Determinism Monad for Tree Search; documentation
- libghc-tree-monad-prof (0.3-3+b2)
- Non-Determinism Monad for Tree Search; profiling libraries
- libghc-tree-monad-prof-0.3-211bd
- virtueel pakket geboden door libghc-tree-monad-prof
- libghc-tree-monad-prof-0.3-82093
- virtueel pakket geboden door libghc-tree-monad-prof
- libghc-trifecta-dev (2-3+b1)
- modern parser combinator library with convenient diagnostics
- libghc-trifecta-dev-2-55fb6
- virtueel pakket geboden door libghc-trifecta-dev
- libghc-trifecta-dev-2-a7d9d
- virtueel pakket geboden door libghc-trifecta-dev
- libghc-trifecta-dev-2-bebc5
- virtueel pakket geboden door libghc-trifecta-dev
- libghc-trifecta-dev-2-eb2ff
- virtueel pakket geboden door libghc-trifecta-dev
- libghc-trifecta-doc (2-3)
- modern parser combinator library with convenient diagnostics; documentation
- libghc-trifecta-prof (2-3+b1)
- modern parser combinator library with convenient diagnostics; profiling libraries
- libghc-trifecta-prof-2-55fb6
- virtueel pakket geboden door libghc-trifecta-prof
- libghc-trifecta-prof-2-a7d9d
- virtueel pakket geboden door libghc-trifecta-prof
- libghc-trifecta-prof-2-bebc5
- virtueel pakket geboden door libghc-trifecta-prof
- libghc-trifecta-prof-2-eb2ff
- virtueel pakket geboden door libghc-trifecta-prof
- libghc-tuple-dev (0.3.0.2-2+b2)
- various functions on tuples
- libghc-tuple-dev-0.3.0.2-06fcd
- virtueel pakket geboden door libghc-tuple-dev
- libghc-tuple-dev-0.3.0.2-ae4c7
- virtueel pakket geboden door libghc-tuple-dev
- libghc-tuple-dev-0.3.0.2-e2b0e
- virtueel pakket geboden door libghc-tuple-dev
- libghc-tuple-doc (0.3.0.2-2)
- various functions on tuples; documentation
- libghc-tuple-prof (0.3.0.2-2+b2)
- various functions on tuples; profiling libraries
- libghc-tuple-prof-0.3.0.2-06fcd
- virtueel pakket geboden door libghc-tuple-prof
- libghc-tuple-prof-0.3.0.2-ae4c7
- virtueel pakket geboden door libghc-tuple-prof
- libghc-tuple-prof-0.3.0.2-e2b0e
- virtueel pakket geboden door libghc-tuple-prof
- (0.3.0-3+b1)
- Twitter API package with conduits and streaming
- virtueel pakket geboden door libghc-twitter-conduit-dev
- virtueel pakket geboden door libghc-twitter-conduit-dev
- virtueel pakket geboden door libghc-twitter-conduit-dev
- virtueel pakket geboden door libghc-twitter-conduit-dev
- (0.3.0-3)
- Twitter API package with conduits and streaming; documentation
- (0.3.0-3+b1)
- Twitter API package with conduits and streaming; profiling libraries
- virtueel pakket geboden door libghc-twitter-conduit-prof
- virtueel pakket geboden door libghc-twitter-conduit-prof
- virtueel pakket geboden door libghc-twitter-conduit-prof
- virtueel pakket geboden door libghc-twitter-conduit-prof
- (0.7.2.2-6+b1)
- Twitter JSON parser and types
- virtueel pakket geboden door libghc-twitter-types-dev
- virtueel pakket geboden door libghc-twitter-types-dev
- virtueel pakket geboden door libghc-twitter-types-dev
- virtueel pakket geboden door libghc-twitter-types-dev
- (0.7.2.2-6)
- Twitter JSON parser and types; documentation
- (0.7.2-6+b1)
- Twitter JSON types (lens powered)
- virtueel pakket geboden door libghc-twitter-types-lens-dev
- virtueel pakket geboden door libghc-twitter-types-lens-dev
- virtueel pakket geboden door libghc-twitter-types-lens-dev
- virtueel pakket geboden door libghc-twitter-types-lens-dev
- (0.7.2-6)
- Twitter JSON types (lens powered); documentation
- (0.7.2-6+b1)
- Twitter JSON types (lens powered); profiling libraries
- virtueel pakket geboden door libghc-twitter-types-lens-prof
- virtueel pakket geboden door libghc-twitter-types-lens-prof
- virtueel pakket geboden door libghc-twitter-types-lens-prof
- virtueel pakket geboden door libghc-twitter-types-lens-prof
- (0.7.2.2-6+b1)
- Twitter JSON parser and types; profiling libraries
- virtueel pakket geboden door libghc-twitter-types-prof
- virtueel pakket geboden door libghc-twitter-types-prof
- virtueel pakket geboden door libghc-twitter-types-prof
- virtueel pakket geboden door libghc-twitter-types-prof
- libghc-type-level-numbers-dev (0.1.1.1-5+b2)
- library representing integers using Haskell type families
- libghc-type-level-numbers-dev-0.1.1.1-0f73f
- virtueel pakket geboden door libghc-type-level-numbers-dev
- libghc-type-level-numbers-dev-0.1.1.1-a82af
- virtueel pakket geboden door libghc-type-level-numbers-dev
- libghc-type-level-numbers-dev-0.1.1.1-ecde6
- virtueel pakket geboden door libghc-type-level-numbers-dev
- libghc-type-level-numbers-doc (0.1.1.1-5)
- library representing integers using Haskell type families; documentation
- libghc-type-level-numbers-prof (0.1.1.1-5+b2)
- library representing integers using Haskell type families; profiling libraries
- libghc-type-level-numbers-prof-0.1.1.1-0f73f
- virtueel pakket geboden door libghc-type-level-numbers-prof
- libghc-type-level-numbers-prof-0.1.1.1-a82af
- virtueel pakket geboden door libghc-type-level-numbers-prof
- libghc-type-level-numbers-prof-0.1.1.1-ecde6
- virtueel pakket geboden door libghc-type-level-numbers-prof
- libghc-typed-process-dev (0.2.3.0-2+b2)
- run external processes, with strong typing of streams
- libghc-typed-process-dev-0.2.3.0-3a9e7
- virtueel pakket geboden door libghc-typed-process-dev
- libghc-typed-process-dev-0.2.3.0-76dd0
- virtueel pakket geboden door libghc-typed-process-dev
- libghc-typed-process-dev-0.2.3.0-b88d4
- virtueel pakket geboden door libghc-typed-process-dev
- libghc-typed-process-dev-0.2.3.0-e1f37
- virtueel pakket geboden door libghc-typed-process-dev
- libghc-typed-process-doc (0.2.3.0-2)
- run external processes, with strong typing of streams; documentation
- libghc-typed-process-prof (0.2.3.0-2+b2)
- run external processes, with strong typing of streams; profiling libraries
- libghc-typed-process-prof-0.2.3.0-3a9e7
- virtueel pakket geboden door libghc-typed-process-prof
- libghc-typed-process-prof-0.2.3.0-76dd0
- virtueel pakket geboden door libghc-typed-process-prof
- libghc-typed-process-prof-0.2.3.0-b88d4
- virtueel pakket geboden door libghc-typed-process-prof
- libghc-typed-process-prof-0.2.3.0-e1f37
- virtueel pakket geboden door libghc-typed-process-prof
- libghc-uglymemo-dev (0.1.0.1-5+b2)
- simple (but internally ugly) memoization function
- libghc-uglymemo-dev-0.1.0.1-27ff4
- virtueel pakket geboden door libghc-uglymemo-dev
- libghc-uglymemo-dev-0.1.0.1-94f0e
- virtueel pakket geboden door libghc-uglymemo-dev
- libghc-uglymemo-dev-0.1.0.1-965f6
- virtueel pakket geboden door libghc-uglymemo-dev
- libghc-uglymemo-dev-0.1.0.1-c1003
- virtueel pakket geboden door libghc-uglymemo-dev
- libghc-uglymemo-doc (0.1.0.1-5)
- simple (but internally ugly) memoization function; documentation
- libghc-uglymemo-prof (0.1.0.1-5+b2)
- simple (but internally ugly) memoization function; profiling libraries
- libghc-uglymemo-prof-0.1.0.1-27ff4
- virtueel pakket geboden door libghc-uglymemo-prof
- libghc-uglymemo-prof-0.1.0.1-94f0e
- virtueel pakket geboden door libghc-uglymemo-prof
- libghc-uglymemo-prof-0.1.0.1-965f6
- virtueel pakket geboden door libghc-uglymemo-prof
- libghc-uglymemo-prof-0.1.0.1-c1003
- virtueel pakket geboden door libghc-uglymemo-prof
- libghc-unbounded-delays-dev (0.1.1.0-3+b2)
- Unbounded thread delays and timeouts
- libghc-unbounded-delays-dev-0.1.1.0-8bf21
- virtueel pakket geboden door libghc-unbounded-delays-dev
- libghc-unbounded-delays-dev-0.1.1.0-c25fd
- virtueel pakket geboden door libghc-unbounded-delays-dev
- libghc-unbounded-delays-doc (0.1.1.0-3)
- Unbounded thread delays and timeouts; documentation
- libghc-unbounded-delays-prof (0.1.1.0-3+b2)
- Unbounded thread delays and timeouts; profiling libraries
- libghc-unbounded-delays-prof-0.1.1.0-8bf21
- virtueel pakket geboden door libghc-unbounded-delays-prof
- libghc-unbounded-delays-prof-0.1.1.0-c25fd
- virtueel pakket geboden door libghc-unbounded-delays-prof
- libghc-unexceptionalio-dev (0.4.0-1+b2)
- IO without any non-error, synchronous exceptions
- libghc-unexceptionalio-dev-0.4.0-4e5af
- virtueel pakket geboden door libghc-unexceptionalio-dev
- libghc-unexceptionalio-dev-0.4.0-5e775
- virtueel pakket geboden door libghc-unexceptionalio-dev
- libghc-unexceptionalio-dev-0.4.0-9c6ef
- virtueel pakket geboden door libghc-unexceptionalio-dev
- libghc-unexceptionalio-doc (0.4.0-1)
- IO without any non-error, synchronous exceptions; documentation
- libghc-unexceptionalio-prof (0.4.0-1+b2)
- IO without any non-error, synchronous exceptions; profiling libraries
- libghc-unexceptionalio-prof-0.4.0-4e5af
- virtueel pakket geboden door libghc-unexceptionalio-prof
- libghc-unexceptionalio-prof-0.4.0-5e775
- virtueel pakket geboden door libghc-unexceptionalio-prof
- libghc-unexceptionalio-prof-0.4.0-9c6ef
- virtueel pakket geboden door libghc-unexceptionalio-prof
- libghc-unicode-transforms-dev (0.3.4-3+b2)
- Fast Unicode 9.0 normalization in Haskell
- libghc-unicode-transforms-dev-0.3.4-18cf1
- virtueel pakket geboden door libghc-unicode-transforms-dev
- libghc-unicode-transforms-dev-0.3.4-825a3
- virtueel pakket geboden door libghc-unicode-transforms-dev
- libghc-unicode-transforms-dev-0.3.4-a0cb5
- virtueel pakket geboden door libghc-unicode-transforms-dev
- libghc-unicode-transforms-dev-0.3.4-adf7c
- virtueel pakket geboden door libghc-unicode-transforms-dev
- libghc-unicode-transforms-doc (0.3.4-3)
- Fast Unicode 9.0 normalization in Haskell; documentation
- libghc-unicode-transforms-prof (0.3.4-3+b2)
- Fast Unicode 9.0 normalization in Haskell; profiling libraries
- libghc-unicode-transforms-prof-0.3.4-18cf1
- virtueel pakket geboden door libghc-unicode-transforms-prof
- libghc-unicode-transforms-prof-0.3.4-825a3
- virtueel pakket geboden door libghc-unicode-transforms-prof
- libghc-unicode-transforms-prof-0.3.4-a0cb5
- virtueel pakket geboden door libghc-unicode-transforms-prof
- libghc-unicode-transforms-prof-0.3.4-adf7c
- virtueel pakket geboden door libghc-unicode-transforms-prof
- libghc-uniplate-dev (1.6.12-8+b2)
- A Haskell library for uniform type generic traversals
- libghc-uniplate-dev-1.6.12-162bb
- virtueel pakket geboden door libghc-uniplate-dev
- libghc-uniplate-dev-1.6.12-270a1
- virtueel pakket geboden door libghc-uniplate-dev
- libghc-uniplate-dev-1.6.12-32c16
- virtueel pakket geboden door libghc-uniplate-dev
- libghc-uniplate-dev-1.6.12-5e93e
- virtueel pakket geboden door libghc-uniplate-dev
- libghc-uniplate-doc (1.6.12-8)
- Documentation for uniform type generic traversals; documentation
- libghc-uniplate-prof (1.6.12-8+b2)
- Profiling libraries for uniform type generic traversals; profiling libraries
- libghc-uniplate-prof-1.6.12-162bb
- virtueel pakket geboden door libghc-uniplate-prof
- libghc-uniplate-prof-1.6.12-270a1
- virtueel pakket geboden door libghc-uniplate-prof
- libghc-uniplate-prof-1.6.12-32c16
- virtueel pakket geboden door libghc-uniplate-prof
- libghc-uniplate-prof-1.6.12-5e93e
- virtueel pakket geboden door libghc-uniplate-prof
- libghc-universe-base-dev (1.0.2.1-5+b2)
- a class for finite and recursively enumerable type
- libghc-universe-base-dev-1.0.2.1-dc45e
- virtueel pakket geboden door libghc-universe-base-dev
- libghc-universe-base-dev-1.0.2.1-dc51d
- virtueel pakket geboden door libghc-universe-base-dev
- libghc-universe-base-doc (1.0.2.1-5)
- a class for finite and recursively enumerable type; documentation
- libghc-universe-base-prof (1.0.2.1-5+b2)
- a class for finite and recursively enumerable type; profiling libraries
- libghc-universe-base-prof-1.0.2.1-dc45e
- virtueel pakket geboden door libghc-universe-base-prof
- libghc-universe-base-prof-1.0.2.1-dc51d
- virtueel pakket geboden door libghc-universe-base-prof
- libghc-unix-compat-dev (0.5.1-1+b2)
- Haskell portable POSIX-compatible layer
- libghc-unix-compat-dev-0.5.1-00584
- virtueel pakket geboden door libghc-unix-compat-dev
- libghc-unix-compat-dev-0.5.1-1dd95
- virtueel pakket geboden door libghc-unix-compat-dev
- libghc-unix-compat-dev-0.5.1-cc6d2
- virtueel pakket geboden door libghc-unix-compat-dev
- libghc-unix-compat-doc (0.5.1-1)
- Haskell portable POSIX-compatible layer; documentation
- libghc-unix-compat-prof (0.5.1-1+b2)
- Haskell portable POSIX-compatible layer; profiling libraries
- libghc-unix-compat-prof-0.5.1-00584
- virtueel pakket geboden door libghc-unix-compat-prof
- libghc-unix-compat-prof-0.5.1-1dd95
- virtueel pakket geboden door libghc-unix-compat-prof
- libghc-unix-compat-prof-0.5.1-cc6d2
- virtueel pakket geboden door libghc-unix-compat-prof
- libghc-unix-dev (= 2.7.2.2)
- virtueel pakket geboden door ghc
- libghc-unix-dev-2.7.2.2-50fd2
- virtueel pakket geboden door ghc
- libghc-unix-dev-2.7.2.2-79ad3
- virtueel pakket geboden door ghc
- libghc-unix-dev-2.7.2.2-9c40a
- virtueel pakket geboden door ghc
- libghc-unix-dev-2.7.2.2-fe53d
- virtueel pakket geboden door ghc
- libghc-unix-doc (= 2.7.2.2)
- virtueel pakket geboden door ghc-doc
- libghc-unix-prof (= 2.7.2.2)
- virtueel pakket geboden door ghc-prof
- libghc-unix-prof-2.7.2.2-50fd2
- virtueel pakket geboden door ghc-prof
- libghc-unix-prof-2.7.2.2-79ad3
- virtueel pakket geboden door ghc-prof
- libghc-unix-prof-2.7.2.2-9c40a
- virtueel pakket geboden door ghc-prof
- libghc-unix-prof-2.7.2.2-fe53d
- virtueel pakket geboden door ghc-prof
- libghc-unix-time-dev (0.3.8-2+b2)
- Unix time parser/formatter and utilities
- libghc-unix-time-dev-0.3.8-13df9
- virtueel pakket geboden door libghc-unix-time-dev
- libghc-unix-time-dev-0.3.8-2b977
- virtueel pakket geboden door libghc-unix-time-dev
- libghc-unix-time-dev-0.3.8-add4b
- virtueel pakket geboden door libghc-unix-time-dev
- libghc-unix-time-dev-0.3.8-aecaa
- virtueel pakket geboden door libghc-unix-time-dev
- libghc-unix-time-doc (0.3.8-2)
- Unix time parser/formatter and utilities; documentation
- libghc-unix-time-prof (0.3.8-2+b2)
- Unix time parser/formatter and utilities; profiling libraries
- libghc-unix-time-prof-0.3.8-13df9
- virtueel pakket geboden door libghc-unix-time-prof
- libghc-unix-time-prof-0.3.8-2b977
- virtueel pakket geboden door libghc-unix-time-prof
- libghc-unix-time-prof-0.3.8-add4b
- virtueel pakket geboden door libghc-unix-time-prof
- libghc-unix-time-prof-0.3.8-aecaa
- virtueel pakket geboden door libghc-unix-time-prof
- libghc-unixutils-dev (1.54.1-7+b2)
- interface between Haskell and Unix-like operating systems
- libghc-unixutils-dev-1.54.1-12cbb
- virtueel pakket geboden door libghc-unixutils-dev
- libghc-unixutils-dev-1.54.1-c2810
- virtueel pakket geboden door libghc-unixutils-dev
- libghc-unixutils-dev-1.54.1-dae80
- virtueel pakket geboden door libghc-unixutils-dev
- libghc-unixutils-dev-1.54.1-e38f0
- virtueel pakket geboden door libghc-unixutils-dev
- libghc-unixutils-doc (1.54.1-7)
- interface between Haskell and Unix-like operating systems; documentation
- libghc-unixutils-prof (1.54.1-7+b2)
- interface between Haskell and Unix-like operating systems; profiling libraries
- libghc-unixutils-prof-1.54.1-12cbb
- virtueel pakket geboden door libghc-unixutils-prof
- libghc-unixutils-prof-1.54.1-c2810
- virtueel pakket geboden door libghc-unixutils-prof
- libghc-unixutils-prof-1.54.1-dae80
- virtueel pakket geboden door libghc-unixutils-prof
- libghc-unixutils-prof-1.54.1-e38f0
- virtueel pakket geboden door libghc-unixutils-prof
- libghc-unlambda-dev (0.1.4.2-5+b2)
- Unlambda interpreter library
- libghc-unlambda-dev-0.1.4.2-77d80
- virtueel pakket geboden door libghc-unlambda-dev
- libghc-unlambda-dev-0.1.4.2-8b4cc
- virtueel pakket geboden door libghc-unlambda-dev
- libghc-unlambda-doc (0.1.4.2-5)
- Unlambda interpreter library; documentation
- libghc-unlambda-prof (0.1.4.2-5+b2)
- Unlambda interpreter library; profiling libraries
- libghc-unlambda-prof-0.1.4.2-77d80
- virtueel pakket geboden door libghc-unlambda-prof
- libghc-unlambda-prof-0.1.4.2-8b4cc
- virtueel pakket geboden door libghc-unlambda-prof
- libghc-unliftio-core-dev (0.1.2.0-1+b2)
- MonadUnliftIO typeclass for unlifting monads to IO
- libghc-unliftio-core-dev-0.1.2.0-6091c
- virtueel pakket geboden door libghc-unliftio-core-dev
- libghc-unliftio-core-dev-0.1.2.0-83b65
- virtueel pakket geboden door libghc-unliftio-core-dev
- libghc-unliftio-core-dev-0.1.2.0-b1c84
- virtueel pakket geboden door libghc-unliftio-core-dev
- libghc-unliftio-core-doc (0.1.2.0-1)
- MonadUnliftIO typeclass for unlifting monads to IO; documentation
- libghc-unliftio-core-prof (0.1.2.0-1+b2)
- MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
- libghc-unliftio-core-prof-0.1.2.0-6091c
- virtueel pakket geboden door libghc-unliftio-core-prof
- libghc-unliftio-core-prof-0.1.2.0-83b65
- virtueel pakket geboden door libghc-unliftio-core-prof
- libghc-unliftio-core-prof-0.1.2.0-b1c84
- virtueel pakket geboden door libghc-unliftio-core-prof
- libghc-unliftio-dev (0.2.8.0-2+b2)
- MonadUnliftIO typeclass for unlifting monads to IO
- libghc-unliftio-dev-0.2.8.0-59dc4
- virtueel pakket geboden door libghc-unliftio-dev
- libghc-unliftio-dev-0.2.8.0-66221
- virtueel pakket geboden door libghc-unliftio-dev
- libghc-unliftio-dev-0.2.8.0-abff5
- virtueel pakket geboden door libghc-unliftio-dev
- libghc-unliftio-dev-0.2.8.0-fbdf6
- virtueel pakket geboden door libghc-unliftio-dev
- libghc-unliftio-doc (0.2.8.0-2)
- MonadUnliftIO typeclass for unlifting monads to IO; documentation
- libghc-unliftio-prof (0.2.8.0-2+b2)
- MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
- libghc-unliftio-prof-0.2.8.0-59dc4
- virtueel pakket geboden door libghc-unliftio-prof
- libghc-unliftio-prof-0.2.8.0-66221
- virtueel pakket geboden door libghc-unliftio-prof
- libghc-unliftio-prof-0.2.8.0-abff5
- virtueel pakket geboden door libghc-unliftio-prof
- libghc-unliftio-prof-0.2.8.0-fbdf6
- virtueel pakket geboden door libghc-unliftio-prof
- libghc-unordered-containers-dev (0.2.9.0-2+b2)
- Efficient hashing-based container types
- libghc-unordered-containers-dev-0.2.9.0-1edab
- virtueel pakket geboden door libghc-unordered-containers-dev
- libghc-unordered-containers-dev-0.2.9.0-e9bb1
- virtueel pakket geboden door libghc-unordered-containers-dev
- libghc-unordered-containers-dev-0.2.9.0-eafbb
- virtueel pakket geboden door libghc-unordered-containers-dev
- libghc-unordered-containers-dev-0.2.9.0-fa7a1
- virtueel pakket geboden door libghc-unordered-containers-dev
- libghc-unordered-containers-doc (0.2.9.0-2)
- Efficient hashing-based container types; documentation
- libghc-unordered-containers-prof (0.2.9.0-2+b2)
- Efficient hashing-based container types; profiling libraries
- libghc-unordered-containers-prof-0.2.9.0-1edab
- virtueel pakket geboden door libghc-unordered-containers-prof
- libghc-unordered-containers-prof-0.2.9.0-e9bb1
- virtueel pakket geboden door libghc-unordered-containers-prof
- libghc-unordered-containers-prof-0.2.9.0-eafbb
- virtueel pakket geboden door libghc-unordered-containers-prof
- libghc-unordered-containers-prof-0.2.9.0-fa7a1
- virtueel pakket geboden door libghc-unordered-containers-prof
- libghc-uri-bytestring-aeson-dev (0.1.0.6-3+b1)
- Aeson instances for URI Bytestring
- libghc-uri-bytestring-aeson-dev-0.1.0.6-25292
- virtueel pakket geboden door libghc-uri-bytestring-aeson-dev
- libghc-uri-bytestring-aeson-dev-0.1.0.6-54598
- virtueel pakket geboden door libghc-uri-bytestring-aeson-dev
- libghc-uri-bytestring-aeson-dev-0.1.0.6-8ff1a
- virtueel pakket geboden door libghc-uri-bytestring-aeson-dev
- libghc-uri-bytestring-aeson-dev-0.1.0.6-99868
- virtueel pakket geboden door libghc-uri-bytestring-aeson-dev
- libghc-uri-bytestring-aeson-doc (0.1.0.6-3)
- Aeson instances for URI Bytestring; documentation
- libghc-uri-bytestring-aeson-prof (0.1.0.6-3+b1)
- Aeson instances for URI Bytestring; profiling libraries
- libghc-uri-bytestring-aeson-prof-0.1.0.6-25292
- virtueel pakket geboden door libghc-uri-bytestring-aeson-prof
- libghc-uri-bytestring-aeson-prof-0.1.0.6-54598
- virtueel pakket geboden door libghc-uri-bytestring-aeson-prof
- libghc-uri-bytestring-aeson-prof-0.1.0.6-8ff1a
- virtueel pakket geboden door libghc-uri-bytestring-aeson-prof
- libghc-uri-bytestring-aeson-prof-0.1.0.6-99868
- virtueel pakket geboden door libghc-uri-bytestring-aeson-prof
- libghc-uri-bytestring-dev (0.3.2.0-2+b1)
- Haskell URI parsing as ByteStrings
- libghc-uri-bytestring-dev-0.3.2.0-57315
- virtueel pakket geboden door libghc-uri-bytestring-dev
- libghc-uri-bytestring-dev-0.3.2.0-88526
- virtueel pakket geboden door libghc-uri-bytestring-dev
- libghc-uri-bytestring-dev-0.3.2.0-ba5b3
- virtueel pakket geboden door libghc-uri-bytestring-dev
- libghc-uri-bytestring-dev-0.3.2.0-ea430
- virtueel pakket geboden door libghc-uri-bytestring-dev
- libghc-uri-bytestring-doc (0.3.2.0-2)
- Haskell URI parsing as ByteStrings; documentation
- libghc-uri-bytestring-prof (0.3.2.0-2+b1)
- Haskell URI parsing as ByteStrings; profiling libraries
- libghc-uri-bytestring-prof-0.3.2.0-57315
- virtueel pakket geboden door libghc-uri-bytestring-prof
- libghc-uri-bytestring-prof-0.3.2.0-88526
- virtueel pakket geboden door libghc-uri-bytestring-prof
- libghc-uri-bytestring-prof-0.3.2.0-ba5b3
- virtueel pakket geboden door libghc-uri-bytestring-prof
- libghc-uri-bytestring-prof-0.3.2.0-ea430
- virtueel pakket geboden door libghc-uri-bytestring-prof
- libghc-uri-dev (0.1.6.4-3+b2)
- Haskell Text.URI library
- libghc-uri-dev-0.1.6.4-0118b
- virtueel pakket geboden door libghc-uri-dev
- libghc-uri-dev-0.1.6.4-53c09
- virtueel pakket geboden door libghc-uri-dev
- libghc-uri-dev-0.1.6.4-d1622
- virtueel pakket geboden door libghc-uri-dev
- libghc-uri-dev-0.1.6.4-d3d4b
- virtueel pakket geboden door libghc-uri-dev
- libghc-uri-doc (0.1.6.4-3)
- Haskell Text.URI library; documentation
- libghc-uri-encode-dev (1.5.0.5-4+b2)
- Unicode aware uri-encoding.
- libghc-uri-encode-dev-1.5.0.5-27553
- virtueel pakket geboden door libghc-uri-encode-dev
- libghc-uri-encode-dev-1.5.0.5-52ce8
- virtueel pakket geboden door libghc-uri-encode-dev
- libghc-uri-encode-dev-1.5.0.5-71c91
- virtueel pakket geboden door libghc-uri-encode-dev
- libghc-uri-encode-dev-1.5.0.5-f3eb0
- virtueel pakket geboden door libghc-uri-encode-dev
- libghc-uri-encode-doc (1.5.0.5-4)
- Unicode aware uri-encoding.; documentation
- libghc-uri-encode-prof (1.5.0.5-4+b2)
- Unicode aware uri-encoding.; profiling libraries
- libghc-uri-encode-prof-1.5.0.5-27553
- virtueel pakket geboden door libghc-uri-encode-prof
- libghc-uri-encode-prof-1.5.0.5-52ce8
- virtueel pakket geboden door libghc-uri-encode-prof
- libghc-uri-encode-prof-1.5.0.5-71c91
- virtueel pakket geboden door libghc-uri-encode-prof
- libghc-uri-encode-prof-1.5.0.5-f3eb0
- virtueel pakket geboden door libghc-uri-encode-prof
- libghc-uri-prof (0.1.6.4-3+b2)
- Haskell Text.URI library; profiling libraries
- libghc-uri-prof-0.1.6.4-0118b
- virtueel pakket geboden door libghc-uri-prof
- libghc-uri-prof-0.1.6.4-53c09
- virtueel pakket geboden door libghc-uri-prof
- libghc-uri-prof-0.1.6.4-d1622
- virtueel pakket geboden door libghc-uri-prof
- libghc-uri-prof-0.1.6.4-d3d4b
- virtueel pakket geboden door libghc-uri-prof
- libghc-url-dev (2.1.3-8+b2)
- Haskell library for working with URLs
- libghc-url-dev-2.1.3-05a5d
- virtueel pakket geboden door libghc-url-dev
- libghc-url-dev-2.1.3-2505e
- virtueel pakket geboden door libghc-url-dev
- libghc-url-doc (2.1.3-8)
- Haskell library for working with URLs; documentation
- libghc-url-prof (2.1.3-8+b2)
- Haskell library for working with URLs; profiling libraries
- libghc-url-prof-2.1.3-05a5d
- virtueel pakket geboden door libghc-url-prof
- libghc-url-prof-2.1.3-2505e
- virtueel pakket geboden door libghc-url-prof
- libghc-userid-dev (0.1.3.2-2+b1)
- The UserId type and useful instances for web development
- libghc-userid-dev-0.1.3.2-3f84a
- virtueel pakket geboden door libghc-userid-dev
- libghc-userid-dev-0.1.3.2-61382
- virtueel pakket geboden door libghc-userid-dev
- libghc-userid-dev-0.1.3.2-ec1eb
- virtueel pakket geboden door libghc-userid-dev
- libghc-userid-dev-0.1.3.2-fd90e
- virtueel pakket geboden door libghc-userid-dev
- libghc-userid-doc (0.1.3.2-2)
- The UserId type and useful instances for web development; documentation
- libghc-userid-prof (0.1.3.2-2+b1)
- The UserId type and useful instances for web development; profiling libraries
- libghc-userid-prof-0.1.3.2-3f84a
- virtueel pakket geboden door libghc-userid-prof
- libghc-userid-prof-0.1.3.2-61382
- virtueel pakket geboden door libghc-userid-prof
- libghc-userid-prof-0.1.3.2-ec1eb
- virtueel pakket geboden door libghc-userid-prof
- libghc-userid-prof-0.1.3.2-fd90e
- virtueel pakket geboden door libghc-userid-prof
- libghc-utf8-light-dev (0.4.2-8+b2)
- Lightweight UTF-8 handling library
- libghc-utf8-light-dev-0.4.2-192c6
- virtueel pakket geboden door libghc-utf8-light-dev
- libghc-utf8-light-dev-0.4.2-19c0f
- virtueel pakket geboden door libghc-utf8-light-dev
- libghc-utf8-light-dev-0.4.2-306d5
- virtueel pakket geboden door libghc-utf8-light-dev
- libghc-utf8-light-dev-0.4.2-d5bbc
- virtueel pakket geboden door libghc-utf8-light-dev
- libghc-utf8-light-doc (0.4.2-8)
- Lightweight UTF-8 handling library; documentation
- libghc-utf8-light-prof (0.4.2-8+b2)
- Lightweight UTF-8 handling library; profiling libraries
- libghc-utf8-light-prof-0.4.2-192c6
- virtueel pakket geboden door libghc-utf8-light-prof
- libghc-utf8-light-prof-0.4.2-19c0f
- virtueel pakket geboden door libghc-utf8-light-prof
- libghc-utf8-light-prof-0.4.2-306d5
- virtueel pakket geboden door libghc-utf8-light-prof
- libghc-utf8-light-prof-0.4.2-d5bbc
- virtueel pakket geboden door libghc-utf8-light-prof
- libghc-utf8-string-dev (1.0.1.1-8+b2)
- GHC libraries for the Haskell UTF-8 library
- libghc-utf8-string-dev-1.0.1.1-49e1f
- virtueel pakket geboden door libghc-utf8-string-dev
- libghc-utf8-string-dev-1.0.1.1-688f3
- virtueel pakket geboden door libghc-utf8-string-dev
- libghc-utf8-string-dev-1.0.1.1-9a5f6
- virtueel pakket geboden door libghc-utf8-string-dev
- libghc-utf8-string-dev-1.0.1.1-cc7cb
- virtueel pakket geboden door libghc-utf8-string-dev
- libghc-utf8-string-doc (1.0.1.1-8)
- GHC libraries for the Haskell UTF-8 library (documentation)
- libghc-utf8-string-prof (1.0.1.1-8+b2)
- Profiling support for the GHC Haskell UTF-8 library
- libghc-utf8-string-prof-1.0.1.1-49e1f
- virtueel pakket geboden door libghc-utf8-string-prof
- libghc-utf8-string-prof-1.0.1.1-688f3
- virtueel pakket geboden door libghc-utf8-string-prof
- libghc-utf8-string-prof-1.0.1.1-9a5f6
- virtueel pakket geboden door libghc-utf8-string-prof
- libghc-utf8-string-prof-1.0.1.1-cc7cb
- virtueel pakket geboden door libghc-utf8-string-prof
- libghc-utility-ht-dev (0.0.14-3+b2)
- small helper functions for Lists, Maybes, Tuples and Functions
- libghc-utility-ht-dev-0.0.14-95587
- virtueel pakket geboden door libghc-utility-ht-dev
- libghc-utility-ht-dev-0.0.14-9849f
- virtueel pakket geboden door libghc-utility-ht-dev
- libghc-utility-ht-doc (0.0.14-3)
- small helper functions for Lists, Maybes, Tuples and Functions; documentation
- libghc-utility-ht-prof (0.0.14-3+b2)
- profiling libraries for libghc-utility-ht-dev; profiling libraries
- libghc-utility-ht-prof-0.0.14-95587
- virtueel pakket geboden door libghc-utility-ht-prof
- libghc-utility-ht-prof-0.0.14-9849f
- virtueel pakket geboden door libghc-utility-ht-prof
- libghc-uuagc-cabal-dev (1.1.0.0-3+b2)
- Cabal plugin for UUAGC
- libghc-uuagc-cabal-dev-1.1.0.0-411bb
- virtueel pakket geboden door libghc-uuagc-cabal-dev
- libghc-uuagc-cabal-dev-1.1.0.0-4690b
- virtueel pakket geboden door libghc-uuagc-cabal-dev
- libghc-uuagc-cabal-dev-1.1.0.0-71ed4
- virtueel pakket geboden door libghc-uuagc-cabal-dev
- libghc-uuagc-cabal-dev-1.1.0.0-f6b5d
- virtueel pakket geboden door libghc-uuagc-cabal-dev
- libghc-uuagc-cabal-doc (1.1.0.0-3)
- Cabal plugin for UUAGC; documentation
- libghc-uuagc-cabal-prof (1.1.0.0-3+b2)
- Cabal plugin for UUAGC; profiling libraries
- libghc-uuagc-cabal-prof-1.1.0.0-411bb
- virtueel pakket geboden door libghc-uuagc-cabal-prof
- libghc-uuagc-cabal-prof-1.1.0.0-4690b
- virtueel pakket geboden door libghc-uuagc-cabal-prof
- libghc-uuagc-cabal-prof-1.1.0.0-71ed4
- virtueel pakket geboden door libghc-uuagc-cabal-prof
- libghc-uuagc-cabal-prof-1.1.0.0-f6b5d
- virtueel pakket geboden door libghc-uuagc-cabal-prof
- libghc-uuid-dev (1.3.13-7+b1)
- create, compare, parse and print Universally Unique Identifiers
- libghc-uuid-dev-1.3.13-30370
- virtueel pakket geboden door libghc-uuid-dev
- libghc-uuid-dev-1.3.13-5430d
- virtueel pakket geboden door libghc-uuid-dev
- libghc-uuid-dev-1.3.13-76b8f
- virtueel pakket geboden door libghc-uuid-dev
- libghc-uuid-dev-1.3.13-89834
- virtueel pakket geboden door libghc-uuid-dev
- libghc-uuid-doc (1.3.13-7)
- create, compare, parse and print UUIDs; documentation
- libghc-uuid-prof (1.3.13-7+b1)
- create, compare, parse and print UUIDs; profiling libraries
- libghc-uuid-prof-1.3.13-30370
- virtueel pakket geboden door libghc-uuid-prof
- libghc-uuid-prof-1.3.13-5430d
- virtueel pakket geboden door libghc-uuid-prof
- libghc-uuid-prof-1.3.13-76b8f
- virtueel pakket geboden door libghc-uuid-prof
- libghc-uuid-prof-1.3.13-89834
- virtueel pakket geboden door libghc-uuid-prof
- libghc-uuid-types-dev (1.0.3-11+b1)
- Type definitions for Universally Unique Identifiers
- libghc-uuid-types-dev-1.0.3-0ff91
- virtueel pakket geboden door libghc-uuid-types-dev
- libghc-uuid-types-dev-1.0.3-63b29
- virtueel pakket geboden door libghc-uuid-types-dev
- libghc-uuid-types-dev-1.0.3-6dc5c
- virtueel pakket geboden door libghc-uuid-types-dev
- libghc-uuid-types-dev-1.0.3-d84d3
- virtueel pakket geboden door libghc-uuid-types-dev
- libghc-uuid-types-doc (1.0.3-11)
- Type definitions for Universally Unique Identifiers; documentation
- libghc-uuid-types-prof (1.0.3-11+b1)
- Type definitions for Universally Unique Identifiers; profiling libraries
- libghc-uuid-types-prof-1.0.3-0ff91
- virtueel pakket geboden door libghc-uuid-types-prof
- libghc-uuid-types-prof-1.0.3-63b29
- virtueel pakket geboden door libghc-uuid-types-prof
- libghc-uuid-types-prof-1.0.3-6dc5c
- virtueel pakket geboden door libghc-uuid-types-prof
- libghc-uuid-types-prof-1.0.3-d84d3
- virtueel pakket geboden door libghc-uuid-types-prof
- libghc-uulib-dev (0.9.23-3+b2)
- parser and pretty print combinator library for GHC
- libghc-uulib-dev-0.9.23-04242
- virtueel pakket geboden door libghc-uulib-dev
- libghc-uulib-dev-0.9.23-3147a
- virtueel pakket geboden door libghc-uulib-dev
- libghc-uulib-dev-0.9.23-de1bf
- virtueel pakket geboden door libghc-uulib-dev
- libghc-uulib-dev-0.9.23-e040f
- virtueel pakket geboden door libghc-uulib-dev
- libghc-uulib-doc (0.9.23-3)
- parser and pretty print combinator library for GHC; documentation
- libghc-uulib-prof (0.9.23-3+b2)
- parser and pretty print combinator library for GHC; profiling libraries
- libghc-uulib-prof-0.9.23-04242
- virtueel pakket geboden door libghc-uulib-prof
- libghc-uulib-prof-0.9.23-3147a
- virtueel pakket geboden door libghc-uulib-prof
- libghc-uulib-prof-0.9.23-de1bf
- virtueel pakket geboden door libghc-uulib-prof
- libghc-uulib-prof-0.9.23-e040f
- virtueel pakket geboden door libghc-uulib-prof
- libghc-validity-dev (0.7.0.0-1+b2)
- validity typeclass
- libghc-validity-dev-0.7.0.0-6f43f
- virtueel pakket geboden door libghc-validity-dev
- libghc-validity-dev-0.7.0.0-84528
- virtueel pakket geboden door libghc-validity-dev
- libghc-validity-dev-0.7.0.0-9c77e
- virtueel pakket geboden door libghc-validity-dev
- libghc-validity-doc (0.7.0.0-1)
- validity typeclass; documentation
- libghc-validity-prof (0.7.0.0-1+b2)
- validity typeclass; profiling libraries
- libghc-validity-prof-0.7.0.0-6f43f
- virtueel pakket geboden door libghc-validity-prof
- libghc-validity-prof-0.7.0.0-84528
- virtueel pakket geboden door libghc-validity-prof
- libghc-validity-prof-0.7.0.0-9c77e
- virtueel pakket geboden door libghc-validity-prof
- libghc-vault-dev (0.3.1.2-1+b2)
- typed, persistent store for values of arbitrary types
- libghc-vault-dev-0.3.1.2-2f019
- virtueel pakket geboden door libghc-vault-dev
- libghc-vault-dev-0.3.1.2-d65b2
- virtueel pakket geboden door libghc-vault-dev
- libghc-vault-dev-0.3.1.2-d82eb
- virtueel pakket geboden door libghc-vault-dev
- libghc-vault-dev-0.3.1.2-df9ab
- virtueel pakket geboden door libghc-vault-dev
- libghc-vault-doc (0.3.1.2-1)
- typed, persistent store for values of arbitrary types; documentation
- libghc-vault-prof (0.3.1.2-1+b2)
- typed, persistent store for values of arbitrary types; profiling libraries
- libghc-vault-prof-0.3.1.2-2f019
- virtueel pakket geboden door libghc-vault-prof
- libghc-vault-prof-0.3.1.2-d65b2
- virtueel pakket geboden door libghc-vault-prof
- libghc-vault-prof-0.3.1.2-d82eb
- virtueel pakket geboden door libghc-vault-prof
- libghc-vault-prof-0.3.1.2-df9ab
- virtueel pakket geboden door libghc-vault-prof
- libghc-vector-algorithms-dev (0.7.0.4-2+b2)
- efficient algorithms for vector arrays
- libghc-vector-algorithms-dev-0.7.0.4-5e920
- virtueel pakket geboden door libghc-vector-algorithms-dev
- libghc-vector-algorithms-dev-0.7.0.4-69d60
- virtueel pakket geboden door libghc-vector-algorithms-dev
- libghc-vector-algorithms-dev-0.7.0.4-a62af
- virtueel pakket geboden door libghc-vector-algorithms-dev
- libghc-vector-algorithms-dev-0.7.0.4-aa60c
- virtueel pakket geboden door libghc-vector-algorithms-dev
- libghc-vector-algorithms-doc (0.7.0.4-2)
- efficient algorithms for vector arrays; documentation
- libghc-vector-algorithms-prof (0.7.0.4-2+b2)
- efficient algorithms for vector arrays; profiling libraries
- libghc-vector-algorithms-prof-0.7.0.4-5e920
- virtueel pakket geboden door libghc-vector-algorithms-prof
- libghc-vector-algorithms-prof-0.7.0.4-69d60
- virtueel pakket geboden door libghc-vector-algorithms-prof
- libghc-vector-algorithms-prof-0.7.0.4-a62af
- virtueel pakket geboden door libghc-vector-algorithms-prof
- libghc-vector-algorithms-prof-0.7.0.4-aa60c
- virtueel pakket geboden door libghc-vector-algorithms-prof
- libghc-vector-binary-instances-dev (0.2.4-3+b2 [amd64, arm64], 0.2.4-3+b1 [armhf, i386])
- instances of Data.Binary and Data.Serialize for vector
- libghc-vector-binary-instances-dev-0.2.4-2334e
- virtueel pakket geboden door libghc-vector-binary-instances-dev
- libghc-vector-binary-instances-dev-0.2.4-2d32b
- virtueel pakket geboden door libghc-vector-binary-instances-dev
- libghc-vector-binary-instances-dev-0.2.4-920fa
- virtueel pakket geboden door libghc-vector-binary-instances-dev
- libghc-vector-binary-instances-dev-0.2.4-eecee
- virtueel pakket geboden door libghc-vector-binary-instances-dev
- libghc-vector-binary-instances-doc (0.2.4-3)
- instances of Data.Binary and Data.Serialize for vector; documentation
- libghc-vector-binary-instances-prof (0.2.4-3+b2 [amd64, arm64], 0.2.4-3+b1 [armhf, i386])
- instances of Data.Binary and Data.Serialize for vector; profiling libraries
- libghc-vector-binary-instances-prof-0.2.4-2334e
- virtueel pakket geboden door libghc-vector-binary-instances-prof
- libghc-vector-binary-instances-prof-0.2.4-2d32b
- virtueel pakket geboden door libghc-vector-binary-instances-prof
- libghc-vector-binary-instances-prof-0.2.4-920fa
- virtueel pakket geboden door libghc-vector-binary-instances-prof
- libghc-vector-binary-instances-prof-0.2.4-eecee
- virtueel pakket geboden door libghc-vector-binary-instances-prof
- libghc-vector-builder-dev (0.3.6-1+b2)
- Vector builder
- libghc-vector-builder-dev-0.3.6-06d9b
- virtueel pakket geboden door libghc-vector-builder-dev
- libghc-vector-builder-dev-0.3.6-35bd1
- virtueel pakket geboden door libghc-vector-builder-dev
- libghc-vector-builder-dev-0.3.6-d0014
- virtueel pakket geboden door libghc-vector-builder-dev
- libghc-vector-builder-dev-0.3.6-e41fe
- virtueel pakket geboden door libghc-vector-builder-dev
- libghc-vector-builder-doc (0.3.6-1)
- Vector builder; documentation
- libghc-vector-builder-prof (0.3.6-1+b2)
- Vector builder; profiling libraries
- libghc-vector-builder-prof-0.3.6-06d9b
- virtueel pakket geboden door libghc-vector-builder-prof
- libghc-vector-builder-prof-0.3.6-35bd1
- virtueel pakket geboden door libghc-vector-builder-prof
- libghc-vector-builder-prof-0.3.6-d0014
- virtueel pakket geboden door libghc-vector-builder-prof
- libghc-vector-builder-prof-0.3.6-e41fe
- virtueel pakket geboden door libghc-vector-builder-prof
- libghc-vector-dev (0.12.0.1-8+b2)
- Efficient Arrays for Haskell
- libghc-vector-dev-0.12.0.1-4d111
- virtueel pakket geboden door libghc-vector-dev
- libghc-vector-dev-0.12.0.1-a5391
- virtueel pakket geboden door libghc-vector-dev
- libghc-vector-dev-0.12.0.1-b2b50
- virtueel pakket geboden door libghc-vector-dev
- libghc-vector-dev-0.12.0.1-d0ea2
- virtueel pakket geboden door libghc-vector-dev
- libghc-vector-doc (0.12.0.1-8)
- Efficient Arrays for Haskell; documentation
- libghc-vector-instances-dev (3.4-3+b1)
- orphan instances for Data.Vector
- libghc-vector-instances-dev-3.4-090a1
- virtueel pakket geboden door libghc-vector-instances-dev
- libghc-vector-instances-dev-3.4-60883
- virtueel pakket geboden door libghc-vector-instances-dev
- libghc-vector-instances-dev-3.4-b9708
- virtueel pakket geboden door libghc-vector-instances-dev
- libghc-vector-instances-dev-3.4-cc0e3
- virtueel pakket geboden door libghc-vector-instances-dev
- libghc-vector-instances-doc (3.4-3)
- orphan instances for Data.Vector; documentation
- libghc-vector-instances-prof (3.4-3+b1)
- orphan instances for Data.Vector; profiling libraries
- libghc-vector-instances-prof-3.4-090a1
- virtueel pakket geboden door libghc-vector-instances-prof
- libghc-vector-instances-prof-3.4-60883
- virtueel pakket geboden door libghc-vector-instances-prof
- libghc-vector-instances-prof-3.4-b9708
- virtueel pakket geboden door libghc-vector-instances-prof
- libghc-vector-instances-prof-3.4-cc0e3
- virtueel pakket geboden door libghc-vector-instances-prof
- libghc-vector-prof (0.12.0.1-8+b2)
- Efficient Arrays for Haskell; profiling libraries
- libghc-vector-prof-0.12.0.1-4d111
- virtueel pakket geboden door libghc-vector-prof
- libghc-vector-prof-0.12.0.1-a5391
- virtueel pakket geboden door libghc-vector-prof
- libghc-vector-prof-0.12.0.1-b2b50
- virtueel pakket geboden door libghc-vector-prof
- libghc-vector-prof-0.12.0.1-d0ea2
- virtueel pakket geboden door libghc-vector-prof
- libghc-vector-space-dev (0.13-2+b2)
- Vector & affine spaces, linear maps, and derivatives library
- libghc-vector-space-dev-0.13-5e12c
- virtueel pakket geboden door libghc-vector-space-dev
- libghc-vector-space-dev-0.13-75a16
- virtueel pakket geboden door libghc-vector-space-dev
- libghc-vector-space-dev-0.13-88e39
- virtueel pakket geboden door libghc-vector-space-dev
- libghc-vector-space-doc (0.13-2)
- Vector & affine spaces, linear maps, and derivatives library; documentation
- libghc-vector-space-points-dev (0.2.1.2-6+b1)
- A type for points in a vector space
- libghc-vector-space-points-dev-0.2.1.2-234bb
- virtueel pakket geboden door libghc-vector-space-points-dev
- libghc-vector-space-points-dev-0.2.1.2-7fb1e
- virtueel pakket geboden door libghc-vector-space-points-dev
- libghc-vector-space-points-dev-0.2.1.2-d76db
- virtueel pakket geboden door libghc-vector-space-points-dev
- libghc-vector-space-points-doc (0.2.1.2-6)
- A type for points in a vector space; documentation
- libghc-vector-space-points-prof (0.2.1.2-6+b1)
- A type for points in a vector space; profiling libraries
- libghc-vector-space-points-prof-0.2.1.2-234bb
- virtueel pakket geboden door libghc-vector-space-points-prof
- libghc-vector-space-points-prof-0.2.1.2-7fb1e
- virtueel pakket geboden door libghc-vector-space-points-prof
- libghc-vector-space-points-prof-0.2.1.2-d76db
- virtueel pakket geboden door libghc-vector-space-points-prof
- libghc-vector-space-prof (0.13-2+b2)
- Vector & affine spaces, linear maps, and derivatives library; profiling libraries
- libghc-vector-space-prof-0.13-5e12c
- virtueel pakket geboden door libghc-vector-space-prof
- libghc-vector-space-prof-0.13-75a16
- virtueel pakket geboden door libghc-vector-space-prof
- libghc-vector-space-prof-0.13-88e39
- virtueel pakket geboden door libghc-vector-space-prof
- libghc-vector-th-unbox-dev (0.2.1.6-5+b2)
- deriver for Data.Vector.Unboxed using Template Haskell
- libghc-vector-th-unbox-dev-0.2.1.6-42aa0
- virtueel pakket geboden door libghc-vector-th-unbox-dev
- libghc-vector-th-unbox-dev-0.2.1.6-8ba01
- virtueel pakket geboden door libghc-vector-th-unbox-dev
- libghc-vector-th-unbox-dev-0.2.1.6-914f3
- virtueel pakket geboden door libghc-vector-th-unbox-dev
- libghc-vector-th-unbox-doc (0.2.1.6-5)
- deriver for Data.Vector.Unboxed using Template Haskell; documentation
- libghc-vector-th-unbox-prof (0.2.1.6-5+b2)
- deriver for Data.Vector.Unboxed using Template Haskell; profiling libraries
- libghc-vector-th-unbox-prof-0.2.1.6-42aa0
- virtueel pakket geboden door libghc-vector-th-unbox-prof
- libghc-vector-th-unbox-prof-0.2.1.6-8ba01
- virtueel pakket geboden door libghc-vector-th-unbox-prof
- libghc-vector-th-unbox-prof-0.2.1.6-914f3
- virtueel pakket geboden door libghc-vector-th-unbox-prof
- libghc-void-dev (0.7.2-3+b2)
- Haskell 98 logically uninhabited data type
- libghc-void-dev-0.7.2-4e4bb
- virtueel pakket geboden door libghc-void-dev
- libghc-void-dev-0.7.2-6d0fa
- virtueel pakket geboden door libghc-void-dev
- libghc-void-dev-0.7.2-b973d
- virtueel pakket geboden door libghc-void-dev
- libghc-void-doc (0.7.2-3)
- Haskell 98 logically uninhabited data type; documentation
- libghc-void-prof (0.7.2-3+b2)
- Haskell 98 logically uninhabited data type; profiling libraries
- libghc-void-prof-0.7.2-4e4bb
- virtueel pakket geboden door libghc-void-prof
- libghc-void-prof-0.7.2-6d0fa
- virtueel pakket geboden door libghc-void-prof
- libghc-void-prof-0.7.2-b973d
- virtueel pakket geboden door libghc-void-prof
- libghc-vty-dev (5.21-3+b2)
- Terminal interface library for ghc
- libghc-vty-dev-5.21-54593
- virtueel pakket geboden door libghc-vty-dev
- libghc-vty-dev-5.21-5672c
- virtueel pakket geboden door libghc-vty-dev
- libghc-vty-dev-5.21-7a100
- virtueel pakket geboden door libghc-vty-dev
- libghc-vty-dev-5.21-f77c7
- virtueel pakket geboden door libghc-vty-dev
- libghc-vty-doc (5.21-3)
- Terminal interface library for ghc; documentation
- libghc-vty-prof (5.21-3+b2)
- Terminal interface library for ghc; profiling libraries
- libghc-vty-prof-5.21-54593
- virtueel pakket geboden door libghc-vty-prof
- libghc-vty-prof-5.21-5672c
- virtueel pakket geboden door libghc-vty-prof
- libghc-vty-prof-5.21-7a100
- virtueel pakket geboden door libghc-vty-prof
- libghc-vty-prof-5.21-f77c7
- virtueel pakket geboden door libghc-vty-prof
- libghc-wai-app-file-cgi-dev (3.1.6-2+b1)
- file/CGI app of WAI
- libghc-wai-app-file-cgi-dev-3.1.6-07fc3
- virtueel pakket geboden door libghc-wai-app-file-cgi-dev
- libghc-wai-app-file-cgi-dev-3.1.6-1b3e9
- virtueel pakket geboden door libghc-wai-app-file-cgi-dev
- libghc-wai-app-file-cgi-dev-3.1.6-28354
- virtueel pakket geboden door libghc-wai-app-file-cgi-dev
- libghc-wai-app-file-cgi-dev-3.1.6-e0387
- virtueel pakket geboden door libghc-wai-app-file-cgi-dev
- libghc-wai-app-file-cgi-doc (3.1.6-2)
- file/CGI app of WAI; documentation
- libghc-wai-app-file-cgi-prof (3.1.6-2+b1)
- file/CGI app of WAI; profiling libraries
- libghc-wai-app-file-cgi-prof-3.1.6-07fc3
- virtueel pakket geboden door libghc-wai-app-file-cgi-prof
- libghc-wai-app-file-cgi-prof-3.1.6-1b3e9
- virtueel pakket geboden door libghc-wai-app-file-cgi-prof
- libghc-wai-app-file-cgi-prof-3.1.6-28354
- virtueel pakket geboden door libghc-wai-app-file-cgi-prof
- libghc-wai-app-file-cgi-prof-3.1.6-e0387
- virtueel pakket geboden door libghc-wai-app-file-cgi-prof
- libghc-wai-app-static-dev (3.1.6.2-3+b1)
- framework for type-safe, RESTful web applications
- libghc-wai-app-static-dev-3.1.6.2-3604b
- virtueel pakket geboden door libghc-wai-app-static-dev
- libghc-wai-app-static-dev-3.1.6.2-488a4
- virtueel pakket geboden door libghc-wai-app-static-dev
- libghc-wai-app-static-dev-3.1.6.2-7325b
- virtueel pakket geboden door libghc-wai-app-static-dev
- libghc-wai-app-static-dev-3.1.6.2-caa27
- virtueel pakket geboden door libghc-wai-app-static-dev
- libghc-wai-app-static-doc (3.1.6.2-3)
- framework for type-safe, RESTful web applications; documentation
- libghc-wai-app-static-prof (3.1.6.2-3+b1)
- framework for type-safe, RESTful web applications; profiling libraries
- libghc-wai-app-static-prof-3.1.6.2-3604b
- virtueel pakket geboden door libghc-wai-app-static-prof
- libghc-wai-app-static-prof-3.1.6.2-488a4
- virtueel pakket geboden door libghc-wai-app-static-prof
- libghc-wai-app-static-prof-3.1.6.2-7325b
- virtueel pakket geboden door libghc-wai-app-static-prof
- libghc-wai-app-static-prof-3.1.6.2-caa27
- virtueel pakket geboden door libghc-wai-app-static-prof
- libghc-wai-conduit-dev (3.0.0.4-2+b1)
- conduit wrappers for WAI
- libghc-wai-conduit-dev-3.0.0.4-84f8e
- virtueel pakket geboden door libghc-wai-conduit-dev
- libghc-wai-conduit-dev-3.0.0.4-88499
- virtueel pakket geboden door libghc-wai-conduit-dev
- libghc-wai-conduit-dev-3.0.0.4-a0645
- virtueel pakket geboden door libghc-wai-conduit-dev
- libghc-wai-conduit-dev-3.0.0.4-b01c8
- virtueel pakket geboden door libghc-wai-conduit-dev
- libghc-wai-conduit-doc (3.0.0.4-2)
- conduit wrappers for WAI; documentation
- libghc-wai-conduit-prof (3.0.0.4-2+b1)
- conduit wrappers for WAI; profiling libraries
- libghc-wai-conduit-prof-3.0.0.4-84f8e
- virtueel pakket geboden door libghc-wai-conduit-prof
- libghc-wai-conduit-prof-3.0.0.4-88499
- virtueel pakket geboden door libghc-wai-conduit-prof
- libghc-wai-conduit-prof-3.0.0.4-a0645
- virtueel pakket geboden door libghc-wai-conduit-prof
- libghc-wai-conduit-prof-3.0.0.4-b01c8
- virtueel pakket geboden door libghc-wai-conduit-prof
- libghc-wai-dev (3.2.1.2-3+b1)
- web application interface
- libghc-wai-dev-3.2.1.2-0f258
- virtueel pakket geboden door libghc-wai-dev
- libghc-wai-dev-3.2.1.2-53c3d
- virtueel pakket geboden door libghc-wai-dev
- libghc-wai-dev-3.2.1.2-72ff6
- virtueel pakket geboden door libghc-wai-dev
- libghc-wai-dev-3.2.1.2-c7e3c
- virtueel pakket geboden door libghc-wai-dev
- libghc-wai-doc (3.2.1.2-3)
- web application interface; documentation
- (3.0.24.2-2+b1)
- some basic WAI handlers and middleware
- virtueel pakket geboden door libghc-wai-extra-dev
- virtueel pakket geboden door libghc-wai-extra-dev
- virtueel pakket geboden door libghc-wai-extra-dev
- virtueel pakket geboden door libghc-wai-extra-dev
- (3.0.24.2-2)
- some basic WAI handlers and middleware; documentation
- (3.0.24.2-2+b1)
- some basic WAI handlers and middleware; profiling libraries
- virtueel pakket geboden door libghc-wai-extra-prof
- virtueel pakket geboden door libghc-wai-extra-prof
- virtueel pakket geboden door libghc-wai-extra-prof
- virtueel pakket geboden door libghc-wai-extra-prof
- libghc-wai-handler-launch-dev (3.0.2.4-1+b1)
- WAI handler for launching in a web browser
- libghc-wai-handler-launch-dev-3.0.2.4-26a34
- virtueel pakket geboden door libghc-wai-handler-launch-dev
- libghc-wai-handler-launch-dev-3.0.2.4-90e0f
- virtueel pakket geboden door libghc-wai-handler-launch-dev
- libghc-wai-handler-launch-dev-3.0.2.4-ab65a
- virtueel pakket geboden door libghc-wai-handler-launch-dev
- libghc-wai-handler-launch-dev-3.0.2.4-ba840
- virtueel pakket geboden door libghc-wai-handler-launch-dev
- libghc-wai-handler-launch-doc (3.0.2.4-1)
- Short description of wai-handler-launch; documentation
- libghc-wai-handler-launch-prof (3.0.2.4-1+b1)
- Short description of wai-handler-launch; profiling libraries
- libghc-wai-handler-launch-prof-3.0.2.4-26a34
- virtueel pakket geboden door libghc-wai-handler-launch-prof
- libghc-wai-handler-launch-prof-3.0.2.4-90e0f
- virtueel pakket geboden door libghc-wai-handler-launch-prof
- libghc-wai-handler-launch-prof-3.0.2.4-ab65a
- virtueel pakket geboden door libghc-wai-handler-launch-prof
- libghc-wai-handler-launch-prof-3.0.2.4-ba840
- virtueel pakket geboden door libghc-wai-handler-launch-prof
- (0.1.0-3+b1)
- WAI utilities for HTTP/2
- virtueel pakket geboden door libghc-wai-http2-extra-dev
- virtueel pakket geboden door libghc-wai-http2-extra-dev
- virtueel pakket geboden door libghc-wai-http2-extra-dev
- virtueel pakket geboden door libghc-wai-http2-extra-dev
- (0.1.0-3)
- WAI utilities for HTTP/2; documentation
- (0.1.0-3+b1)
- WAI utilities for HTTP/2; profiling libraries
- virtueel pakket geboden door libghc-wai-http2-extra-prof
- virtueel pakket geboden door libghc-wai-http2-extra-prof
- virtueel pakket geboden door libghc-wai-http2-extra-prof
- virtueel pakket geboden door libghc-wai-http2-extra-prof
- libghc-wai-logger-dev (2.3.2-2+b1)
- logging system for WAI
- libghc-wai-logger-dev-2.3.2-30841
- virtueel pakket geboden door libghc-wai-logger-dev
- libghc-wai-logger-dev-2.3.2-da028
- virtueel pakket geboden door libghc-wai-logger-dev
- libghc-wai-logger-dev-2.3.2-e382a
- virtueel pakket geboden door libghc-wai-logger-dev
- libghc-wai-logger-dev-2.3.2-f9499
- virtueel pakket geboden door libghc-wai-logger-dev
- libghc-wai-logger-doc (2.3.2-2)
- logging system for WAI; documentation
- libghc-wai-logger-prof (2.3.2-2+b1)
- logging system for WAI; profiling libraries
- libghc-wai-logger-prof-2.3.2-30841
- virtueel pakket geboden door libghc-wai-logger-prof
- libghc-wai-logger-prof-2.3.2-da028
- virtueel pakket geboden door libghc-wai-logger-prof
- libghc-wai-logger-prof-2.3.2-e382a
- virtueel pakket geboden door libghc-wai-logger-prof
- libghc-wai-logger-prof-2.3.2-f9499
- virtueel pakket geboden door libghc-wai-logger-prof
- libghc-wai-prof (3.2.1.2-3+b1)
- web application interface; profiling libraries
- libghc-wai-prof-3.2.1.2-0f258
- virtueel pakket geboden door libghc-wai-prof
- libghc-wai-prof-3.2.1.2-53c3d
- virtueel pakket geboden door libghc-wai-prof
- libghc-wai-prof-3.2.1.2-72ff6
- virtueel pakket geboden door libghc-wai-prof
- libghc-wai-prof-3.2.1.2-c7e3c
- virtueel pakket geboden door libghc-wai-prof
- libghc-wai-websockets-dev (3.0.1.2-3+b1)
- bridge between WAI and the haskell websockets library
- libghc-wai-websockets-dev-3.0.1.2-46556
- virtueel pakket geboden door libghc-wai-websockets-dev
- libghc-wai-websockets-dev-3.0.1.2-915d7
- virtueel pakket geboden door libghc-wai-websockets-dev
- libghc-wai-websockets-dev-3.0.1.2-c19ce
- virtueel pakket geboden door libghc-wai-websockets-dev
- libghc-wai-websockets-dev-3.0.1.2-e59d3
- virtueel pakket geboden door libghc-wai-websockets-dev
- libghc-wai-websockets-doc (3.0.1.2-3)
- bridge between WAI and the haskell websockets library; documentation
- libghc-wai-websockets-prof (3.0.1.2-3+b1)
- bridge between WAI and the haskell websockets library; profiling libraries
- libghc-wai-websockets-prof-3.0.1.2-46556
- virtueel pakket geboden door libghc-wai-websockets-prof
- libghc-wai-websockets-prof-3.0.1.2-915d7
- virtueel pakket geboden door libghc-wai-websockets-prof
- libghc-wai-websockets-prof-3.0.1.2-c19ce
- virtueel pakket geboden door libghc-wai-websockets-prof
- libghc-wai-websockets-prof-3.0.1.2-e59d3
- virtueel pakket geboden door libghc-wai-websockets-prof
- libghc-warp-dev (3.2.25-2+b1)
- fast, light-weight web server for WAI applications
- libghc-warp-dev-3.2.25-08ead
- virtueel pakket geboden door libghc-warp-dev
- libghc-warp-dev-3.2.25-27bf3
- virtueel pakket geboden door libghc-warp-dev
- libghc-warp-dev-3.2.25-590b9
- virtueel pakket geboden door libghc-warp-dev
- libghc-warp-dev-3.2.25-6e1f2
- virtueel pakket geboden door libghc-warp-dev
- libghc-warp-doc (3.2.25-2)
- fast, light-weight web server for WAI applications; documentation
- libghc-warp-prof (3.2.25-2+b1)
- fast, light-weight web server for WAI applications; profiling libraries
- libghc-warp-prof-3.2.25-08ead
- virtueel pakket geboden door libghc-warp-prof
- libghc-warp-prof-3.2.25-27bf3
- virtueel pakket geboden door libghc-warp-prof
- libghc-warp-prof-3.2.25-590b9
- virtueel pakket geboden door libghc-warp-prof
- libghc-warp-prof-3.2.25-6e1f2
- virtueel pakket geboden door libghc-warp-prof
- libghc-warp-tls-dev (3.2.4.3-2+b1)
- SSL/TLS support for Warp
- libghc-warp-tls-dev-3.2.4.3-5b114
- virtueel pakket geboden door libghc-warp-tls-dev
- libghc-warp-tls-dev-3.2.4.3-72649
- virtueel pakket geboden door libghc-warp-tls-dev
- libghc-warp-tls-dev-3.2.4.3-8c353
- virtueel pakket geboden door libghc-warp-tls-dev
- libghc-warp-tls-dev-3.2.4.3-ace21
- virtueel pakket geboden door libghc-warp-tls-dev
- libghc-warp-tls-doc (3.2.4.3-2)
- SSL/TLS support for Warp; documentation
- libghc-warp-tls-prof (3.2.4.3-2+b1)
- SSL/TLS support for Warp; profiling libraries
- libghc-warp-tls-prof-3.2.4.3-5b114
- virtueel pakket geboden door libghc-warp-tls-prof
- libghc-warp-tls-prof-3.2.4.3-72649
- virtueel pakket geboden door libghc-warp-tls-prof
- libghc-warp-tls-prof-3.2.4.3-8c353
- virtueel pakket geboden door libghc-warp-tls-prof
- libghc-warp-tls-prof-3.2.4.3-ace21
- virtueel pakket geboden door libghc-warp-tls-prof
- libghc-wcwidth-dev (0.0.2-1+b1)
- bindings for system's native wcwidth
- libghc-wcwidth-dev-0.0.2-10566
- virtueel pakket geboden door libghc-wcwidth-dev
- libghc-wcwidth-dev-0.0.2-1d1e8
- virtueel pakket geboden door libghc-wcwidth-dev
- libghc-wcwidth-dev-0.0.2-73da2
- virtueel pakket geboden door libghc-wcwidth-dev
- libghc-wcwidth-dev-0.0.2-d1294
- virtueel pakket geboden door libghc-wcwidth-dev
- libghc-wcwidth-doc (0.0.2-1)
- bindings for system's native wcwidth; documentation
- libghc-wcwidth-prof (0.0.2-1+b1)
- bindings for system's native wcwidth; profiling libraries
- libghc-wcwidth-prof-0.0.2-10566
- virtueel pakket geboden door libghc-wcwidth-prof
- libghc-wcwidth-prof-0.0.2-1d1e8
- virtueel pakket geboden door libghc-wcwidth-prof
- libghc-wcwidth-prof-0.0.2-73da2
- virtueel pakket geboden door libghc-wcwidth-prof
- libghc-wcwidth-prof-0.0.2-d1294
- virtueel pakket geboden door libghc-wcwidth-prof
- libghc-web-routes-boomerang-dev (0.28.4.2-7+b1)
- correctness and composability of application URLs
- libghc-web-routes-boomerang-dev-0.28.4.2-2ba5a
- virtueel pakket geboden door libghc-web-routes-boomerang-dev
- libghc-web-routes-boomerang-dev-0.28.4.2-4f58c
- virtueel pakket geboden door libghc-web-routes-boomerang-dev
- libghc-web-routes-boomerang-dev-0.28.4.2-81f0b
- virtueel pakket geboden door libghc-web-routes-boomerang-dev
- libghc-web-routes-boomerang-dev-0.28.4.2-c0ea6
- virtueel pakket geboden door libghc-web-routes-boomerang-dev
- libghc-web-routes-boomerang-doc (0.28.4.2-7)
- correctness and composability of application URLs; documentation
- libghc-web-routes-boomerang-prof (0.28.4.2-7+b1)
- correctness and composability of application URLs; profiling libraries
- libghc-web-routes-boomerang-prof-0.28.4.2-2ba5a
- virtueel pakket geboden door libghc-web-routes-boomerang-prof
- libghc-web-routes-boomerang-prof-0.28.4.2-4f58c
- virtueel pakket geboden door libghc-web-routes-boomerang-prof
- libghc-web-routes-boomerang-prof-0.28.4.2-81f0b
- virtueel pakket geboden door libghc-web-routes-boomerang-prof
- libghc-web-routes-boomerang-prof-0.28.4.2-c0ea6
- virtueel pakket geboden door libghc-web-routes-boomerang-prof
- libghc-web-routes-dev (0.27.14.2-5+b1)
- library for correctness/composability of URLs
- libghc-web-routes-dev-0.27.14.2-38a36
- virtueel pakket geboden door libghc-web-routes-dev
- libghc-web-routes-dev-0.27.14.2-809b6
- virtueel pakket geboden door libghc-web-routes-dev
- libghc-web-routes-dev-0.27.14.2-c221b
- virtueel pakket geboden door libghc-web-routes-dev
- libghc-web-routes-dev-0.27.14.2-e5db7
- virtueel pakket geboden door libghc-web-routes-dev
- libghc-web-routes-doc (0.27.14.2-5)
- library for correctness/composability of URLs; documentation
- libghc-web-routes-happstack-dev (0.23.11-4+b1)
- web-routes support for Happstack
- libghc-web-routes-happstack-dev-0.23.11-1c97d
- virtueel pakket geboden door libghc-web-routes-happstack-dev
- libghc-web-routes-happstack-dev-0.23.11-38e56
- virtueel pakket geboden door libghc-web-routes-happstack-dev
- libghc-web-routes-happstack-dev-0.23.11-58ece
- virtueel pakket geboden door libghc-web-routes-happstack-dev
- libghc-web-routes-happstack-dev-0.23.11-5a52e
- virtueel pakket geboden door libghc-web-routes-happstack-dev
- libghc-web-routes-happstack-doc (0.23.11-4)
- web-routes support for Happstack; documentation
- libghc-web-routes-happstack-prof (0.23.11-4+b1)
- web-routes support for Happstack; profiling libraries
- libghc-web-routes-happstack-prof-0.23.11-1c97d
- virtueel pakket geboden door libghc-web-routes-happstack-prof
- libghc-web-routes-happstack-prof-0.23.11-38e56
- virtueel pakket geboden door libghc-web-routes-happstack-prof
- libghc-web-routes-happstack-prof-0.23.11-58ece
- virtueel pakket geboden door libghc-web-routes-happstack-prof
- libghc-web-routes-happstack-prof-0.23.11-5a52e
- virtueel pakket geboden door libghc-web-routes-happstack-prof
- libghc-web-routes-hsp-dev (0.24.6.1-6+b1)
- XMLGenerator instance for RouteT monad
- libghc-web-routes-hsp-dev-0.24.6.1-1f94f
- virtueel pakket geboden door libghc-web-routes-hsp-dev
- libghc-web-routes-hsp-dev-0.24.6.1-54780
- virtueel pakket geboden door libghc-web-routes-hsp-dev
- libghc-web-routes-hsp-dev-0.24.6.1-8c5a2
- virtueel pakket geboden door libghc-web-routes-hsp-dev
- libghc-web-routes-hsp-dev-0.24.6.1-a0e3e
- virtueel pakket geboden door libghc-web-routes-hsp-dev
- libghc-web-routes-hsp-doc (0.24.6.1-6)
- XMLGenerator instance for RouteT monad; documentation
- libghc-web-routes-hsp-prof (0.24.6.1-6+b1)
- XMLGenerator instance for RouteT monad; profiling libraries
- libghc-web-routes-hsp-prof-0.24.6.1-1f94f
- virtueel pakket geboden door libghc-web-routes-hsp-prof
- libghc-web-routes-hsp-prof-0.24.6.1-54780
- virtueel pakket geboden door libghc-web-routes-hsp-prof
- libghc-web-routes-hsp-prof-0.24.6.1-8c5a2
- virtueel pakket geboden door libghc-web-routes-hsp-prof
- libghc-web-routes-hsp-prof-0.24.6.1-a0e3e
- virtueel pakket geboden door libghc-web-routes-hsp-prof
- libghc-web-routes-prof (0.27.14.2-5+b1)
- library for correctness/composability of URLs; profiling libraries
- libghc-web-routes-prof-0.27.14.2-38a36
- virtueel pakket geboden door libghc-web-routes-prof
- libghc-web-routes-prof-0.27.14.2-809b6
- virtueel pakket geboden door libghc-web-routes-prof
- libghc-web-routes-prof-0.27.14.2-c221b
- virtueel pakket geboden door libghc-web-routes-prof
- libghc-web-routes-prof-0.27.14.2-e5db7
- virtueel pakket geboden door libghc-web-routes-prof
- libghc-web-routes-th-dev (0.22.6.3-4+b1)
- support for deriving PathInfo using Template Haskell
- libghc-web-routes-th-dev-0.22.6.3-649a3
- virtueel pakket geboden door libghc-web-routes-th-dev
- libghc-web-routes-th-dev-0.22.6.3-9810c
- virtueel pakket geboden door libghc-web-routes-th-dev
- libghc-web-routes-th-dev-0.22.6.3-a145c
- virtueel pakket geboden door libghc-web-routes-th-dev
- libghc-web-routes-th-dev-0.22.6.3-f8221
- virtueel pakket geboden door libghc-web-routes-th-dev
- libghc-web-routes-th-doc (0.22.6.3-4)
- support for deriving PathInfo using Template Haskell; documentation
- libghc-web-routes-th-prof (0.22.6.3-4+b1)
- support for deriving PathInfo using Template Haskell; profiling libraries
- libghc-web-routes-th-prof-0.22.6.3-649a3
- virtueel pakket geboden door libghc-web-routes-th-prof
- libghc-web-routes-th-prof-0.22.6.3-9810c
- virtueel pakket geboden door libghc-web-routes-th-prof
- libghc-web-routes-th-prof-0.22.6.3-a145c
- virtueel pakket geboden door libghc-web-routes-th-prof
- libghc-web-routes-th-prof-0.22.6.3-f8221
- virtueel pakket geboden door libghc-web-routes-th-prof
- libghc-websockets-dev (0.12.5.1-3+b1)
- write WebSocket-capable servers
- libghc-websockets-dev-0.12.5.1-3c4cf
- virtueel pakket geboden door libghc-websockets-dev
- libghc-websockets-dev-0.12.5.1-ca566
- virtueel pakket geboden door libghc-websockets-dev
- libghc-websockets-dev-0.12.5.1-dee90
- virtueel pakket geboden door libghc-websockets-dev
- libghc-websockets-dev-0.12.5.1-e66e3
- virtueel pakket geboden door libghc-websockets-dev
- libghc-websockets-doc (0.12.5.1-3)
- write WebSocket-capable servers; documentation
- libghc-websockets-prof (0.12.5.1-3+b1)
- write WebSocket-capable servers; profiling libraries
- libghc-websockets-prof-0.12.5.1-3c4cf
- virtueel pakket geboden door libghc-websockets-prof
- libghc-websockets-prof-0.12.5.1-ca566
- virtueel pakket geboden door libghc-websockets-prof
- libghc-websockets-prof-0.12.5.1-dee90
- virtueel pakket geboden door libghc-websockets-prof
- libghc-websockets-prof-0.12.5.1-e66e3
- virtueel pakket geboden door libghc-websockets-prof
- libghc-weigh-dev (0.0.12-3+b2)
- measure allocations of a Haskell functions/values
- libghc-weigh-dev-0.0.12-3b58d
- virtueel pakket geboden door libghc-weigh-dev
- libghc-weigh-dev-0.0.12-738f1
- virtueel pakket geboden door libghc-weigh-dev
- libghc-weigh-dev-0.0.12-b05cb
- virtueel pakket geboden door libghc-weigh-dev
- libghc-weigh-dev-0.0.12-b6fba
- virtueel pakket geboden door libghc-weigh-dev
- libghc-weigh-doc (0.0.12-3)
- measure allocations of a Haskell functions/values; documentation
- libghc-weigh-prof (0.0.12-3+b2)
- measure allocations of a Haskell functions/values; profiling libraries
- libghc-weigh-prof-0.0.12-3b58d
- virtueel pakket geboden door libghc-weigh-prof
- libghc-weigh-prof-0.0.12-738f1
- virtueel pakket geboden door libghc-weigh-prof
- libghc-weigh-prof-0.0.12-b05cb
- virtueel pakket geboden door libghc-weigh-prof
- libghc-weigh-prof-0.0.12-b6fba
- virtueel pakket geboden door libghc-weigh-prof
- libghc-werewolf-dev (1.5.2.0-4+b1)
- game engine for playing werewolf within a chat client
- libghc-werewolf-dev-1.5.2.0-55983
- virtueel pakket geboden door libghc-werewolf-dev
- libghc-werewolf-dev-1.5.2.0-55a2e
- virtueel pakket geboden door libghc-werewolf-dev
- libghc-werewolf-dev-1.5.2.0-721f4
- virtueel pakket geboden door libghc-werewolf-dev
- libghc-werewolf-dev-1.5.2.0-d7dd6
- virtueel pakket geboden door libghc-werewolf-dev
- libghc-werewolf-doc (1.5.2.0-4)
- game engine for playing werewolf within a chat client; documentation
- libghc-werewolf-prof (1.5.2.0-4+b1)
- game engine for playing werewolf within a chat client; profiling libraries
- libghc-werewolf-prof-1.5.2.0-55983
- virtueel pakket geboden door libghc-werewolf-prof
- libghc-werewolf-prof-1.5.2.0-55a2e
- virtueel pakket geboden door libghc-werewolf-prof
- libghc-werewolf-prof-1.5.2.0-721f4
- virtueel pakket geboden door libghc-werewolf-prof
- libghc-werewolf-prof-1.5.2.0-d7dd6
- virtueel pakket geboden door libghc-werewolf-prof
- libghc-with-location-dev (0.1.0-5+b2)
- ImplicitParams-based source locations
- libghc-with-location-dev-0.1.0-64c17
- virtueel pakket geboden door libghc-with-location-dev
- libghc-with-location-dev-0.1.0-d9fc5
- virtueel pakket geboden door libghc-with-location-dev
- libghc-with-location-doc (0.1.0-5)
- ImplicitParams-based source locations; documentation
- libghc-with-location-prof (0.1.0-5+b2)
- ImplicitParams-based source locations; profiling libraries
- libghc-with-location-prof-0.1.0-64c17
- virtueel pakket geboden door libghc-with-location-prof
- libghc-with-location-prof-0.1.0-d9fc5
- virtueel pakket geboden door libghc-with-location-prof
- libghc-wizards-dev (1.0.2-10+b3)
- library for interrogative programs
- libghc-wizards-dev-1.0.2-0dd2b
- virtueel pakket geboden door libghc-wizards-dev
- libghc-wizards-dev-1.0.2-bb5e9
- virtueel pakket geboden door libghc-wizards-dev
- libghc-wizards-dev-1.0.2-bd6b2
- virtueel pakket geboden door libghc-wizards-dev
- libghc-wizards-dev-1.0.2-d2cf2
- virtueel pakket geboden door libghc-wizards-dev
- libghc-wizards-doc (1.0.2-10)
- library for interrogative programs; documentation
- libghc-wizards-prof (1.0.2-10+b3)
- library for interrogative programs; profiling libraries
- libghc-wizards-prof-1.0.2-0dd2b
- virtueel pakket geboden door libghc-wizards-prof
- libghc-wizards-prof-1.0.2-bb5e9
- virtueel pakket geboden door libghc-wizards-prof
- libghc-wizards-prof-1.0.2-bd6b2
- virtueel pakket geboden door libghc-wizards-prof
- libghc-wizards-prof-1.0.2-d2cf2
- virtueel pakket geboden door libghc-wizards-prof
- libghc-wl-pprint-annotated-dev (0.1.0.1-2+b1)
- Wadler/Leijen pretty printer with annotations and slightly modernized API
- libghc-wl-pprint-annotated-dev-0.1.0.1-04e2a
- virtueel pakket geboden door libghc-wl-pprint-annotated-dev
- libghc-wl-pprint-annotated-dev-0.1.0.1-67dc9
- virtueel pakket geboden door libghc-wl-pprint-annotated-dev
- libghc-wl-pprint-annotated-dev-0.1.0.1-70d27
- virtueel pakket geboden door libghc-wl-pprint-annotated-dev
- libghc-wl-pprint-annotated-dev-0.1.0.1-bea94
- virtueel pakket geboden door libghc-wl-pprint-annotated-dev
- libghc-wl-pprint-annotated-doc (0.1.0.1-2)
- Wadler/Leijen pretty printer with annotations and slightly modernized API; documentation
- libghc-wl-pprint-annotated-prof (0.1.0.1-2+b1)
- Wadler/Leijen pretty printer with annotations and slightly modernized API; profiling libraries
- libghc-wl-pprint-annotated-prof-0.1.0.1-04e2a
- virtueel pakket geboden door libghc-wl-pprint-annotated-prof
- libghc-wl-pprint-annotated-prof-0.1.0.1-67dc9
- virtueel pakket geboden door libghc-wl-pprint-annotated-prof
- libghc-wl-pprint-annotated-prof-0.1.0.1-70d27
- virtueel pakket geboden door libghc-wl-pprint-annotated-prof
- libghc-wl-pprint-annotated-prof-0.1.0.1-bea94
- virtueel pakket geboden door libghc-wl-pprint-annotated-prof
- (3.5.0.5-8+b1)
- free monad based on the Wadler/Leijen pretty printer
- virtueel pakket geboden door libghc-wl-pprint-extras-dev
- virtueel pakket geboden door libghc-wl-pprint-extras-dev
- virtueel pakket geboden door libghc-wl-pprint-extras-dev
- virtueel pakket geboden door libghc-wl-pprint-extras-dev
- (3.5.0.5-8)
- free monad based on the Wadler/Leijen pretty printer; documentation
- (3.5.0.5-8+b1)
- free monad based on the Wadler/Leijen pretty printer; profiling libraries
- virtueel pakket geboden door libghc-wl-pprint-extras-prof
- virtueel pakket geboden door libghc-wl-pprint-extras-prof
- virtueel pakket geboden door libghc-wl-pprint-extras-prof
- virtueel pakket geboden door libghc-wl-pprint-extras-prof
- libghc-wl-pprint-terminfo-dev (3.7.1.4-6+b1)
- color pretty printer with terminfo support
- libghc-wl-pprint-terminfo-dev-3.7.1.4-1f109
- virtueel pakket geboden door libghc-wl-pprint-terminfo-dev
- libghc-wl-pprint-terminfo-dev-3.7.1.4-8b7d4
- virtueel pakket geboden door libghc-wl-pprint-terminfo-dev
- libghc-wl-pprint-terminfo-dev-3.7.1.4-92edd
- virtueel pakket geboden door libghc-wl-pprint-terminfo-dev
- libghc-wl-pprint-terminfo-dev-3.7.1.4-bfb4b
- virtueel pakket geboden door libghc-wl-pprint-terminfo-dev
- libghc-wl-pprint-terminfo-doc (3.7.1.4-6)
- color pretty printer with terminfo support; documentation
- libghc-wl-pprint-terminfo-prof (3.7.1.4-6+b1)
- color pretty printer with terminfo support; profiling libraries
- libghc-wl-pprint-terminfo-prof-3.7.1.4-1f109
- virtueel pakket geboden door libghc-wl-pprint-terminfo-prof
- libghc-wl-pprint-terminfo-prof-3.7.1.4-8b7d4
- virtueel pakket geboden door libghc-wl-pprint-terminfo-prof
- libghc-wl-pprint-terminfo-prof-3.7.1.4-92edd
- virtueel pakket geboden door libghc-wl-pprint-terminfo-prof
- libghc-wl-pprint-terminfo-prof-3.7.1.4-bfb4b
- virtueel pakket geboden door libghc-wl-pprint-terminfo-prof
- libghc-wl-pprint-text-dev (1.2.0.0-3+b2)
- Wadler/Leijen Pretty Printer for Text values in Haskell
- libghc-wl-pprint-text-dev-1.2.0.0-07395
- virtueel pakket geboden door libghc-wl-pprint-text-dev
- libghc-wl-pprint-text-dev-1.2.0.0-36c1e
- virtueel pakket geboden door libghc-wl-pprint-text-dev
- libghc-wl-pprint-text-dev-1.2.0.0-b64ce
- virtueel pakket geboden door libghc-wl-pprint-text-dev
- libghc-wl-pprint-text-dev-1.2.0.0-d79c0
- virtueel pakket geboden door libghc-wl-pprint-text-dev
- libghc-wl-pprint-text-doc (1.2.0.0-3)
- Wadler/Leijen Pretty Printer for Text values in Haskell; documentation
- libghc-wl-pprint-text-prof (1.2.0.0-3+b2)
- Wadler/Leijen Pretty Printer for Text values in Haskell; profiling libraries
- libghc-wl-pprint-text-prof-1.2.0.0-07395
- virtueel pakket geboden door libghc-wl-pprint-text-prof
- libghc-wl-pprint-text-prof-1.2.0.0-36c1e
- virtueel pakket geboden door libghc-wl-pprint-text-prof
- libghc-wl-pprint-text-prof-1.2.0.0-b64ce
- virtueel pakket geboden door libghc-wl-pprint-text-prof
- libghc-wl-pprint-text-prof-1.2.0.0-d79c0
- virtueel pakket geboden door libghc-wl-pprint-text-prof
- libghc-word-trie-dev (0.3.0-8+b2)
- implementation of a finite trie over words
- libghc-word-trie-dev-0.3.0-039c1
- virtueel pakket geboden door libghc-word-trie-dev
- libghc-word-trie-dev-0.3.0-06d93
- virtueel pakket geboden door libghc-word-trie-dev
- libghc-word-trie-dev-0.3.0-3bffb
- virtueel pakket geboden door libghc-word-trie-dev
- libghc-word-trie-dev-0.3.0-42d3a
- virtueel pakket geboden door libghc-word-trie-dev
- libghc-word-trie-doc (0.3.0-8)
- implementation of a finite trie over words; documentation
- libghc-word-trie-prof (0.3.0-8+b2)
- implementation of a finite trie over words; profiling libraries
- libghc-word-trie-prof-0.3.0-039c1
- virtueel pakket geboden door libghc-word-trie-prof
- libghc-word-trie-prof-0.3.0-06d93
- virtueel pakket geboden door libghc-word-trie-prof
- libghc-word-trie-prof-0.3.0-3bffb
- virtueel pakket geboden door libghc-word-trie-prof
- libghc-word-trie-prof-0.3.0-42d3a
- virtueel pakket geboden door libghc-word-trie-prof
- libghc-word-wrap-dev (0.4.1-3+b2)
- library for word-wrapping
- libghc-word-wrap-dev-0.4.1-11a1b
- virtueel pakket geboden door libghc-word-wrap-dev
- libghc-word-wrap-dev-0.4.1-2e035
- virtueel pakket geboden door libghc-word-wrap-dev
- libghc-word-wrap-dev-0.4.1-b0c48
- virtueel pakket geboden door libghc-word-wrap-dev
- libghc-word-wrap-dev-0.4.1-bc492
- virtueel pakket geboden door libghc-word-wrap-dev
- libghc-word-wrap-doc (0.4.1-3)
- library for word-wrapping; documentation
- libghc-word-wrap-prof (0.4.1-3+b2)
- library for word-wrapping; profiling libraries
- libghc-word-wrap-prof-0.4.1-11a1b
- virtueel pakket geboden door libghc-word-wrap-prof
- libghc-word-wrap-prof-0.4.1-2e035
- virtueel pakket geboden door libghc-word-wrap-prof
- libghc-word-wrap-prof-0.4.1-b0c48
- virtueel pakket geboden door libghc-word-wrap-prof
- libghc-word-wrap-prof-0.4.1-bc492
- virtueel pakket geboden door libghc-word-wrap-prof
- libghc-word8-dev (0.1.3-3+b2)
- Char-like utility functions for Word8s to be used with ByteStrings
- libghc-word8-dev-0.1.3-47b81
- virtueel pakket geboden door libghc-word8-dev
- libghc-word8-dev-0.1.3-e5f90
- virtueel pakket geboden door libghc-word8-dev
- libghc-word8-doc (0.1.3-3)
- functions for Word8s to be used with ByteStrings; documentation
- libghc-word8-prof (0.1.3-3+b2)
- functions for Word8s to be used with ByteStrings; profiling libraries
- libghc-word8-prof-0.1.3-47b81
- virtueel pakket geboden door libghc-word8-prof
- libghc-word8-prof-0.1.3-e5f90
- virtueel pakket geboden door libghc-word8-prof
- libghc-wreq-dev (0.5.2.1-4+b1)
- easy-to-use HTTP client library
- libghc-wreq-dev-0.5.2.1-6bf65
- virtueel pakket geboden door libghc-wreq-dev
- libghc-wreq-dev-0.5.2.1-867e6
- virtueel pakket geboden door libghc-wreq-dev
- libghc-wreq-dev-0.5.2.1-93dd6
- virtueel pakket geboden door libghc-wreq-dev
- libghc-wreq-dev-0.5.2.1-ccf57
- virtueel pakket geboden door libghc-wreq-dev
- libghc-wreq-doc (0.5.2.1-4)
- easy-to-use HTTP client library; documentation
- libghc-wreq-prof (0.5.2.1-4+b1)
- easy-to-use HTTP client library; profiling libraries
- libghc-wreq-prof-0.5.2.1-6bf65
- virtueel pakket geboden door libghc-wreq-prof
- libghc-wreq-prof-0.5.2.1-867e6
- virtueel pakket geboden door libghc-wreq-prof
- libghc-wreq-prof-0.5.2.1-93dd6
- virtueel pakket geboden door libghc-wreq-prof
- libghc-wreq-prof-0.5.2.1-ccf57
- virtueel pakket geboden door libghc-wreq-prof
- libghc-x11-dev (1.9-2+b2)
- Haskell X11 binding for GHC
- libghc-x11-dev-1.9-3bd75
- virtueel pakket geboden door libghc-x11-dev
- libghc-x11-dev-1.9-44b31
- virtueel pakket geboden door libghc-x11-dev
- libghc-x11-dev-1.9-98cd6
- virtueel pakket geboden door libghc-x11-dev
- libghc-x11-dev-1.9-f4918
- virtueel pakket geboden door libghc-x11-dev
- libghc-x11-doc (1.9-2)
- Haskell X11 binding for GHC; documentation
- libghc-x11-prof (1.9-2+b2)
- Haskell X11 binding for GHC; profiling libraries
- libghc-x11-prof-1.9-3bd75
- virtueel pakket geboden door libghc-x11-prof
- libghc-x11-prof-1.9-44b31
- virtueel pakket geboden door libghc-x11-prof
- libghc-x11-prof-1.9-98cd6
- virtueel pakket geboden door libghc-x11-prof
- libghc-x11-prof-1.9-f4918
- virtueel pakket geboden door libghc-x11-prof
- libghc-x11-xft-dev (0.3.1-13+b2)
- Haskell Xft binding for GHC
- libghc-x11-xft-dev-0.3.1-57771
- virtueel pakket geboden door libghc-x11-xft-dev
- libghc-x11-xft-dev-0.3.1-6cba2
- virtueel pakket geboden door libghc-x11-xft-dev
- libghc-x11-xft-dev-0.3.1-791b5
- virtueel pakket geboden door libghc-x11-xft-dev
- libghc-x11-xft-dev-0.3.1-b3f9d
- virtueel pakket geboden door libghc-x11-xft-dev
- libghc-x11-xft-doc (0.3.1-13)
- Haskell Xft binding for GHC; documentation
- libghc-x11-xft-prof (0.3.1-13+b2)
- Haskell Xft binding for GHC; profiling libraries
- libghc-x11-xft-prof-0.3.1-57771
- virtueel pakket geboden door libghc-x11-xft-prof
- libghc-x11-xft-prof-0.3.1-6cba2
- virtueel pakket geboden door libghc-x11-xft-prof
- libghc-x11-xft-prof-0.3.1-791b5
- virtueel pakket geboden door libghc-x11-xft-prof
- libghc-x11-xft-prof-0.3.1-b3f9d
- virtueel pakket geboden door libghc-x11-xft-prof
- libghc-x509-dev (1.7.3-3+b1)
- X.509 reader and writer
- libghc-x509-dev-1.7.3-2378e
- virtueel pakket geboden door libghc-x509-dev
- libghc-x509-dev-1.7.3-3c916
- virtueel pakket geboden door libghc-x509-dev
- libghc-x509-dev-1.7.3-6d55e
- virtueel pakket geboden door libghc-x509-dev
- libghc-x509-dev-1.7.3-d3c15
- virtueel pakket geboden door libghc-x509-dev
- libghc-x509-doc (1.7.3-3)
- X.509 reader and writer; documentation
- libghc-x509-prof (1.7.3-3+b1)
- X.509 reader and writer; profiling libraries
- libghc-x509-prof-1.7.3-2378e
- virtueel pakket geboden door libghc-x509-prof
- libghc-x509-prof-1.7.3-3c916
- virtueel pakket geboden door libghc-x509-prof
- libghc-x509-prof-1.7.3-6d55e
- virtueel pakket geboden door libghc-x509-prof
- libghc-x509-prof-1.7.3-d3c15
- virtueel pakket geboden door libghc-x509-prof
- libghc-x509-store-dev (1.6.6-3+b1)
- X.509 collection access and storage methods
- libghc-x509-store-dev-1.6.6-3cdac
- virtueel pakket geboden door libghc-x509-store-dev
- libghc-x509-store-dev-1.6.6-889ac
- virtueel pakket geboden door libghc-x509-store-dev
- libghc-x509-store-dev-1.6.6-a5aac
- virtueel pakket geboden door libghc-x509-store-dev
- libghc-x509-store-dev-1.6.6-dbe21
- virtueel pakket geboden door libghc-x509-store-dev
- libghc-x509-store-doc (1.6.6-3)
- X.509 collection access and storage methods; documentation
- libghc-x509-store-prof (1.6.6-3+b1)
- X.509 collection access and storage methods; profiling libraries
- libghc-x509-store-prof-1.6.6-3cdac
- virtueel pakket geboden door libghc-x509-store-prof
- libghc-x509-store-prof-1.6.6-889ac
- virtueel pakket geboden door libghc-x509-store-prof
- libghc-x509-store-prof-1.6.6-a5aac
- virtueel pakket geboden door libghc-x509-store-prof
- libghc-x509-store-prof-1.6.6-dbe21
- virtueel pakket geboden door libghc-x509-store-prof
- libghc-x509-system-dev (1.6.6-4+b1)
- per-operating-system X.509 accessors and storage
- libghc-x509-system-dev-1.6.6-28d66
- virtueel pakket geboden door libghc-x509-system-dev
- libghc-x509-system-dev-1.6.6-2a40e
- virtueel pakket geboden door libghc-x509-system-dev
- libghc-x509-system-dev-1.6.6-5ac8e
- virtueel pakket geboden door libghc-x509-system-dev
- libghc-x509-system-dev-1.6.6-a199f
- virtueel pakket geboden door libghc-x509-system-dev
- libghc-x509-system-doc (1.6.6-4)
- per-operating-system X.509 accessors and storage; documentation
- libghc-x509-system-prof (1.6.6-4+b1)
- per-operating-system X.509 accessors and storage; profiling libraries
- libghc-x509-system-prof-1.6.6-28d66
- virtueel pakket geboden door libghc-x509-system-prof
- libghc-x509-system-prof-1.6.6-2a40e
- virtueel pakket geboden door libghc-x509-system-prof
- libghc-x509-system-prof-1.6.6-5ac8e
- virtueel pakket geboden door libghc-x509-system-prof
- libghc-x509-system-prof-1.6.6-a199f
- virtueel pakket geboden door libghc-x509-system-prof
- libghc-x509-validation-dev (1.6.10-3+b1)
- X.509 certificate and CRL validation
- libghc-x509-validation-dev-1.6.10-00af8
- virtueel pakket geboden door libghc-x509-validation-dev
- libghc-x509-validation-dev-1.6.10-116d6
- virtueel pakket geboden door libghc-x509-validation-dev
- libghc-x509-validation-dev-1.6.10-51850
- virtueel pakket geboden door libghc-x509-validation-dev
- libghc-x509-validation-dev-1.6.10-9c45c
- virtueel pakket geboden door libghc-x509-validation-dev
- libghc-x509-validation-doc (1.6.10-3)
- X.509 certificate and CRL validation; documentation
- libghc-x509-validation-prof (1.6.10-3+b1)
- X.509 certificate and CRL validation; profiling libraries
- libghc-x509-validation-prof-1.6.10-00af8
- virtueel pakket geboden door libghc-x509-validation-prof
- libghc-x509-validation-prof-1.6.10-116d6
- virtueel pakket geboden door libghc-x509-validation-prof
- libghc-x509-validation-prof-1.6.10-51850
- virtueel pakket geboden door libghc-x509-validation-prof
- libghc-x509-validation-prof-1.6.10-9c45c
- virtueel pakket geboden door libghc-x509-validation-prof
- libghc-xdg-basedir-dev (0.2.2-10+b2)
- basic implementation of the XDG Base Directory specification
- libghc-xdg-basedir-dev-0.2.2-64e58
- virtueel pakket geboden door libghc-xdg-basedir-dev
- libghc-xdg-basedir-dev-0.2.2-73c0e
- virtueel pakket geboden door libghc-xdg-basedir-dev
- libghc-xdg-basedir-dev-0.2.2-83b55
- virtueel pakket geboden door libghc-xdg-basedir-dev
- libghc-xdg-basedir-dev-0.2.2-8e176
- virtueel pakket geboden door libghc-xdg-basedir-dev
- libghc-xdg-basedir-doc (0.2.2-10)
- basic implementation of the XDG Base Directory specification; documentation
- libghc-xdg-basedir-prof (0.2.2-10+b2)
- basic implementation of the XDG Base Directory spec; profiling libraries
- libghc-xdg-basedir-prof-0.2.2-64e58
- virtueel pakket geboden door libghc-xdg-basedir-prof
- libghc-xdg-basedir-prof-0.2.2-73c0e
- virtueel pakket geboden door libghc-xdg-basedir-prof
- libghc-xdg-basedir-prof-0.2.2-83b55
- virtueel pakket geboden door libghc-xdg-basedir-prof
- libghc-xdg-basedir-prof-0.2.2-8e176
- virtueel pakket geboden door libghc-xdg-basedir-prof
- libghc-xhtml-dev (= 3000.2.2.1)
- virtueel pakket geboden door ghc
- libghc-xhtml-dev-3000.2.2.1-7120a
- virtueel pakket geboden door ghc
- libghc-xhtml-dev-3000.2.2.1-e4498
- virtueel pakket geboden door ghc
- libghc-xhtml-doc (= 3000.2.2.1)
- virtueel pakket geboden door ghc-doc
- libghc-xhtml-prof (= 3000.2.2.1)
- virtueel pakket geboden door ghc-prof
- libghc-xhtml-prof-3000.2.2.1-7120a
- virtueel pakket geboden door ghc-prof
- libghc-xhtml-prof-3000.2.2.1-e4498
- virtueel pakket geboden door ghc-prof
- libghc-xml-conduit-dev (1.8.0-4+b1)
- HTTP client package with conduit interface and HTTPS support
- libghc-xml-conduit-dev-1.8.0-39300
- virtueel pakket geboden door libghc-xml-conduit-dev
- libghc-xml-conduit-dev-1.8.0-a4367
- virtueel pakket geboden door libghc-xml-conduit-dev
- libghc-xml-conduit-dev-1.8.0-af2a6
- virtueel pakket geboden door libghc-xml-conduit-dev
- libghc-xml-conduit-dev-1.8.0-d91f6
- virtueel pakket geboden door libghc-xml-conduit-dev
- libghc-xml-conduit-doc (1.8.0-4)
- HTTP client package with conduit interface and HTTPS support; documentation
- libghc-xml-conduit-prof (1.8.0-4+b1)
- HTTP/HTTPS client package with conduit interface; profiling libraries
- libghc-xml-conduit-prof-1.8.0-39300
- virtueel pakket geboden door libghc-xml-conduit-prof
- libghc-xml-conduit-prof-1.8.0-a4367
- virtueel pakket geboden door libghc-xml-conduit-prof
- libghc-xml-conduit-prof-1.8.0-af2a6
- virtueel pakket geboden door libghc-xml-conduit-prof
- libghc-xml-conduit-prof-1.8.0-d91f6
- virtueel pakket geboden door libghc-xml-conduit-prof
- libghc-xml-conduit-writer-dev (0.1.1.2-4+b1)
- warm and fuzzy creation of XML documents
- libghc-xml-conduit-writer-dev-0.1.1.2-29c6e
- virtueel pakket geboden door libghc-xml-conduit-writer-dev
- libghc-xml-conduit-writer-dev-0.1.1.2-5e9c6
- virtueel pakket geboden door libghc-xml-conduit-writer-dev
- libghc-xml-conduit-writer-dev-0.1.1.2-76a6d
- virtueel pakket geboden door libghc-xml-conduit-writer-dev
- libghc-xml-conduit-writer-dev-0.1.1.2-a2fd1
- virtueel pakket geboden door libghc-xml-conduit-writer-dev
- libghc-xml-conduit-writer-doc (0.1.1.2-4)
- warm and fuzzy creation of XML documents; documentation
- libghc-xml-conduit-writer-prof (0.1.1.2-4+b1)
- warm and fuzzy creation of XML documents; profiling libraries
- libghc-xml-conduit-writer-prof-0.1.1.2-29c6e
- virtueel pakket geboden door libghc-xml-conduit-writer-prof
- libghc-xml-conduit-writer-prof-0.1.1.2-5e9c6
- virtueel pakket geboden door libghc-xml-conduit-writer-prof
- libghc-xml-conduit-writer-prof-0.1.1.2-76a6d
- virtueel pakket geboden door libghc-xml-conduit-writer-prof
- libghc-xml-conduit-writer-prof-0.1.1.2-a2fd1
- virtueel pakket geboden door libghc-xml-conduit-writer-prof
- libghc-xml-dev (1.3.14-9+b2)
- A simple Haskell XML library
- libghc-xml-dev-1.3.14-17791
- virtueel pakket geboden door libghc-xml-dev
- libghc-xml-dev-1.3.14-96c9f
- virtueel pakket geboden door libghc-xml-dev
- libghc-xml-dev-1.3.14-a1b87
- virtueel pakket geboden door libghc-xml-dev
- libghc-xml-dev-1.3.14-ca3fc
- virtueel pakket geboden door libghc-xml-dev
- libghc-xml-doc (1.3.14-9)
- A simple Haskell XML library; documentation
- libghc-xml-hamlet-dev (0.5.0-4+b1)
- Hamlet-style quasiquoter for XML content
- libghc-xml-hamlet-dev-0.5.0-69a78
- virtueel pakket geboden door libghc-xml-hamlet-dev
- libghc-xml-hamlet-dev-0.5.0-6ff0c
- virtueel pakket geboden door libghc-xml-hamlet-dev
- libghc-xml-hamlet-dev-0.5.0-8629c
- virtueel pakket geboden door libghc-xml-hamlet-dev
- libghc-xml-hamlet-dev-0.5.0-c7a16
- virtueel pakket geboden door libghc-xml-hamlet-dev
- libghc-xml-hamlet-doc (0.5.0-4)
- Hamlet-style quasiquoter for XML content; documentation
- libghc-xml-hamlet-prof (0.5.0-4+b1)
- Hamlet-style quasiquoter for XML content; profiling libraries
- libghc-xml-hamlet-prof-0.5.0-69a78
- virtueel pakket geboden door libghc-xml-hamlet-prof
- libghc-xml-hamlet-prof-0.5.0-6ff0c
- virtueel pakket geboden door libghc-xml-hamlet-prof
- libghc-xml-hamlet-prof-0.5.0-8629c
- virtueel pakket geboden door libghc-xml-hamlet-prof
- libghc-xml-hamlet-prof-0.5.0-c7a16
- virtueel pakket geboden door libghc-xml-hamlet-prof
- libghc-xml-helpers-dev (1.0.0-2+b2)
- helper functions for the xml library
- libghc-xml-helpers-dev-1.0.0-b3b80
- virtueel pakket geboden door libghc-xml-helpers-dev
- libghc-xml-helpers-dev-1.0.0-c4093
- virtueel pakket geboden door libghc-xml-helpers-dev
- libghc-xml-helpers-dev-1.0.0-e5c59
- virtueel pakket geboden door libghc-xml-helpers-dev
- libghc-xml-helpers-dev-1.0.0-f7a73
- virtueel pakket geboden door libghc-xml-helpers-dev
- libghc-xml-helpers-doc (1.0.0-2)
- helper functions for the xml library; documentation
- libghc-xml-helpers-prof (1.0.0-2+b2)
- helper functions for the xml library; profiling libraries
- libghc-xml-helpers-prof-1.0.0-b3b80
- virtueel pakket geboden door libghc-xml-helpers-prof
- libghc-xml-helpers-prof-1.0.0-c4093
- virtueel pakket geboden door libghc-xml-helpers-prof
- libghc-xml-helpers-prof-1.0.0-e5c59
- virtueel pakket geboden door libghc-xml-helpers-prof
- libghc-xml-helpers-prof-1.0.0-f7a73
- virtueel pakket geboden door libghc-xml-helpers-prof
- libghc-xml-html-qq-dev (0.1.0.1-1)
- quasi-quoters for XML and HTML Documents
- libghc-xml-html-qq-dev-0.1.0.1-9f436
- virtueel pakket geboden door libghc-xml-html-qq-dev
- libghc-xml-html-qq-doc (0.1.0.1-1)
- quasi-quoters for XML and HTML Documents; documentation
- libghc-xml-html-qq-prof (0.1.0.1-1)
- quasi-quoters for XML and HTML Documents; profiling libraries
- libghc-xml-html-qq-prof-0.1.0.1-9f436
- virtueel pakket geboden door libghc-xml-html-qq-prof
- libghc-xml-prof (1.3.14-9+b2)
- A simple Haskell XML library; profiling libraries
- libghc-xml-prof-1.3.14-17791
- virtueel pakket geboden door libghc-xml-prof
- libghc-xml-prof-1.3.14-96c9f
- virtueel pakket geboden door libghc-xml-prof
- libghc-xml-prof-1.3.14-a1b87
- virtueel pakket geboden door libghc-xml-prof
- libghc-xml-prof-1.3.14-ca3fc
- virtueel pakket geboden door libghc-xml-prof
- libghc-xml-types-dev (0.3.6-8+b2)
- basic types for representing XML
- libghc-xml-types-dev-0.3.6-1a760
- virtueel pakket geboden door libghc-xml-types-dev
- libghc-xml-types-dev-0.3.6-532a0
- virtueel pakket geboden door libghc-xml-types-dev
- libghc-xml-types-dev-0.3.6-653bd
- virtueel pakket geboden door libghc-xml-types-dev
- libghc-xml-types-dev-0.3.6-7ecf2
- virtueel pakket geboden door libghc-xml-types-dev
- libghc-xml-types-doc (0.3.6-8)
- basic types for representing XML; documentation
- libghc-xml-types-prof (0.3.6-8+b2)
- basic types for representing XML; profiling libraries
- libghc-xml-types-prof-0.3.6-1a760
- virtueel pakket geboden door libghc-xml-types-prof
- libghc-xml-types-prof-0.3.6-532a0
- virtueel pakket geboden door libghc-xml-types-prof
- libghc-xml-types-prof-0.3.6-653bd
- virtueel pakket geboden door libghc-xml-types-prof
- libghc-xml-types-prof-0.3.6-7ecf2
- virtueel pakket geboden door libghc-xml-types-prof
- libghc-xmlgen-dev (0.6.2.2-3+b2)
- fast XML generation library
- libghc-xmlgen-dev-0.6.2.2-2f06b
- virtueel pakket geboden door libghc-xmlgen-dev
- libghc-xmlgen-dev-0.6.2.2-43898
- virtueel pakket geboden door libghc-xmlgen-dev
- libghc-xmlgen-dev-0.6.2.2-5aa85
- virtueel pakket geboden door libghc-xmlgen-dev
- libghc-xmlgen-dev-0.6.2.2-6e19c
- virtueel pakket geboden door libghc-xmlgen-dev
- libghc-xmlgen-doc (0.6.2.2-3)
- fast XML generation library; documentation
- libghc-xmlgen-prof (0.6.2.2-3+b2)
- fast XML generation library; profiling libraries
- libghc-xmlgen-prof-0.6.2.2-2f06b
- virtueel pakket geboden door libghc-xmlgen-prof
- libghc-xmlgen-prof-0.6.2.2-43898
- virtueel pakket geboden door libghc-xmlgen-prof
- libghc-xmlgen-prof-0.6.2.2-5aa85
- virtueel pakket geboden door libghc-xmlgen-prof
- libghc-xmlgen-prof-0.6.2.2-6e19c
- virtueel pakket geboden door libghc-xmlgen-prof
- libghc-xmlhtml-dev (0.2.5.2-6+b1)
- XML parser and renderer with HTML 5 quirks mode
- libghc-xmlhtml-dev-0.2.5.2-44cd4
- virtueel pakket geboden door libghc-xmlhtml-dev
- libghc-xmlhtml-dev-0.2.5.2-7b3ca
- virtueel pakket geboden door libghc-xmlhtml-dev
- libghc-xmlhtml-dev-0.2.5.2-c3313
- virtueel pakket geboden door libghc-xmlhtml-dev
- libghc-xmlhtml-dev-0.2.5.2-dbce7
- virtueel pakket geboden door libghc-xmlhtml-dev
- libghc-xmlhtml-doc (0.2.5.2-6)
- XML parser and renderer with HTML 5 quirks mode; documentation
- libghc-xmlhtml-prof (0.2.5.2-6+b1)
- XML parser and renderer with HTML 5 quirks mode; profiling libraries
- libghc-xmlhtml-prof-0.2.5.2-44cd4
- virtueel pakket geboden door libghc-xmlhtml-prof
- libghc-xmlhtml-prof-0.2.5.2-7b3ca
- virtueel pakket geboden door libghc-xmlhtml-prof
- libghc-xmlhtml-prof-0.2.5.2-c3313
- virtueel pakket geboden door libghc-xmlhtml-prof
- libghc-xmlhtml-prof-0.2.5.2-dbce7
- virtueel pakket geboden door libghc-xmlhtml-prof
- libghc-xmonad-contrib-dev (0.14-2+b1)
- Extensions to xmonad
- libghc-xmonad-contrib-dev-0.14-14ea5
- virtueel pakket geboden door libghc-xmonad-contrib-dev
- libghc-xmonad-contrib-dev-0.14-a8b8f
- virtueel pakket geboden door libghc-xmonad-contrib-dev
- libghc-xmonad-contrib-dev-0.14-b443c
- virtueel pakket geboden door libghc-xmonad-contrib-dev
- libghc-xmonad-contrib-dev-0.14-cc8f2
- virtueel pakket geboden door libghc-xmonad-contrib-dev
- libghc-xmonad-contrib-doc (0.14-2)
- Extensions to xmonad; documentation
- libghc-xmonad-contrib-prof (0.14-2+b1)
- Extensions to xmonad; profiling libraries
- libghc-xmonad-contrib-prof-0.14-14ea5
- virtueel pakket geboden door libghc-xmonad-contrib-prof
- libghc-xmonad-contrib-prof-0.14-a8b8f
- virtueel pakket geboden door libghc-xmonad-contrib-prof
- libghc-xmonad-contrib-prof-0.14-b443c
- virtueel pakket geboden door libghc-xmonad-contrib-prof
- libghc-xmonad-contrib-prof-0.14-cc8f2
- virtueel pakket geboden door libghc-xmonad-contrib-prof
- libghc-xmonad-dev (0.14.2-3+b1)
- Lightweight X11 window manager
- libghc-xmonad-dev-0.14.2-5f719
- virtueel pakket geboden door libghc-xmonad-dev
- libghc-xmonad-dev-0.14.2-7a9c6
- virtueel pakket geboden door libghc-xmonad-dev
- libghc-xmonad-dev-0.14.2-80bf0
- virtueel pakket geboden door libghc-xmonad-dev
- libghc-xmonad-dev-0.14.2-bd2e5
- virtueel pakket geboden door libghc-xmonad-dev
- libghc-xmonad-doc (0.14.2-3)
- Lightweight X11 window manager; documentation
- (0.14-2+b1)
- third party extensions for xmonad with wacky dependencies
- virtueel pakket geboden door libghc-xmonad-extras-dev
- virtueel pakket geboden door libghc-xmonad-extras-dev
- virtueel pakket geboden door libghc-xmonad-extras-dev
- virtueel pakket geboden door libghc-xmonad-extras-dev
- (0.14-2)
- third party extensions for xmonad with wacky dependencies; documentation
- (0.14-2+b1)
- third party extensions for xmonad with wacky dependencies; profiling libraries
- virtueel pakket geboden door libghc-xmonad-extras-prof
- virtueel pakket geboden door libghc-xmonad-extras-prof
- virtueel pakket geboden door libghc-xmonad-extras-prof
- virtueel pakket geboden door libghc-xmonad-extras-prof
- libghc-xmonad-prof (0.14.2-3+b1)
- Lightweight X11 window manager; profiling libraries
- libghc-xmonad-prof-0.14.2-5f719
- virtueel pakket geboden door libghc-xmonad-prof
- libghc-xmonad-prof-0.14.2-7a9c6
- virtueel pakket geboden door libghc-xmonad-prof
- libghc-xmonad-prof-0.14.2-80bf0
- virtueel pakket geboden door libghc-xmonad-prof
- libghc-xmonad-prof-0.14.2-bd2e5
- virtueel pakket geboden door libghc-xmonad-prof
- libghc-xmonad-wallpaper-dev (0.0.1.4-5+b1)
- xmonad wallpaper extension
- libghc-xmonad-wallpaper-dev-0.0.1.4-076bf
- virtueel pakket geboden door libghc-xmonad-wallpaper-dev
- libghc-xmonad-wallpaper-dev-0.0.1.4-b9fee
- virtueel pakket geboden door libghc-xmonad-wallpaper-dev
- libghc-xmonad-wallpaper-dev-0.0.1.4-db872
- virtueel pakket geboden door libghc-xmonad-wallpaper-dev
- libghc-xmonad-wallpaper-dev-0.0.1.4-f4a97
- virtueel pakket geboden door libghc-xmonad-wallpaper-dev
- libghc-xmonad-wallpaper-doc (0.0.1.4-5)
- xmonad wallpaper extension; documentation
- libghc-xmonad-wallpaper-prof (0.0.1.4-5+b1)
- xmonad wallpaper extension; profiling libraries
- libghc-xmonad-wallpaper-prof-0.0.1.4-076bf
- virtueel pakket geboden door libghc-xmonad-wallpaper-prof
- libghc-xmonad-wallpaper-prof-0.0.1.4-b9fee
- virtueel pakket geboden door libghc-xmonad-wallpaper-prof
- libghc-xmonad-wallpaper-prof-0.0.1.4-db872
- virtueel pakket geboden door libghc-xmonad-wallpaper-prof
- libghc-xmonad-wallpaper-prof-0.0.1.4-f4a97
- virtueel pakket geboden door libghc-xmonad-wallpaper-prof
- libghc-xss-sanitize-dev (0.3.6-2+b1)
- Library to sanitize HTML and prevent XSS attacks
- libghc-xss-sanitize-dev-0.3.6-2a6ca
- virtueel pakket geboden door libghc-xss-sanitize-dev
- libghc-xss-sanitize-dev-0.3.6-30c9a
- virtueel pakket geboden door libghc-xss-sanitize-dev
- libghc-xss-sanitize-dev-0.3.6-6742b
- virtueel pakket geboden door libghc-xss-sanitize-dev
- libghc-xss-sanitize-dev-0.3.6-ec7c5
- virtueel pakket geboden door libghc-xss-sanitize-dev
- libghc-xss-sanitize-doc (0.3.6-2)
- Library to sanitize HTML and prevent XSS attacks; documentation
- libghc-xss-sanitize-prof (0.3.6-2+b1)
- Library to sanitize HTML and prevent XSS attacks; profiling libraries
- libghc-xss-sanitize-prof-0.3.6-2a6ca
- virtueel pakket geboden door libghc-xss-sanitize-prof
- libghc-xss-sanitize-prof-0.3.6-30c9a
- virtueel pakket geboden door libghc-xss-sanitize-prof
- libghc-xss-sanitize-prof-0.3.6-6742b
- virtueel pakket geboden door libghc-xss-sanitize-prof
- libghc-xss-sanitize-prof-0.3.6-ec7c5
- virtueel pakket geboden door libghc-xss-sanitize-prof
- libghc-yaml-dev (0.8.32-4+b1)
- interface to LibYAML
- libghc-yaml-dev-0.8.32-141ae
- virtueel pakket geboden door libghc-yaml-dev
- libghc-yaml-dev-0.8.32-2c918
- virtueel pakket geboden door libghc-yaml-dev
- libghc-yaml-dev-0.8.32-8edde
- virtueel pakket geboden door libghc-yaml-dev
- libghc-yaml-dev-0.8.32-dddec
- virtueel pakket geboden door libghc-yaml-dev
- libghc-yaml-doc (0.8.32-4)
- interface to LibYAML; documentation
- libghc-yaml-prof (0.8.32-4+b1)
- interface to LibYAML; profiling libraries
- libghc-yaml-prof-0.8.32-141ae
- virtueel pakket geboden door libghc-yaml-prof
- libghc-yaml-prof-0.8.32-2c918
- virtueel pakket geboden door libghc-yaml-prof
- libghc-yaml-prof-0.8.32-8edde
- virtueel pakket geboden door libghc-yaml-prof
- libghc-yaml-prof-0.8.32-dddec
- virtueel pakket geboden door libghc-yaml-prof
- libghc-yesod-auth-dev (1.6.4.1-2+b1)
- authentication for Yesod
- libghc-yesod-auth-dev-1.6.4.1-3f212
- virtueel pakket geboden door libghc-yesod-auth-dev
- libghc-yesod-auth-dev-1.6.4.1-4785f
- virtueel pakket geboden door libghc-yesod-auth-dev
- libghc-yesod-auth-dev-1.6.4.1-6aaea
- virtueel pakket geboden door libghc-yesod-auth-dev
- libghc-yesod-auth-dev-1.6.4.1-85672
- virtueel pakket geboden door libghc-yesod-auth-dev
- libghc-yesod-auth-doc (1.6.4.1-2)
- authentication for Yesod; documentation
- libghc-yesod-auth-hashdb-dev (1.7-3+b1)
- HashDB authentication plugin for Yesod web framework
- libghc-yesod-auth-hashdb-dev-1.7-2935f
- virtueel pakket geboden door libghc-yesod-auth-hashdb-dev
- libghc-yesod-auth-hashdb-dev-1.7-3643b
- virtueel pakket geboden door libghc-yesod-auth-hashdb-dev
- libghc-yesod-auth-hashdb-dev-1.7-43157
- virtueel pakket geboden door libghc-yesod-auth-hashdb-dev
- libghc-yesod-auth-hashdb-dev-1.7-8d156
- virtueel pakket geboden door libghc-yesod-auth-hashdb-dev
- libghc-yesod-auth-hashdb-doc (1.7-3)
- HashDB authentication plugin for Yesod web framework; documentation
- libghc-yesod-auth-hashdb-prof (1.7-3+b1)
- HashDB authentication plugin for Yesod web framework; profiling libraries
- libghc-yesod-auth-hashdb-prof-1.7-2935f
- virtueel pakket geboden door libghc-yesod-auth-hashdb-prof
- libghc-yesod-auth-hashdb-prof-1.7-3643b
- virtueel pakket geboden door libghc-yesod-auth-hashdb-prof
- libghc-yesod-auth-hashdb-prof-1.7-43157
- virtueel pakket geboden door libghc-yesod-auth-hashdb-prof
- libghc-yesod-auth-hashdb-prof-1.7-8d156
- virtueel pakket geboden door libghc-yesod-auth-hashdb-prof
- libghc-yesod-auth-oauth-dev (1.6.0-3+b1)
- OAuth Authentication for Yesod
- libghc-yesod-auth-oauth-dev-1.6.0-5c02d
- virtueel pakket geboden door libghc-yesod-auth-oauth-dev
- libghc-yesod-auth-oauth-dev-1.6.0-5c30f
- virtueel pakket geboden door libghc-yesod-auth-oauth-dev
- libghc-yesod-auth-oauth-dev-1.6.0-86062
- virtueel pakket geboden door libghc-yesod-auth-oauth-dev
- libghc-yesod-auth-oauth-dev-1.6.0-a6732
- virtueel pakket geboden door libghc-yesod-auth-oauth-dev
- libghc-yesod-auth-oauth-doc (1.6.0-3)
- OAuth Authentication for Yesod; documentation
- libghc-yesod-auth-oauth-prof (1.6.0-3+b1)
- OAuth Authentication for Yesod; profiling libraries
- libghc-yesod-auth-oauth-prof-1.6.0-5c02d
- virtueel pakket geboden door libghc-yesod-auth-oauth-prof
- libghc-yesod-auth-oauth-prof-1.6.0-5c30f
- virtueel pakket geboden door libghc-yesod-auth-oauth-prof
- libghc-yesod-auth-oauth-prof-1.6.0-86062
- virtueel pakket geboden door libghc-yesod-auth-oauth-prof
- libghc-yesod-auth-oauth-prof-1.6.0-a6732
- virtueel pakket geboden door libghc-yesod-auth-oauth-prof
- libghc-yesod-auth-oauth2-dev (0.5.2.0-2+b1)
- OAuth 2.0 authentication plugins
- libghc-yesod-auth-oauth2-dev-0.5.2.0-2096a
- virtueel pakket geboden door libghc-yesod-auth-oauth2-dev
- libghc-yesod-auth-oauth2-dev-0.5.2.0-88100
- virtueel pakket geboden door libghc-yesod-auth-oauth2-dev
- libghc-yesod-auth-oauth2-dev-0.5.2.0-9038a
- virtueel pakket geboden door libghc-yesod-auth-oauth2-dev
- libghc-yesod-auth-oauth2-dev-0.5.2.0-f26ac
- virtueel pakket geboden door libghc-yesod-auth-oauth2-dev
- libghc-yesod-auth-oauth2-doc (0.5.2.0-2)
- OAuth 2.0 authentication plugins; documentation
- libghc-yesod-auth-oauth2-prof (0.5.2.0-2+b1)
- OAuth 2.0 authentication plugins; profiling libraries
- libghc-yesod-auth-oauth2-prof-0.5.2.0-2096a
- virtueel pakket geboden door libghc-yesod-auth-oauth2-prof
- libghc-yesod-auth-oauth2-prof-0.5.2.0-88100
- virtueel pakket geboden door libghc-yesod-auth-oauth2-prof
- libghc-yesod-auth-oauth2-prof-0.5.2.0-9038a
- virtueel pakket geboden door libghc-yesod-auth-oauth2-prof
- libghc-yesod-auth-oauth2-prof-0.5.2.0-f26ac
- virtueel pakket geboden door libghc-yesod-auth-oauth2-prof
- libghc-yesod-auth-prof (1.6.4.1-2+b1)
- authentication for Yesod; profiling libraries
- libghc-yesod-auth-prof-1.6.4.1-3f212
- virtueel pakket geboden door libghc-yesod-auth-prof
- libghc-yesod-auth-prof-1.6.4.1-4785f
- virtueel pakket geboden door libghc-yesod-auth-prof
- libghc-yesod-auth-prof-1.6.4.1-6aaea
- virtueel pakket geboden door libghc-yesod-auth-prof
- libghc-yesod-auth-prof-1.6.4.1-85672
- virtueel pakket geboden door libghc-yesod-auth-prof
- libghc-yesod-core-dev (1.6.6-3+b1)
- framework for type-safe, RESTful web applications
- libghc-yesod-core-dev-1.6.6-0a3db
- virtueel pakket geboden door libghc-yesod-core-dev
- libghc-yesod-core-dev-1.6.6-2ab32
- virtueel pakket geboden door libghc-yesod-core-dev
- libghc-yesod-core-dev-1.6.6-930a7
- virtueel pakket geboden door libghc-yesod-core-dev
- libghc-yesod-core-dev-1.6.6-fe13b
- virtueel pakket geboden door libghc-yesod-core-dev
- libghc-yesod-core-doc (1.6.6-3)
- framework for type-safe, RESTful web applications; documentation
- libghc-yesod-core-prof (1.6.6-3+b1)
- framework for type-safe, RESTful web applications; profiling libraries
- libghc-yesod-core-prof-1.6.6-0a3db
- virtueel pakket geboden door libghc-yesod-core-prof
- libghc-yesod-core-prof-1.6.6-2ab32
- virtueel pakket geboden door libghc-yesod-core-prof
- libghc-yesod-core-prof-1.6.6-930a7
- virtueel pakket geboden door libghc-yesod-core-prof
- libghc-yesod-core-prof-1.6.6-fe13b
- virtueel pakket geboden door libghc-yesod-core-prof
- libghc-yesod-default-dev (1.2.0-10+b1)
- default config and main functions for your Yesod application
- libghc-yesod-default-dev-1.2.0-86e2e
- virtueel pakket geboden door libghc-yesod-default-dev
- libghc-yesod-default-dev-1.2.0-bd24e
- virtueel pakket geboden door libghc-yesod-default-dev
- libghc-yesod-default-doc (1.2.0-10)
- default config and main functions for your Yesod application; documentation
- libghc-yesod-default-prof (1.2.0-10+b1)
- default config/main functions for your Yesod application; profiling libraries
- libghc-yesod-default-prof-1.2.0-86e2e
- virtueel pakket geboden door libghc-yesod-default-prof
- libghc-yesod-default-prof-1.2.0-bd24e
- virtueel pakket geboden door libghc-yesod-default-prof
- libghc-yesod-dev (1.6.0-3+b1)
- framework for type-safe, RESTful web applications
- libghc-yesod-dev-1.6.0-2a535
- virtueel pakket geboden door libghc-yesod-dev
- libghc-yesod-dev-1.6.0-4dc34
- virtueel pakket geboden door libghc-yesod-dev
- libghc-yesod-dev-1.6.0-cdea4
- virtueel pakket geboden door libghc-yesod-dev
- libghc-yesod-dev-1.6.0-d2f24
- virtueel pakket geboden door libghc-yesod-dev
- libghc-yesod-doc (1.6.0-3)
- framework for type-safe, RESTful web applications; documentation
- libghc-yesod-form-dev (1.6.2-2+b1)
- framework for type-safe, RESTful web applications
- libghc-yesod-form-dev-1.6.2-41505
- virtueel pakket geboden door libghc-yesod-form-dev
- libghc-yesod-form-dev-1.6.2-b5874
- virtueel pakket geboden door libghc-yesod-form-dev
- libghc-yesod-form-dev-1.6.2-d8ff3
- virtueel pakket geboden door libghc-yesod-form-dev
- libghc-yesod-form-dev-1.6.2-dd050
- virtueel pakket geboden door libghc-yesod-form-dev
- libghc-yesod-form-doc (1.6.2-2)
- framework for type-safe, RESTful web applications; documentation
- libghc-yesod-form-prof (1.6.2-2+b1)
- framework for type-safe, RESTful web applications; profiling libraries
- libghc-yesod-form-prof-1.6.2-41505
- virtueel pakket geboden door libghc-yesod-form-prof
- libghc-yesod-form-prof-1.6.2-b5874
- virtueel pakket geboden door libghc-yesod-form-prof
- libghc-yesod-form-prof-1.6.2-d8ff3
- virtueel pakket geboden door libghc-yesod-form-prof
- libghc-yesod-form-prof-1.6.2-dd050
- virtueel pakket geboden door libghc-yesod-form-prof
- libghc-yesod-newsfeed-dev (1.6.1.0-3+b1)
- helper functions and data types for producing newsfeeds
- libghc-yesod-newsfeed-dev-1.6.1.0-0b750
- virtueel pakket geboden door libghc-yesod-newsfeed-dev
- libghc-yesod-newsfeed-dev-1.6.1.0-68a9b
- virtueel pakket geboden door libghc-yesod-newsfeed-dev
- libghc-yesod-newsfeed-dev-1.6.1.0-874e6
- virtueel pakket geboden door libghc-yesod-newsfeed-dev
- libghc-yesod-newsfeed-dev-1.6.1.0-b3363
- virtueel pakket geboden door libghc-yesod-newsfeed-dev
- libghc-yesod-newsfeed-doc (1.6.1.0-3)
- helper functions and data types for producing newsfeeds; documentation
- libghc-yesod-newsfeed-prof (1.6.1.0-3+b1)
- helper functions and data types for producing newsfeeds; profiling libraries
- libghc-yesod-newsfeed-prof-1.6.1.0-0b750
- virtueel pakket geboden door libghc-yesod-newsfeed-prof
- libghc-yesod-newsfeed-prof-1.6.1.0-68a9b
- virtueel pakket geboden door libghc-yesod-newsfeed-prof
- libghc-yesod-newsfeed-prof-1.6.1.0-874e6
- virtueel pakket geboden door libghc-yesod-newsfeed-prof
- libghc-yesod-newsfeed-prof-1.6.1.0-b3363
- virtueel pakket geboden door libghc-yesod-newsfeed-prof
- libghc-yesod-persistent-dev (1.6.0-2+b1)
- framework for type-safe, RESTful web applications
- libghc-yesod-persistent-dev-1.6.0-66797
- virtueel pakket geboden door libghc-yesod-persistent-dev
- libghc-yesod-persistent-dev-1.6.0-88992
- virtueel pakket geboden door libghc-yesod-persistent-dev
- libghc-yesod-persistent-dev-1.6.0-d537d
- virtueel pakket geboden door libghc-yesod-persistent-dev
- libghc-yesod-persistent-dev-1.6.0-e8ac1
- virtueel pakket geboden door libghc-yesod-persistent-dev
- libghc-yesod-persistent-doc (1.6.0-2)
- framework for type-safe, RESTful web applications; documentation
- libghc-yesod-persistent-prof (1.6.0-2+b1)
- framework for type-safe, RESTful web applications; profiling libraries
- libghc-yesod-persistent-prof-1.6.0-66797
- virtueel pakket geboden door libghc-yesod-persistent-prof
- libghc-yesod-persistent-prof-1.6.0-88992
- virtueel pakket geboden door libghc-yesod-persistent-prof
- libghc-yesod-persistent-prof-1.6.0-d537d
- virtueel pakket geboden door libghc-yesod-persistent-prof
- libghc-yesod-persistent-prof-1.6.0-e8ac1
- virtueel pakket geboden door libghc-yesod-persistent-prof
- libghc-yesod-prof (1.6.0-3+b1)
- framework for type-safe, RESTful web applications; profiling libraries
- libghc-yesod-prof-1.6.0-2a535
- virtueel pakket geboden door libghc-yesod-prof
- libghc-yesod-prof-1.6.0-4dc34
- virtueel pakket geboden door libghc-yesod-prof
- libghc-yesod-prof-1.6.0-cdea4
- virtueel pakket geboden door libghc-yesod-prof
- libghc-yesod-prof-1.6.0-d2f24
- virtueel pakket geboden door libghc-yesod-prof
- libghc-yesod-static-dev (1.6.0-3+b1)
- Static file serving subsite for Yesod Web Framework.
- libghc-yesod-static-dev-1.6.0-1cef5
- virtueel pakket geboden door libghc-yesod-static-dev
- libghc-yesod-static-dev-1.6.0-1fe79
- virtueel pakket geboden door libghc-yesod-static-dev
- libghc-yesod-static-dev-1.6.0-a1491
- virtueel pakket geboden door libghc-yesod-static-dev
- libghc-yesod-static-dev-1.6.0-e9c5b
- virtueel pakket geboden door libghc-yesod-static-dev
- libghc-yesod-static-doc (1.6.0-3)
- Static file serving subsite for Yesod Web Framework; documentation
- libghc-yesod-static-prof (1.6.0-3+b1)
- Static file serving subsite for Yesod Web Framework; profiling libraries
- libghc-yesod-static-prof-1.6.0-1cef5
- virtueel pakket geboden door libghc-yesod-static-prof
- libghc-yesod-static-prof-1.6.0-1fe79
- virtueel pakket geboden door libghc-yesod-static-prof
- libghc-yesod-static-prof-1.6.0-a1491
- virtueel pakket geboden door libghc-yesod-static-prof
- libghc-yesod-static-prof-1.6.0-e9c5b
- virtueel pakket geboden door libghc-yesod-static-prof
- libghc-yesod-test-dev (1.6.5-2+b1)
- integration testing for WAI/Yesod applications
- libghc-yesod-test-dev-1.6.5-1e893
- virtueel pakket geboden door libghc-yesod-test-dev
- libghc-yesod-test-dev-1.6.5-23e12
- virtueel pakket geboden door libghc-yesod-test-dev
- libghc-yesod-test-dev-1.6.5-c37b5
- virtueel pakket geboden door libghc-yesod-test-dev
- libghc-yesod-test-dev-1.6.5-ecc58
- virtueel pakket geboden door libghc-yesod-test-dev
- libghc-yesod-test-doc (1.6.5-2)
- integration testing for WAI/Yesod applications; documentation
- libghc-yesod-test-prof (1.6.5-2+b1)
- integration testing for WAI/Yesod applications; profiling libraries
- libghc-yesod-test-prof-1.6.5-1e893
- virtueel pakket geboden door libghc-yesod-test-prof
- libghc-yesod-test-prof-1.6.5-23e12
- virtueel pakket geboden door libghc-yesod-test-prof
- libghc-yesod-test-prof-1.6.5-c37b5
- virtueel pakket geboden door libghc-yesod-test-prof
- libghc-yesod-test-prof-1.6.5-ecc58
- virtueel pakket geboden door libghc-yesod-test-prof
- libghc-yi-core-dev (0.18.0-1+b1)
- Yi editor core library
- libghc-yi-core-dev-0.18.0-522ae
- virtueel pakket geboden door libghc-yi-core-dev
- libghc-yi-core-dev-0.18.0-7672a
- virtueel pakket geboden door libghc-yi-core-dev
- libghc-yi-core-dev-0.18.0-9475a
- virtueel pakket geboden door libghc-yi-core-dev
- libghc-yi-core-dev-0.18.0-b8698
- virtueel pakket geboden door libghc-yi-core-dev
- libghc-yi-core-doc (0.18.0-1)
- Yi editor core library; documentation
- libghc-yi-core-prof (0.18.0-1+b1)
- Yi editor core library; profiling libraries
- libghc-yi-core-prof-0.18.0-522ae
- virtueel pakket geboden door libghc-yi-core-prof
- libghc-yi-core-prof-0.18.0-7672a
- virtueel pakket geboden door libghc-yi-core-prof
- libghc-yi-core-prof-0.18.0-9475a
- virtueel pakket geboden door libghc-yi-core-prof
- libghc-yi-core-prof-0.18.0-b8698
- virtueel pakket geboden door libghc-yi-core-prof
- libghc-yi-frontend-pango-dev (0.18.0-1+b1)
- Pango frontend for Yi editor
- libghc-yi-frontend-pango-dev-0.18.0-08ca8
- virtueel pakket geboden door libghc-yi-frontend-pango-dev
- libghc-yi-frontend-pango-dev-0.18.0-282ba
- virtueel pakket geboden door libghc-yi-frontend-pango-dev
- libghc-yi-frontend-pango-dev-0.18.0-ba480
- virtueel pakket geboden door libghc-yi-frontend-pango-dev
- libghc-yi-frontend-pango-dev-0.18.0-f3d27
- virtueel pakket geboden door libghc-yi-frontend-pango-dev
- libghc-yi-frontend-pango-doc (0.18.0-1)
- Pango frontend for Yi editor; documentation
- libghc-yi-frontend-pango-prof (0.18.0-1+b1)
- Pango frontend for Yi editor; profiling libraries
- libghc-yi-frontend-pango-prof-0.18.0-08ca8
- virtueel pakket geboden door libghc-yi-frontend-pango-prof
- libghc-yi-frontend-pango-prof-0.18.0-282ba
- virtueel pakket geboden door libghc-yi-frontend-pango-prof
- libghc-yi-frontend-pango-prof-0.18.0-ba480
- virtueel pakket geboden door libghc-yi-frontend-pango-prof
- libghc-yi-frontend-pango-prof-0.18.0-f3d27
- virtueel pakket geboden door libghc-yi-frontend-pango-prof
- libghc-yi-frontend-vty-dev (0.18.0-1+b1)
- Vty frontend for Yi editor
- libghc-yi-frontend-vty-dev-0.18.0-2d631
- virtueel pakket geboden door libghc-yi-frontend-vty-dev
- libghc-yi-frontend-vty-dev-0.18.0-766d9
- virtueel pakket geboden door libghc-yi-frontend-vty-dev
- libghc-yi-frontend-vty-dev-0.18.0-8dee8
- virtueel pakket geboden door libghc-yi-frontend-vty-dev
- libghc-yi-frontend-vty-dev-0.18.0-96b57
- virtueel pakket geboden door libghc-yi-frontend-vty-dev
- libghc-yi-frontend-vty-doc (0.18.0-1)
- Vty frontend for Yi editor; documentation
- libghc-yi-frontend-vty-prof (0.18.0-1+b1)
- Vty frontend for Yi editor; profiling libraries
- libghc-yi-frontend-vty-prof-0.18.0-2d631
- virtueel pakket geboden door libghc-yi-frontend-vty-prof
- libghc-yi-frontend-vty-prof-0.18.0-766d9
- virtueel pakket geboden door libghc-yi-frontend-vty-prof
- libghc-yi-frontend-vty-prof-0.18.0-8dee8
- virtueel pakket geboden door libghc-yi-frontend-vty-prof
- libghc-yi-frontend-vty-prof-0.18.0-96b57
- virtueel pakket geboden door libghc-yi-frontend-vty-prof
- libghc-yi-keymap-emacs-dev (0.18.0-1+b1)
- Emacs keymap for Yi editor
- libghc-yi-keymap-emacs-dev-0.18.0-37ab6
- virtueel pakket geboden door libghc-yi-keymap-emacs-dev
- libghc-yi-keymap-emacs-dev-0.18.0-7db7e
- virtueel pakket geboden door libghc-yi-keymap-emacs-dev
- libghc-yi-keymap-emacs-dev-0.18.0-cd05f
- virtueel pakket geboden door libghc-yi-keymap-emacs-dev
- libghc-yi-keymap-emacs-dev-0.18.0-f4640
- virtueel pakket geboden door libghc-yi-keymap-emacs-dev
- libghc-yi-keymap-emacs-doc (0.18.0-1)
- Emacs keymap for Yi editor; documentation
- libghc-yi-keymap-emacs-prof (0.18.0-1+b1)
- Emacs keymap for Yi editor; profiling libraries
- libghc-yi-keymap-emacs-prof-0.18.0-37ab6
- virtueel pakket geboden door libghc-yi-keymap-emacs-prof
- libghc-yi-keymap-emacs-prof-0.18.0-7db7e
- virtueel pakket geboden door libghc-yi-keymap-emacs-prof
- libghc-yi-keymap-emacs-prof-0.18.0-cd05f
- virtueel pakket geboden door libghc-yi-keymap-emacs-prof
- libghc-yi-keymap-emacs-prof-0.18.0-f4640
- virtueel pakket geboden door libghc-yi-keymap-emacs-prof
- libghc-yi-keymap-vim-dev (0.18.0-1+b1)
- Vim keymap for Yi editor
- libghc-yi-keymap-vim-dev-0.18.0-5f7f0
- virtueel pakket geboden door libghc-yi-keymap-vim-dev
- libghc-yi-keymap-vim-dev-0.18.0-92a9f
- virtueel pakket geboden door libghc-yi-keymap-vim-dev
- libghc-yi-keymap-vim-dev-0.18.0-9974b
- virtueel pakket geboden door libghc-yi-keymap-vim-dev
- libghc-yi-keymap-vim-dev-0.18.0-ab656
- virtueel pakket geboden door libghc-yi-keymap-vim-dev
- libghc-yi-keymap-vim-doc (0.18.0-1)
- Vim keymap for Yi editor; documentation
- libghc-yi-keymap-vim-prof (0.18.0-1+b1)
- Vim keymap for Yi editor; profiling libraries
- libghc-yi-keymap-vim-prof-0.18.0-5f7f0
- virtueel pakket geboden door libghc-yi-keymap-vim-prof
- libghc-yi-keymap-vim-prof-0.18.0-92a9f
- virtueel pakket geboden door libghc-yi-keymap-vim-prof
- libghc-yi-keymap-vim-prof-0.18.0-9974b
- virtueel pakket geboden door libghc-yi-keymap-vim-prof
- libghc-yi-keymap-vim-prof-0.18.0-ab656
- virtueel pakket geboden door libghc-yi-keymap-vim-prof
- libghc-yi-language-dev (0.18.0-1+b1)
- collection of language-related Yi libraries.
- libghc-yi-language-dev-0.18.0-67b8a
- virtueel pakket geboden door libghc-yi-language-dev
- libghc-yi-language-dev-0.18.0-6f78f
- virtueel pakket geboden door libghc-yi-language-dev
- libghc-yi-language-dev-0.18.0-808c8
- virtueel pakket geboden door libghc-yi-language-dev
- libghc-yi-language-dev-0.18.0-c8bdb
- virtueel pakket geboden door libghc-yi-language-dev
- libghc-yi-language-doc (0.18.0-1)
- collection of language-related Yi libraries.; documentation
- libghc-yi-language-prof (0.18.0-1+b1)
- collection of language-related Yi libraries.; profiling libraries
- libghc-yi-language-prof-0.18.0-67b8a
- virtueel pakket geboden door libghc-yi-language-prof
- libghc-yi-language-prof-0.18.0-6f78f
- virtueel pakket geboden door libghc-yi-language-prof
- libghc-yi-language-prof-0.18.0-808c8
- virtueel pakket geboden door libghc-yi-language-prof
- libghc-yi-language-prof-0.18.0-c8bdb
- virtueel pakket geboden door libghc-yi-language-prof
- libghc-yi-misc-modes-dev (0.18.0-1+b1)
- Yi editor miscellaneous modes
- libghc-yi-misc-modes-dev-0.18.0-8fc2c
- virtueel pakket geboden door libghc-yi-misc-modes-dev
- libghc-yi-misc-modes-dev-0.18.0-cfed4
- virtueel pakket geboden door libghc-yi-misc-modes-dev
- libghc-yi-misc-modes-dev-0.18.0-e0615
- virtueel pakket geboden door libghc-yi-misc-modes-dev
- libghc-yi-misc-modes-dev-0.18.0-fb50c
- virtueel pakket geboden door libghc-yi-misc-modes-dev
- libghc-yi-misc-modes-doc (0.18.0-1)
- Yi editor miscellaneous modes; documentation
- libghc-yi-misc-modes-prof (0.18.0-1+b1)
- Yi editor miscellaneous modes; profiling libraries
- libghc-yi-misc-modes-prof-0.18.0-8fc2c
- virtueel pakket geboden door libghc-yi-misc-modes-prof
- libghc-yi-misc-modes-prof-0.18.0-cfed4
- virtueel pakket geboden door libghc-yi-misc-modes-prof
- libghc-yi-misc-modes-prof-0.18.0-e0615
- virtueel pakket geboden door libghc-yi-misc-modes-prof
- libghc-yi-misc-modes-prof-0.18.0-fb50c
- virtueel pakket geboden door libghc-yi-misc-modes-prof
- libghc-yi-mode-haskell-dev (0.18.0-1+b1)
- Yi editor haskell mode
- libghc-yi-mode-haskell-dev-0.18.0-870c3
- virtueel pakket geboden door libghc-yi-mode-haskell-dev
- libghc-yi-mode-haskell-dev-0.18.0-99729
- virtueel pakket geboden door libghc-yi-mode-haskell-dev
- libghc-yi-mode-haskell-dev-0.18.0-a1b14
- virtueel pakket geboden door libghc-yi-mode-haskell-dev
- libghc-yi-mode-haskell-dev-0.18.0-ff193
- virtueel pakket geboden door libghc-yi-mode-haskell-dev
- libghc-yi-mode-haskell-doc (0.18.0-1)
- Yi editor haskell mode; documentation
- libghc-yi-mode-haskell-prof (0.18.0-1+b1)
- Yi editor haskell mode; profiling libraries
- libghc-yi-mode-haskell-prof-0.18.0-870c3
- virtueel pakket geboden door libghc-yi-mode-haskell-prof
- libghc-yi-mode-haskell-prof-0.18.0-99729
- virtueel pakket geboden door libghc-yi-mode-haskell-prof
- libghc-yi-mode-haskell-prof-0.18.0-a1b14
- virtueel pakket geboden door libghc-yi-mode-haskell-prof
- libghc-yi-mode-haskell-prof-0.18.0-ff193
- virtueel pakket geboden door libghc-yi-mode-haskell-prof
- libghc-yi-mode-javascript-dev (0.18.0-1+b1)
- Yi editor javascript mode
- libghc-yi-mode-javascript-dev-0.18.0-1fb94
- virtueel pakket geboden door libghc-yi-mode-javascript-dev
- libghc-yi-mode-javascript-dev-0.18.0-29827
- virtueel pakket geboden door libghc-yi-mode-javascript-dev
- libghc-yi-mode-javascript-dev-0.18.0-9bf22
- virtueel pakket geboden door libghc-yi-mode-javascript-dev
- libghc-yi-mode-javascript-dev-0.18.0-f00c2
- virtueel pakket geboden door libghc-yi-mode-javascript-dev
- libghc-yi-mode-javascript-doc (0.18.0-1)
- Yi editor javascript mode; documentation
- libghc-yi-mode-javascript-prof (0.18.0-1+b1)
- Yi editor javascript mode; profiling libraries
- libghc-yi-mode-javascript-prof-0.18.0-1fb94
- virtueel pakket geboden door libghc-yi-mode-javascript-prof
- libghc-yi-mode-javascript-prof-0.18.0-29827
- virtueel pakket geboden door libghc-yi-mode-javascript-prof
- libghc-yi-mode-javascript-prof-0.18.0-9bf22
- virtueel pakket geboden door libghc-yi-mode-javascript-prof
- libghc-yi-mode-javascript-prof-0.18.0-f00c2
- virtueel pakket geboden door libghc-yi-mode-javascript-prof
- libghc-yi-rope-dev (0.11-2+b1)
- Rope data structure used by Yi
- libghc-yi-rope-dev-0.11-0634f
- virtueel pakket geboden door libghc-yi-rope-dev
- libghc-yi-rope-dev-0.11-0f5b0
- virtueel pakket geboden door libghc-yi-rope-dev
- libghc-yi-rope-dev-0.11-8bf40
- virtueel pakket geboden door libghc-yi-rope-dev
- libghc-yi-rope-dev-0.11-e7723
- virtueel pakket geboden door libghc-yi-rope-dev
- libghc-yi-rope-doc (0.11-2)
- Rope data structure used by Yi; documentation
- libghc-yi-rope-prof (0.11-2+b1)
- Rope data structure used by Yi; profiling libraries
- libghc-yi-rope-prof-0.11-0634f
- virtueel pakket geboden door libghc-yi-rope-prof
- libghc-yi-rope-prof-0.11-0f5b0
- virtueel pakket geboden door libghc-yi-rope-prof
- libghc-yi-rope-prof-0.11-8bf40
- virtueel pakket geboden door libghc-yi-rope-prof
- libghc-yi-rope-prof-0.11-e7723
- virtueel pakket geboden door libghc-yi-rope-prof
- libghc-zeromq4-haskell-dev (0.7.0-2+b1)
- bindings to ZeroMQ 4.x
- libghc-zeromq4-haskell-dev-0.7.0-29543
- virtueel pakket geboden door libghc-zeromq4-haskell-dev
- libghc-zeromq4-haskell-dev-0.7.0-4ece2
- virtueel pakket geboden door libghc-zeromq4-haskell-dev
- libghc-zeromq4-haskell-dev-0.7.0-65ba4
- virtueel pakket geboden door libghc-zeromq4-haskell-dev
- libghc-zeromq4-haskell-dev-0.7.0-a9b63
- virtueel pakket geboden door libghc-zeromq4-haskell-dev
- libghc-zeromq4-haskell-doc (0.7.0-2)
- bindings to ZeroMQ 4.x; documentation
- libghc-zeromq4-haskell-prof (0.7.0-2+b1)
- bindings to ZeroMQ 4.x; profiling libraries
- libghc-zeromq4-haskell-prof-0.7.0-29543
- virtueel pakket geboden door libghc-zeromq4-haskell-prof
- libghc-zeromq4-haskell-prof-0.7.0-4ece2
- virtueel pakket geboden door libghc-zeromq4-haskell-prof
- libghc-zeromq4-haskell-prof-0.7.0-65ba4
- virtueel pakket geboden door libghc-zeromq4-haskell-prof
- libghc-zeromq4-haskell-prof-0.7.0-a9b63
- virtueel pakket geboden door libghc-zeromq4-haskell-prof
- libghc-zip-archive-dev (0.3.3-3+b2)
- library to create, modify and extract files from zip archives
- libghc-zip-archive-dev-0.3.3-702c1
- virtueel pakket geboden door libghc-zip-archive-dev
- libghc-zip-archive-dev-0.3.3-86734
- virtueel pakket geboden door libghc-zip-archive-dev
- libghc-zip-archive-dev-0.3.3-93b6e
- virtueel pakket geboden door libghc-zip-archive-dev
- libghc-zip-archive-dev-0.3.3-957bd
- virtueel pakket geboden door libghc-zip-archive-dev
- libghc-zip-archive-doc (0.3.3-3)
- library to create, modify and extract files from zip archives; documentation
- libghc-zip-archive-prof (0.3.3-3+b2)
- library to create and modify zip archives; profiling libraries
- libghc-zip-archive-prof-0.3.3-702c1
- virtueel pakket geboden door libghc-zip-archive-prof
- libghc-zip-archive-prof-0.3.3-86734
- virtueel pakket geboden door libghc-zip-archive-prof
- libghc-zip-archive-prof-0.3.3-93b6e
- virtueel pakket geboden door libghc-zip-archive-prof
- libghc-zip-archive-prof-0.3.3-957bd
- virtueel pakket geboden door libghc-zip-archive-prof
- libghc-zlib-bindings-dev (0.1.1.5-9+b2)
- low-level bindings to zlib
- libghc-zlib-bindings-dev-0.1.1.5-7f0e1
- virtueel pakket geboden door libghc-zlib-bindings-dev
- libghc-zlib-bindings-dev-0.1.1.5-828e9
- virtueel pakket geboden door libghc-zlib-bindings-dev
- libghc-zlib-bindings-dev-0.1.1.5-87312
- virtueel pakket geboden door libghc-zlib-bindings-dev
- libghc-zlib-bindings-dev-0.1.1.5-c89e5
- virtueel pakket geboden door libghc-zlib-bindings-dev
- libghc-zlib-bindings-doc (0.1.1.5-9)
- low-level bindings to zlib; documentation
- libghc-zlib-bindings-prof (0.1.1.5-9+b2)
- low-level bindings to zlib; profiling libraries
- libghc-zlib-bindings-prof-0.1.1.5-7f0e1
- virtueel pakket geboden door libghc-zlib-bindings-prof
- libghc-zlib-bindings-prof-0.1.1.5-828e9
- virtueel pakket geboden door libghc-zlib-bindings-prof
- libghc-zlib-bindings-prof-0.1.1.5-87312
- virtueel pakket geboden door libghc-zlib-bindings-prof
- libghc-zlib-bindings-prof-0.1.1.5-c89e5
- virtueel pakket geboden door libghc-zlib-bindings-prof
- libghc-zlib-dev (0.6.2-2+b2)
- Compression and decompression in the gzip and zlib formats
- libghc-zlib-dev-0.6.2-2360c
- virtueel pakket geboden door libghc-zlib-dev
- libghc-zlib-dev-0.6.2-7add2
- virtueel pakket geboden door libghc-zlib-dev
- libghc-zlib-dev-0.6.2-7f9ff
- virtueel pakket geboden door libghc-zlib-dev
- libghc-zlib-dev-0.6.2-c91de
- virtueel pakket geboden door libghc-zlib-dev
- libghc-zlib-doc (0.6.2-2)
- Compression and decompression in the gzip and zlib formats; documentation
- libghc-zlib-prof (0.6.2-2+b2)
- Compression and decompression in the gzip and zlib formats; profiling libraries
- libghc-zlib-prof-0.6.2-2360c
- virtueel pakket geboden door libghc-zlib-prof
- libghc-zlib-prof-0.6.2-7add2
- virtueel pakket geboden door libghc-zlib-prof
- libghc-zlib-prof-0.6.2-7f9ff
- virtueel pakket geboden door libghc-zlib-prof
- libghc-zlib-prof-0.6.2-c91de
- virtueel pakket geboden door libghc-zlib-prof
- libghc-zxcvbn-c-dev (1.0.1-5+b2)
- Haskell bindings to password strength estimation library
- libghc-zxcvbn-c-dev-1.0.1-37929
- virtueel pakket geboden door libghc-zxcvbn-c-dev
- libghc-zxcvbn-c-dev-1.0.1-4e929
- virtueel pakket geboden door libghc-zxcvbn-c-dev
- libghc-zxcvbn-c-dev-1.0.1-55a5d
- virtueel pakket geboden door libghc-zxcvbn-c-dev
- libghc-zxcvbn-c-dev-1.0.1-86a08
- virtueel pakket geboden door libghc-zxcvbn-c-dev
- libghc-zxcvbn-c-doc (1.0.1-5)
- Haskell bindings to password strength estimation library; documentation
- libghc-zxcvbn-c-prof (1.0.1-5+b2)
- Haskell bindings to password strength estimation library; profiling libraries
- libghc-zxcvbn-c-prof-1.0.1-37929
- virtueel pakket geboden door libghc-zxcvbn-c-prof
- libghc-zxcvbn-c-prof-1.0.1-4e929
- virtueel pakket geboden door libghc-zxcvbn-c-prof
- libghc-zxcvbn-c-prof-1.0.1-55a5d
- virtueel pakket geboden door libghc-zxcvbn-c-prof
- libghc-zxcvbn-c-prof-1.0.1-86a08
- virtueel pakket geboden door libghc-zxcvbn-c-prof
- libghc6-edison-api-doc
- virtueel pakket geboden door libghc-edison-api-doc
- libghemical-data (3.0.0-4.2)
- Molecular Modelling Library (data files)
- libghemical-dev (3.0.0-4.2)
- Molecular Modelling Library (development files)
- libghemical5v5 (3.0.0-4.2)
- Molecular Modelling Library
- libghmm-dev (0.9~rc3-2)
- General Hidden-Markov-Model library - header files
- libghmm1 (0.9~rc3-2)
- General Hidden-Markov-Model library
- libgiac-dev (1.4.9.69+dfsg1-2)
- Computer Algebra System C++ library - Development files
- libgiac0 (1.4.9.69+dfsg1-2)
- Computer Algebra System C++ library
- libgif-dev (5.1.4-3+deb10u1) [security]
- library for GIF images (development)
- libgif7 (5.1.4-3+deb10u1) [security]
- library for GIF images (library)
- libgiftiio-dev (1.0.9-3)
- IO library for the GIFTI cortical surface data format
- libgiftiio0 (1.0.9-3)
- IO library for the GIFTI cortical surface data format
- libgig-dev (4.1.0~repack-2)
- development files for libgig
- libgig-doc (4.1.0~repack-2)
- HTML documentation for libgig
- libgig8 (4.1.0~repack-2)
- library for loading and modifying Gigasampler and DLS files
- libgimp2.0 (2.10.8-2+deb10u1) [security]
- Libraries for the GNU Image Manipulation Program
- libgimp2.0-dev (2.10.8-2+deb10u1) [security]
- Headers and other files for compiling plugins for GIMP
- libgimp2.0-doc (2.10.8-2+deb10u1) [security]
- Developers' Documentation for the GIMP library
- libginac-dev (1.7.5-1)
- GiNaC symbolic framework development files
- libginac6 (1.7.5-1)
- GiNaC symbolic framework runtime library
- libgio-cil (2.22.3-3)
- CLI binding for the GIO I/O stack 2.22
- libgio2.0-cil-dev (2.22.3-3)
- CLI binding for the GIO I/O stack 2.22
- libgio3.0-cil (2.99.3-3)
- CLI binding for the GIO libraries 2.24
- libgio3.0-cil-dev (2.99.3-3)
- CLI binding for GIO 2.24
- libgirara-dev (0.3.2-1)
- library for minimalistic user interfaces (development files)
- libgirara-doc (0.3.2-1)
- library for minimalistic user interfaces (documentation)
- libgirara-gtk3-3 (0.3.2-1)
- library for minimalistic user interfaces (shared libraries)
- libgirepository-1.0-1 (1.58.3-2)
- Library for handling GObject introspection data (runtime library)
- libgirepository1.0-dev (1.58.3-2)
- Library for handling GObject introspection data (development files)
- libgirepository1.0-doc (1.58.3-2)
- Library for handling GObject introspection data (documentation)
- libgisi-dev (0.1.0-2)
- low-level communication library for ISI modems (devel files)
- libgisi0 (0.1.0-2)
- low-level communication library for ISI modems
- libgisicomm-dev (0.1.0-2)
- high-level communication library for ISI modems (devel files)
- libgisicomm0 (0.1.0-2)
- high-level communication library for ISI modems
- libgit-objectstore-perl (0.007-2)
- abstraction layer for Git::Raw and libgit2
- libgit-pure-perl
- virtueel pakket geboden door libgit-pureperl-perl
- libgit-pureperl-perl (0.53-1)
- Pure Perl interface to Git repositories
- libgit-raw-perl (0.79-6)
- Perl bindings to the Git linkable library (libgit2)
- libgit-repository-perl (1.323-1)
- Perl interface to Git repositories
- libgit-repository-plugin-log-perl (1.314-1)
- Git::Repository plugin adding log items
- libgit-sub-perl (0.163320-2)
- git commands imported as System::Sub subs in the git:: namespace
- libgit-version-compare-perl (1.004-1)
- module to compare Git versions
- libgit-wrapper-perl (0.048-1)
- Perl module to wrap git command-line interface
- libgit2-27 (0.27.7+dfsg.1-0.2+deb10u2) [security]
- low-level Git library
- libgit2-dev (0.27.7+dfsg.1-0.2+deb10u2) [security]
- low-level Git library (development files)
- libgit2-glib-1.0-0 (0.27.7-1)
- glib wrapper library around the libgit2 git access library
- libgit2-glib-1.0-dev (0.27.7-1)
- glib wrapper library around the libgit2 git access library - development files
- libgit2-glib-1.0-doc (0.27.7-1)
- glib wrapper library around the libgit2 git access library - documentation
- libgitlab-api-v4-perl (0.16-1)
- GitLab API v4 module and command-line client
- libgivaro-dev (4.0.4-2)
- arithmetic and algebraic computations - development files
- libgivaro-doc (4.0.4-2)
- Documentation for Givaro
- libgivaro9 (4.0.4-2)
- arithmetic and algebraic computations
- libgiza0 (1.1.0-1)
- Lightweight scientific plotting library (shared library)
- libgjs-dev (1.54.3-1)
- Mozilla-based javascript bindings for the GNOME platform
- libgjs0-libmozjs-52-0
- virtueel pakket geboden door libgjs0g
- libgjs0g (1.54.3-1)
- Mozilla-based javascript bindings for the GNOME platform
- libgkarrays-dev (2.1.0+dfsg-2)
- library to query large collection of NGS sequences (development)
- libgkarrays1 (2.1.0+dfsg-2)
- library to query large collection of NGS sequences
- libgkeyfile-cil-dev (0.1-5)
- GObject-based wrapper library for GKeyFile -- CLI development files
- libgkeyfile1.0-cil (0.1-5)
- GObject-based wrapper library for GKeyFile -- CLI bindings
- libgkl-java (0.8.5+dfsg-2)
- Java library to manipulate SAM and BAM files
- libgkl-jni (0.8.5+dfsg-2)
- native libraries of Java library to manipulate SAM and BAM files
- libgl-dev
- virtueel pakket geboden door libgl1-mesa-dev
- libgl1 (1.1.0-1)
- Vendor neutral GL dispatch library -- legacy GL support
- libgl1-mesa-dev (18.3.6-2+deb10u1)
- free implementation of the OpenGL API -- GLX development files
- libgl1-mesa-dri (18.3.6-2+deb10u1)
- free implementation of the OpenGL API -- DRI modules
- libgl1-mesa-glx (18.3.6-2+deb10u1)
- transitional dummy package
- libgl1-nvidia-glvnd-glx (418.226.00-3) [non-free]
- NVIDIA binary OpenGL/GLX library (GLVND variant)
- libgl1-nvidia-glx (418.226.00-3) [non-free]
- NVIDIA binary OpenGL/GLX library (non-GLVND variant)
- libgl1-nvidia-glx-any
- virtueel pakket geboden door libgl1-nvidia-legacy-390xx-glx, libgl1-nvidia-legacy-390xx-glvnd-glx, libgl1-nvidia-glx, libgl1-nvidia-glvnd-glx, libgl1-nvidia-legacy-340xx-glx
- libgl1-nvidia-legacy-340xx-glx (340.108-3~deb10u1) [non-free]
- NVIDIA binary OpenGL/GLX library (340xx legacy version)
- libgl1-nvidia-legacy-390xx-glvnd-glx (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL/GLX library (GLVND variant) (390xx legacy version)
- libgl1-nvidia-legacy-390xx-glx (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL/GLX library (non-GLVND variant) (390xx legacy version)
- libgl2ps-dev (1.4.0+dfsg1-2)
- Lib providing high quality vector output for OpenGL application, dev files
- libgl2ps-doc (1.4.0+dfsg1-2)
- Lib providing high quality vector output for OpenGL application. Documentation
- libgl2ps1.4 (1.4.0+dfsg1-2)
- Lib providing high quality vector output for OpenGL application
- libglabels5
- virtueel pakket geboden door glabels
- libglade2-0 (1:2.6.4-2+b1)
- library to load .glade files at runtime
- libglade2-dev (1:2.6.4-2+b1)
- development files for libglade
- libglade2.0-cil (2.12.40-2)
- CLI binding for the Glade libraries 2.6
- libglade2.0-cil-dev (2.12.40-2)
- CLI binding for the Glade libraries 2.6
- libglademm-2.4-1v5 (2.6.7-6)
- C++ wrappers for libglade2 (shared library)
- libglademm-2.4-dev (2.6.7-6)
- C++ wrappers for libglade2 (development files)
- libglademm-2.4-doc (2.6.7-6)
- C++ wrappers for libglade2 (documentation)
- libgladeui-2-6 (3.22.1-3)
- GTK+ User Interface Build core library
- libgladeui-common (3.22.1-3)
- GTK+ User Interface Build core library (common files)
- libgladeui-dev (3.22.1-3)
- GTK+ User Interface Build core library (development files)
- libgladeui-doc (3.22.1-3)
- GTK+ User Interface Build core library (documentation)
- libglapi-mesa (18.3.6-2+deb10u1)
- free implementation of the GL API -- shared library
- libglazedlists-java (1.9.1-2)
- java list transformation library
- libglazedlists-java-doc (1.9.1-2)
- java list transformation library (documentation)
- libglbinding-dev (2.1.1-2)
- development files for glbinding
- libglbinding2 (2.1.1-2)
- cross-platform C++ binding for OpenGL
- libglbsp-dev (2.24-4)
- node builder library for OpenGL-based Doom-style games (headers)
- libglbsp3 (2.24-4)
- node builder library for OpenGL-based Doom-style games
- libglc-dev (0.7.2-6)
- Implementation of SGI's OpenGL Character Renderer (GLC)
- libglc0 (0.7.2-6)
- QuesoGLC OpenGL Character Renderer (GLC) implementation
- libgle-dev
- virtueel pakket geboden door libgle3-dev
- libgle-doc
- virtueel pakket geboden door gle-doc
- libgle3 (3.1.0-7.2)
- OpenGL tubing and extrusion library
- libgle3-dev (3.1.0-7.2)
- OpenGL tubing and extrusion library development files
- libgles-nvidia-legacy-390xx1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL|ES 1.x library (390xx legacy version)
- libgles-nvidia-legacy-390xx2 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL|ES 2.x library (390xx legacy version)
- libgles-nvidia1 (418.226.00-3) [non-free]
- NVIDIA binary OpenGL|ES 1.x library
- libgles-nvidia2 (418.226.00-3) [non-free]
- NVIDIA binary OpenGL|ES 2.x library
- libgles1 (1.1.0-1)
- Vendor neutral GL dispatch library -- GLESv1 support
- libgles1
- virtueel pakket geboden door mali-t62x-fbdev-driver, mali-t76x-x11-driver, mali-t62x-wayland-driver, mali-t76x-fbdev-driver, mali-t62x-x11-driver, mali-t76x-wayland-driver
- libgles1-nvidia-legacy-340xx (340.108-3~deb10u1) [non-free]
- NVIDIA binary OpenGL|ES 1.x library (340xx legacy version)
- libgles2 (1.1.0-1)
- Vendor neutral GL dispatch library -- GLESv2 support
- libgles2
- virtueel pakket geboden door mali-t62x-x11-driver, mali-t76x-wayland-driver, mali-t76x-fbdev-driver, mali-t76x-x11-driver, mali-t62x-wayland-driver, mali-t62x-fbdev-driver
- libgles2-mesa (18.3.6-2+deb10u1)
- transitional dummy package
- libgles2-mesa-dev (18.3.6-2+deb10u1)
- free implementation of the OpenGL|ES 2.x API -- development files
- libgles2-nvidia-legacy-340xx (340.108-3~deb10u1) [non-free]
- NVIDIA binary OpenGL|ES 2.x library (340xx legacy version)
- libglew-dev (2.1.0-4)
- OpenGL Extension Wrangler - development environment
- libglew1.5-dev
- virtueel pakket geboden door libglew-dev
- libglew1.6-dev
- virtueel pakket geboden door libglew-dev
- libglew2.1 (2.1.0-4)
- OpenGL Extension Wrangler - runtime environment
- libglewmx-dev (1.13.0-4+b11)
- OpenGL Extension Wrangler MX - development environment
- libglewmx1.13 (1.13.0-4+b11)
- OpenGL Extension Wrangler (Multiple Rendering Contexts)
- libglewmx1.5-dev
- virtueel pakket geboden door libglewmx-dev
- libglewmx1.6-dev
- virtueel pakket geboden door libglewmx-dev
- libglfw3 (3.2.1-1)
- portable library for OpenGL, window and input (x11 libraries)
- libglfw3-dev (3.2.1-1)
- portable library for OpenGL, window and input (development files)
- libglfw3-doc (3.2.1-1)
- portable library for OpenGL, window and input (documentation)
- libglfw3-wayland (3.2.1-1)
- portable library for OpenGL, window and input (wayland libraries)
- libglib-object-introspection-perl (0.047-1)
- Perl bindings for gobject-introspection libraries
- libglib-perl (3:1.329-1)
- interface to the GLib and GObject libraries
- libglib2.0-0 (2.58.3-2+deb10u6) [security]
- GLib library of C routines
- libglib2.0-bin (2.58.3-2+deb10u6) [security]
- Programs for the GLib library
- libglib2.0-cil (2.12.40-2)
- CLI binding for the GLib utility library 2.12
- libglib2.0-cil-dev (2.12.40-2)
- CLI binding for the GLib utility library 2.12
- libglib2.0-data (2.58.3-2+deb10u6) [security]
- Common files for GLib library
- libglib2.0-dev (2.58.3-2+deb10u6) [security]
- Development files for the GLib library
- libglib2.0-dev-bin (2.58.3-2+deb10u6) [security]
- Development utilities for the GLib library
- libglib2.0-doc (2.58.3-2+deb10u6) [security]
- Documentation files for the GLib library
- libglib2.0-tests (2.58.3-2+deb10u6) [security]
- GLib library of C routines - installed tests
- libglib2.0-udeb (2.58.3-2+deb10u3)
- GLib library of C routines - minimal runtime
- libglib3.0-cil (2.99.3-3)
- CLI binding for the GLib library of C routines
- libglib3.0-cil-dev (2.99.3-3)
- CLI binding for the GLib utility library 2.12
- libglibd-2.0-0 (2.0.2-1)
- GLib library of C routines - D bindings
- libglibd-2.0-dev (2.0.2-1)
- GLib library of C routines - development files for D
- libglibmm-2.4-1v5 (2.58.0-2)
- C++ wrapper for the GLib toolkit (shared libraries)
- libglibmm-2.4-dev (2.58.0-2)
- C++ wrapper for the GLib toolkit (development files)
- libglibmm-2.4-doc (2.58.0-2)
- C++ wrapper for the GLib toolkit (documentation)
- libglide3 (2002.04.10ds1-15)
- graphics library for 3Dfx Voodoo 3+ based cards - shared libraries
- libglide3-dev (2002.04.10ds1-15)
- graphics library for 3Dfx Voodoo 3+ based cards - development files
- libglm-dev (0.9.9.3-2)
- C++ library for OpenGL GLSL type-based mathematics
- libglm-doc (0.9.9.3-2)
- documentation for the OpenGL Mathematics (GLM) library
- libglobalarrays-dev (5.7-4)
- Distributed Shared-Memory Programming Environment (development)
- libglobjects-dev (1.1.0-3)
- development files for globjects
- libglobjects1 (1.1.0-3)
- cross-platform C++ wrapper for OpenGL API objects
- libglobus-authz-callout-error-dev (4.1-1)
- Grid Community Toolkit - Globus authz error library Development Files
- libglobus-authz-callout-error-doc (4.1-1)
- Grid Community Toolkit - Globus authz error library Documentation Files
- libglobus-authz-callout-error0 (4.1-1)
- Grid Community Toolkit - Globus authz error library
- libglobus-authz-dev (4.2-1)
- Grid Community Toolkit - Globus authz library Development Files
- libglobus-authz-doc (4.2-1)
- Grid Community Toolkit - Globus authz library Documentation Files
- libglobus-authz0 (4.2-1)
- Grid Community Toolkit - Globus authz library
- libglobus-callout-dev (4.1-1)
- Grid Community Toolkit - Globus Callout Library Development Files
- libglobus-callout-doc (4.1-1)
- Grid Community Toolkit - Globus Callout Library Documentation Files
- libglobus-callout0 (4.1-1)
- Grid Community Toolkit - Globus Callout Library
- libglobus-common-dev (18.2-1)
- Grid Community Toolkit - Common Library Development Files
- libglobus-common-doc (18.2-1)
- Grid Community Toolkit - Common Library Documentation Files
- libglobus-common0 (18.2-1)
- Grid Community Toolkit - Common Library
- libglobus-ftp-client-dev (9.2-1)
- Grid Community Toolkit - GridFTP Client Library Development Files
- libglobus-ftp-client-doc (9.2-1)
- Grid Community Toolkit - GridFTP Client Library Documentation Files
- libglobus-ftp-client2 (9.2-1)
- Grid Community Toolkit - GridFTP Client Library
- libglobus-ftp-control-dev (9.4-1)
- Grid Community Toolkit - GridFTP Control Library Development Files
- libglobus-ftp-control-doc (9.4-1)
- Grid Community Toolkit - GridFTP Control Library Documentation Files
- libglobus-ftp-control1 (9.4-1)
- Grid Community Toolkit - GridFTP Control Library
- libglobus-gass-cache-dev (10.1-1)
- Grid Community Toolkit - Globus Gass Cache Development Files
- libglobus-gass-cache-doc (10.1-1)
- Grid Community Toolkit - Globus Gass Cache Documentation Files
- libglobus-gass-cache5 (10.1-1)
- Grid Community Toolkit - Globus Gass Cache
- libglobus-gass-copy-dev (10.4-1)
- Grid Community Toolkit - Globus Gass Copy Development Files
- libglobus-gass-copy-doc (10.4-1)
- Grid Community Toolkit - Globus Gass Copy Documentation Files
- libglobus-gass-copy2 (10.4-1)
- Grid Community Toolkit - Globus Gass Copy
- libglobus-gass-server-ez-dev (6.1-1)
- Grid Community Toolkit - Globus Gass Server_ez Development Files
- libglobus-gass-server-ez2 (6.1-1)
- Grid Community Toolkit - Globus Gass Server_ez
- libglobus-gass-transfer-dev (9.1-1)
- Grid Community Toolkit - Globus Gass Transfer Development Files
- libglobus-gass-transfer-doc (9.1-1)
- Grid Community Toolkit - Globus Gass Transfer Documentation Files
- libglobus-gass-transfer2 (9.1-1)
- Grid Community Toolkit - Globus Gass Transfer
- libglobus-gfork-dev (5.0-1)
- Grid Community Toolkit - GFork Development Files
- libglobus-gfork0 (5.0-1)
- Grid Community Toolkit - GFork
- libglobus-gram-client-dev (14.2-1)
- Grid Community Toolkit - GRAM Client Library Development Files
- libglobus-gram-client-doc (14.2-1)
- Grid Community Toolkit - GRAM Client Library Documentation Files
- libglobus-gram-client3 (14.2-1)
- Grid Community Toolkit - GRAM Client Library
- libglobus-gram-job-manager-callout-error-dev (4.1-1)
- Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Development Files
- libglobus-gram-job-manager-callout-error-doc (4.1-1)
- Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Documentation Files
- libglobus-gram-job-manager-callout-error0 (4.1-1)
- Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors
- libglobus-gram-protocol-dev (13.2-1)
- Grid Community Toolkit - GRAM Protocol Library Development Files
- libglobus-gram-protocol-doc (13.2-1)
- Grid Community Toolkit - GRAM Protocol Library Documentation Files
- libglobus-gram-protocol3 (13.2-1)
- Grid Community Toolkit - GRAM Protocol Library
- libglobus-gridftp-server-control-dev (8.0-1)
- Grid Community Toolkit - Globus GridFTP Server Library Development Files
- libglobus-gridftp-server-control0 (8.0-1)
- Grid Community Toolkit - Globus GridFTP Server Library
- libglobus-gridftp-server-dev (13.11-1)
- Grid Community Toolkit - Globus GridFTP Server Development Files
- libglobus-gridftp-server6 (13.11-1)
- Grid Community Toolkit - Globus GridFTP Server
- libglobus-gridmap-callout-error-dev (3.1-1)
- Grid Community Toolkit - Globus Gridmap Callout Errors Development Files
- libglobus-gridmap-callout-error-doc (3.1-1)
- Grid Community Toolkit - Globus Gridmap Callout Errors Documentation Files
- libglobus-gridmap-callout-error0 (3.1-1)
- Grid Community Toolkit - Globus Gridmap Callout Errors
- libglobus-gridmap-eppn-callout (2.0-1)
- Grid Community Toolkit - Globus gridmap ePPN callout
- libglobus-gridmap-verify-myproxy-callout (3.0-1)
- Grid Community Toolkit - Globus gridmap myproxy callout
- libglobus-gsi-callback-dev (6.1-1)
- Grid Community Toolkit - Globus GSI Callback Library Development Files
- libglobus-gsi-callback-doc (6.1-1)
- Grid Community Toolkit - Globus GSI Callback Library Documentation Files
- libglobus-gsi-callback0 (6.1-1)
- Grid Community Toolkit - Globus GSI Callback Library
- libglobus-gsi-cert-utils-dev (10.2-1)
- Grid Community Toolkit - Globus GSI Cert Utils Library Development Files
- libglobus-gsi-cert-utils-doc (10.2-1)
- Grid Community Toolkit - Globus GSI Cert Utils Library Documentation Files
- libglobus-gsi-cert-utils0 (10.2-1)
- Grid Community Toolkit - Globus GSI Cert Utils Library
- libglobus-gsi-credential-dev (8.1-1)
- Grid Community Toolkit - Globus GSI Credential Library Development Files
- libglobus-gsi-credential-doc (8.1-1)
- Grid Community Toolkit - Globus GSI Credential Library Documentation Files
- libglobus-gsi-credential1 (8.1-1)
- Grid Community Toolkit - Globus GSI Credential Library
- libglobus-gsi-openssl-error-dev (4.1-1)
- Grid Community Toolkit - Globus OpenSSL Error Handling Development Files
- libglobus-gsi-openssl-error-doc (4.1-1)
- Grid Community Toolkit - Globus OpenSSL Error Handling Documentation Files
- libglobus-gsi-openssl-error0 (4.1-1)
- Grid Community Toolkit - Globus OpenSSL Error Handling
- libglobus-gsi-proxy-core-dev (9.2-1)
- Grid Community Toolkit - Globus GSI Proxy Core Library Development Files
- libglobus-gsi-proxy-core-doc (9.2-1)
- Grid Community Toolkit - Globus GSI Proxy Core Library Documentation Files
- libglobus-gsi-proxy-core0 (9.2-1)
- Grid Community Toolkit - Globus GSI Proxy Core Library
- libglobus-gsi-proxy-ssl-dev (6.1-1)
- Grid Community Toolkit - Globus GSI Proxy SSL Library Development Files
- libglobus-gsi-proxy-ssl-doc (6.1-1)
- Grid Community Toolkit - Globus GSI Proxy SSL Library Documentation Files
- libglobus-gsi-proxy-ssl1 (6.1-1)
- Grid Community Toolkit - Globus GSI Proxy SSL Library
- libglobus-gsi-sysconfig-dev (9.2-1)
- Grid Community Toolkit - Globus GSI System Config Library Development Files
- libglobus-gsi-sysconfig-doc (9.2-1)
- Grid Community Toolkit - Globus GSI System Config Library Documentation Files
- libglobus-gsi-sysconfig1 (9.2-1)
- Grid Community Toolkit - Globus GSI System Config Library
- libglobus-gss-assist-dev (12.2-1)
- Grid Community Toolkit - GSSAPI Assist library Development Files
- libglobus-gss-assist-doc (12.2-1)
- Grid Community Toolkit - GSSAPI Assist library Documentation Files
- libglobus-gss-assist3 (12.2-1)
- Grid Community Toolkit - GSSAPI Assist library
- libglobus-gssapi-error-dev (6.1-1)
- Grid Community Toolkit - GSSAPI Error Library Development Files
- libglobus-gssapi-error-doc (6.1-1)
- Grid Community Toolkit - GSSAPI Error Library Documentation Files
- libglobus-gssapi-error2 (6.1-1)
- Grid Community Toolkit - GSSAPI Error Library
- libglobus-gssapi-gsi-dev (14.10-1)
- Grid Community Toolkit - GSSAPI library Development Files
- libglobus-gssapi-gsi-doc (14.10-1)
- Grid Community Toolkit - GSSAPI library Documentation Files
- libglobus-gssapi-gsi4 (14.10-1)
- Grid Community Toolkit - GSSAPI library
- libglobus-io-dev (12.1-1)
- Grid Community Toolkit - uniform I/O interface Development Files
- libglobus-io3 (12.1-1)
- Grid Community Toolkit - uniform I/O interface
- libglobus-net-manager-dev (1.3-1)
- Grid Community Toolkit - Network Manager Library Development Files
- libglobus-net-manager-doc (1.3-1)
- Grid Community Toolkit - Network Manager Library Documentation Files
- libglobus-net-manager0 (1.3-1)
- Grid Community Toolkit - Network Manager Library
- libglobus-openssl-module-dev (5.1-1)
- Grid Community Toolkit - Globus OpenSSL Module Wrapper Development Files
- libglobus-openssl-module-doc (5.1-1)
- Grid Community Toolkit - Globus OpenSSL Module Wrapper Documentation Files
- libglobus-openssl-module0 (5.1-1)
- Grid Community Toolkit - Globus OpenSSL Module Wrapper
- libglobus-rsl-assist-dev
- virtueel pakket geboden door libglobus-rsl-dev
- libglobus-rsl-assist-doc
- virtueel pakket geboden door libglobus-rsl-doc
- libglobus-rsl-assist2
- virtueel pakket geboden door libglobus-rsl2
- libglobus-rsl-dev (11.1-1)
- Grid Community Toolkit - Resource Specification Language Library Development Files
- libglobus-rsl-doc (11.1-1)
- Grid Community Toolkit - Resource Specification Language Library Documentation Files
- libglobus-rsl2 (11.1-1)
- Grid Community Toolkit - Resource Specification Language Library
- libglobus-scheduler-event-generator-dev (6.1-1)
- Grid Community Toolkit - Scheduler Event Generator Development Files
- libglobus-scheduler-event-generator-doc (6.1-1)
- Grid Community Toolkit - Scheduler Event Generator Documentation Files
- libglobus-scheduler-event-generator0 (6.1-1)
- Grid Community Toolkit - Scheduler Event Generator
- libglobus-usage-dev (5.0-1)
- Grid Community Toolkit - Usage Library Development Files
- libglobus-usage0 (5.0-1)
- Grid Community Toolkit - Usage Library
- libglobus-xio-dev (6.1-1)
- Grid Community Toolkit - Globus XIO Framework Development Files
- libglobus-xio-doc (6.1-1)
- Grid Community Toolkit - Globus XIO Framework Documentation Files
- libglobus-xio-gridftp-driver (3.2-1)
- Grid Community Toolkit - Globus XIO GridFTP Driver
- libglobus-xio-gridftp-driver-dev (3.2-1)
- Grid Community Toolkit - Globus XIO GridFTP Driver Development Files
- libglobus-xio-gridftp-driver-doc (3.2-1)
- Grid Community Toolkit - Globus XIO GridFTP Driver Documentation Files
- libglobus-xio-gridftp-multicast (2.0-1)
- Grid Community Toolkit - Globus XIO GridFTP Multicast Driver
- libglobus-xio-gridftp-multicast-dev (2.0-1)
- Grid Community Toolkit - Globus XIO GridFTP Multicast Driver Development Files
- libglobus-xio-gsi-driver (5.1-1)
- Grid Community Toolkit - Globus XIO GSI Driver
- libglobus-xio-gsi-driver-dev (5.1-1)
- Grid Community Toolkit - Globus XIO GSI Driver Development Files
- libglobus-xio-gsi-driver-doc (5.1-1)
- Grid Community Toolkit - Globus XIO GSI Driver Documentation Files
- libglobus-xio-net-manager-driver (1.3-1)
- Grid Community Toolkit - Globus XIO Network Manager Driver
- libglobus-xio-net-manager-driver-dev (1.3-1)
- Grid Community Toolkit - Globus XIO Network Manager Driver Development Files
- libglobus-xio-pipe-driver (4.0-1)
- Grid Community Toolkit - Globus Pipe Driver
- libglobus-xio-pipe-driver-dev (4.0-1)
- Grid Community Toolkit - Globus Pipe Driver Development Files
- libglobus-xio-popen-driver (4.0-1)
- Grid Community Toolkit - Globus XIO Pipe Open Driver
- libglobus-xio-popen-driver-dev (4.0-1)
- Grid Community Toolkit - Globus XIO Pipe Open Driver Development Files
- libglobus-xio-rate-driver (2.0-1)
- Grid Community Toolkit - Globus XIO Rate Limiting Driver
- libglobus-xio-rate-driver-dev (2.0-1)
- Grid Community Toolkit - Globus XIO Rate Limiting Driver Development Files
- libglobus-xio-udt-driver (2.0-1)
- Grid Community Toolkit - Globus XIO UDT Driver
- libglobus-xio-udt-driver-dev (2.0-1)
- Grid Community Toolkit - Globus XIO UDT Driver Development Files
- libglobus-xio0 (6.1-1)
- Grid Community Toolkit - Globus XIO Framework
- libglom-1.30-0 (1.30.4-3)
- Glom library (a database designer and user interface) - library
- libglom-1.30-dev (1.30.4-3)
- Glom library (a database designer and user interface) - header files
- libgloox-dbg (1.0.22-0.1)
- C++ jabber/xmpp library (debug symbols)
- libgloox-dev (1.0.22-0.1)
- C++ jabber/xmpp library (devel)
- libgloox-doc (1.0.22-0.1)
- C++ jabber/xmpp library (API documentation)
- libgloox17 (1.0.22-0.1)
- C++ jabber/xmpp library
- libglpk-dev (4.65-2)
- linear programming kit - development files
- libglpk-java (1.12.0-1)
- Java binding to the GNU Linear Programming Kit
- libglpk40 (4.65-2)
- linear programming kit with integer (MIP) support
- libgltf-0.1-1 (0.1.0-3)
- Library for rendering glTF models
- libgltf-dev (0.1.0-3)
- Library for rendering glTF models -- development
- libglu-dev
- virtueel pakket geboden door libglu1-mesa-dev
- libglu1
- virtueel pakket geboden door libglu1-mesa
- libglu1-mesa (9.0.0-2.1+b3)
- Mesa OpenGL utility library (GLU)
- libglu1-mesa-dev (9.0.0-2.1+b3)
- Mesa OpenGL utility library -- development files
- libgluegen2-build-java (2.3.2-7)
- Tool to automatically generate the Java and JNI code
- libgluegen2-doc (2.3.2-7)
- Tool to automatically generate the Java and JNI code
- libgluegen2-jni (2.3.2-7)
- Tool to automatically generate the Java and JNI code
- libgluegen2-rt-java (2.3.2-7)
- Tool to automatically generate the Java and JNI code
- libglusterfs-dev (5.5-3)
- Development files for GlusterFS libraries
- libglusterfs0 (5.5-3)
- GlusterFS shared library
- libglvnd-core-dev (1.1.0-1)
- Vendor neutral GL dispatch library -- core development files
- libglvnd-dev (1.1.0-1)
- Vendor neutral GL dispatch library -- development files
- libglvnd0 (1.1.0-1)
- Vendor neutral GL dispatch library
- libglw-dev
- virtueel pakket geboden door libglw1-mesa-dev
- libglw1
- virtueel pakket geboden door libglw1-mesa
- libglw1-mesa (8.0.0-1.1+b1)
- GL widget library for Athena and Motif -- runtime
- libglw1-mesa-dev (8.0.0-1.1+b1)
- GL widget library for Athena and Motif -- development files
- libglx-mesa0 (18.3.6-2+deb10u1)
- free implementation of the OpenGL API -- GLX vendor library
- libglx-nvidia-legacy-390xx0 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary GLX library (390xx legacy version)
- libglx-nvidia0 (418.226.00-3) [non-free]
- NVIDIA binary GLX library
- libglx-vendor
- virtueel pakket geboden door libglx-nvidia0, libglx-mesa0, libglx-nvidia-legacy-390xx0
- libglx0 (1.1.0-1)
- Vendor neutral GL dispatch library -- GLX support
- libglyr-dev (1.0.10-1)
- search engine for music-related metadata - development files
- libglyr-doc (1.0.10-1)
- search engine for music-related metadata - documentation
- libglyr1 (1.0.10-1)
- search engine for music-related metadata
- libgmavenplus-java (1.5-3)
- GMavenPlus Plugin
- libgmbal-commons-java (3.2.1-b003-1)
- GlassFish MBean Annotation Library (Common APIs)
- libgmbal-java (4.0.0-b002-1)
- GlassFish MBean Annotation Library
- libgmbal-pfl-java (4.0.1-b003-2)
- GlassFish MBean Annotation Library (Primitive Function Library)
- libgme-dev (0.6.2-1)
- Playback library for video game music files - development files
- libgme0 (0.6.2-1)
- Playback library for video game music files - shared library
- libgmerlin-avdec-dev (1.2.0~dfsg-10)
- general multimedia decoding library (development files)
- libgmerlin-avdec-doc (1.2.0~dfsg-10)
- general multimedia decoding library (documentation)
- libgmerlin-avdec1 (1.2.0~dfsg-10)
- general multimedia decoding library
- libgmerlin-common (1.2.0~dfsg+1-6.1+b2)
- core library for gmerlin - common runtime files
- libgmerlin-dev (1.2.0~dfsg+1-6.1+b2)
- core library for gmerlin - development files
- libgmerlin0 (1.2.0~dfsg+1-6.1+b2)
- core library for gmerlin - runtime files
- libgmetric4j-java (1.0.6-2)
- gmetric4j Ganglia metric transmission API
- libgmetrics-groovy-java (0.7-4)
- Groovy library that provides reports and metrics for Groovy code
- libgmetrics-groovy-java-doc (0.7-4)
- Documentation for libgmetrics-groovy-java
- libgmic-dev (2.4.5-1)
- GREYC's Magic for Image Computing - development files
- libgmic1 (2.4.5-1)
- GREYC's Magic for Image Computing - shared library
- libgmime-2.6-0 (2.6.23+dfsg1-4)
- MIME message parser and creator library (old 2.6 version)
- libgmime-2.6-dev (2.6.23+dfsg1-4)
- MIME message parser and creator library (old 2.6 version) - development files
- libgmime-2.6-doc (2.6.23+dfsg1-4)
- MIME message parser and creator library (old 2.6 version) - documentation
- libgmime-3.0-0 (3.2.1-1)
- MIME message parser and creator library
- libgmime-3.0-dev (3.2.1-1)
- MIME message parser and creator library - development files
- libgmime-3.0-doc (3.2.1-1)
- MIME message parser and creator library - documentation
- libgmime2.6-cil (2.6.23+dfsg1-4)
- CLI binding for the GMime library (old 2.6 version)
- libgmime2.6-cil-dev (2.6.23+dfsg1-4)
- CLI binding for the GMime library (old 2.6 version) -- development files
- libgmm++-dev (5.2+dfsg1-7)
- Generic C++ template library for sparse, dense and skyline matrices
- libgmock-dev (1.8.1-3)
- Google's framework for writing C++ tests
- libgmp-dev (2:6.1.2+dfsg-4+deb10u1)
- Multiprecision arithmetic library developers tools
- libgmp-ocaml (20021123-19+b2 [armhf], 20021123-19+b1 [amd64, arm64, i386])
- OCaml bindings for the GNU multiprecision arithmetic library
- libgmp-ocaml-ah7j9
- virtueel pakket geboden door libgmp-ocaml
- libgmp-ocaml-dev (20021123-19+b2 [armhf], 20021123-19+b1 [amd64, arm64, i386])
- OCaml bindings for the GNU multiprecision arithmetic library
- libgmp-ocaml-dev-ah7j9
- virtueel pakket geboden door libgmp-ocaml-dev
- libgmp-ocaml-dev-scq05
- virtueel pakket geboden door libgmp-ocaml-dev
- libgmp-ocaml-scq05
- virtueel pakket geboden door libgmp-ocaml
- libgmp10 (2:6.1.2+dfsg-4+deb10u1)
- Multiprecision arithmetic library
- libgmp10-dev
- virtueel pakket geboden door libgmp-dev
- libgmp10-doc (2:6.1.2+dfsg-4+deb10u1)
- Multiprecision arithmetic library example code
- libgmp3-dev (2:6.1.2+dfsg-4+deb10u1)
- Multiprecision arithmetic library developers tools
- libgmpada6 (1.2-2)
- Ada binding to the GNU MultiPrecision library: shared library
- libgmpada8-dev (1.2-2)
- Ada binding to the GNU MultiPrecision library: development
- libgmpxx4ldbl (2:6.1.2+dfsg-4+deb10u1)
- Multiprecision arithmetic library (C++ bindings)
- libgmsh-dev (4.1.5+really4.1.3+ds1-1)
- Three-dimensional finite element mesh generator development files
- libgmsh4.1 (4.1.5+really4.1.3+ds1-1)
- Three-dimensional finite element mesh generator shared library
- libgmt-dev (5.4.5+dfsg-2)
- Generic Mapping Tools Library - Development files
- libgmt5 (5.4.5+dfsg-2)
- Generic Mapping Tools Library
- libgnat-7 (7.4.0-6)
- runtime for applications compiled with GNAT (shared library)
- libgnat-7-dbg (7.4.0-6)
- runtime for applications compiled with GNAT (debugging symbols)
- libgnat-8 (8.3.0-6)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-alpha-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-amd64-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-arm64-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-armel-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-armhf-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-dbg (8.3.0-6)
- runtime for applications compiled with GNAT (debugging symbols)
- libgnat-8-hppa-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-i386-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-m68k-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mips-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mips64-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mips64el-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mips64r6-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mips64r6el-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mipsel-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mipsr6-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-mipsr6el-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-powerpc-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-ppc64-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-ppc64el-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-s390x-cross (8.3.0-2cross1)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-sh4-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-sparc64-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnat-8-x32-cross (8.3.0-2cross2)
- runtime for applications compiled with GNAT (shared library)
- libgnatcoll-doc (18-4)
- GNATColl, general purpose Ada library (documentation)
- libgnatcoll-gmp17-dev (18-2)
- GNATColl, general purpose Ada library (GMP)
- libgnatcoll-gmp18 (18-2)
- GNATColl, general purpose Ada library (GMP runtime)
- libgnatcoll-iconv17-dev (18-2)
- GNATColl, general purpose Ada library (iconv)
- libgnatcoll-iconv18 (18-2)
- GNATColl, general purpose Ada library (iconv runtime)
- libgnatcoll-python17 (18-2)
- GNATColl, general purpose Ada library (Python runtime)
- libgnatcoll-python17-dev (18-2)
- GNATColl, general purpose Ada library (Python)
- libgnatcoll-readline17-dev (18-2)
- GNATColl, general purpose Ada library (readline)
- libgnatcoll-readline18 (18-2)
- GNATColl, general purpose Ada library (readline runtime)
- libgnatcoll-sql1 (18-4)
- GNATColl, general purpose Ada library (SQL runtime)
- libgnatcoll-sql1-dev (18-4)
- GNATColl, general purpose Ada library (SQL)
- libgnatcoll-sqlite-bin (18-4)
- GNATColl, general purpose Ada library (SQLite tools)
- libgnatcoll-sqlite17-dev (18-4)
- GNATColl, general purpose Ada library (SQLite)
- libgnatcoll-sqlite18 (18-4)
- GNATColl, general purpose Ada library (sqlite runtime)
- libgnatcoll-syslog1 (18-2)
- GNATColl, general purpose Ada library (syslog runtime)
- libgnatcoll-syslog1-dev (18-2)
- GNATColl, general purpose Ada library (syslog)
- libgnatcoll-xref18 (18-4)
- GNATColl, general purpose Ada library (XRef runtime)
- libgnatcoll-xref18-dev (18-4)
- GNATColl, general purpose Ada library (XRef)
- libgnatcoll17 (18-4)
- GNATColl, general purpose Ada library (runtime)
- libgnatcoll17-dev (18-4)
- GNATColl, general purpose Ada library
- libgnatvsn7 (7.4.0-6)
- GNU Ada compiler selected components (shared library)
- libgnatvsn7-dbg (7.4.0-6)
- GNU Ada compiler selected components (debugging symbols)
- libgnatvsn7-dev (7.4.0-6)
- GNU Ada compiler selected components (development files)
- libgnatvsn8 (8.3.0-6)
- GNU Ada compiler selected components (shared library)
- libgnatvsn8-dbg (8.3.0-6)
- GNU Ada compiler selected components (debugging symbols)
- libgnatvsn8-dev (8.3.0-6)
- GNU Ada compiler selected components (development files)
- libgnokii-dev (0.6.30+dfsg-1.2+b4)
- Gnokii mobile phone interface library (development files)
- libgnokii3-dev
- virtueel pakket geboden door libgnokii-dev
- libgnokii6 (0.6.30+dfsg-1.2+b4)
- Gnokii mobile phone interface library
- libgnome-autoar-0-0 (0.2.3-2)
- Archives integration support for GNOME
- libgnome-autoar-0-dev (0.2.3-2)
- Archives integration support for GNOME - development files
- libgnome-autoar-doc (0.2.3-2)
- Archives integration support for GNOME - documentation
- libgnome-autoar-gtk-0-0 (0.2.3-2)
- GTK+ widgets for the GNOME Autoar library
- libgnome-autoar-gtk-0-dev (0.2.3-2)
- GTK+ widgets for the GNOME Autoar library - development files
- libgnome-bluetooth-dev (3.28.2-4~deb10u1)
- GNOME Bluetooth tools - support library development files
- libgnome-bluetooth13 (3.28.2-4~deb10u1)
- GNOME Bluetooth tools - support library
- libgnome-desktop-3-17 (3.30.2.1-2)
- Utility library for loading .desktop files - runtime files
- libgnome-desktop-3-dev (3.30.2.1-2)
- Utility library for loading .desktop files - development files
- libgnome-games-support-1-3 (1.4.3-1)
- library for common functions of GNOME games
- libgnome-games-support-1-dev (1.4.3-1)
- library for common functions of GNOME games (dev files)
- libgnome-games-support-common (1.4.3-1)
- library for common functions of GNOME games (common files)
- (3.31.4-3)
- GNOME implementation of the freedesktop menu specification
- (3.31.4-3)
- GNOME implementation of the freedesktop menu specification
- libgnome-todo (3.28.1-2)
- library data for GNOME To Do
- libgnome-todo-dev (3.28.1-2)
- development library data for GNOME To Do
- libgnomecanvas2-0 (2.30.3-4)
- powerful object-oriented display engine - runtime files
- libgnomecanvas2-common (2.30.3-4)
- powerful object-oriented display engine - common files
- libgnomecanvas2-dev (2.30.3-4)
- powerful object-oriented display engine - development files
- libgnomecanvas2-doc (2.30.3-4)
- powerful object-oriented display engine - documentation files
- libgnomecanvasmm-2.6-1v5 (2.26.0-3)
- C++ wrappers for libgnomecanvas2 (shared library)
- libgnomecanvasmm-2.6-dev (2.26.0-3)
- C++ wrappers for libgnomecanvas2 (development files)
- libgnomecanvasmm-2.6-doc (2.26.0-3)
- C++ wrappers for libgnomecanvas2 (documentation)
- libgnomekbd-common (3.26.1-1)
- GNOME library to manage keyboard configuration - common files
- libgnomekbd-dev (3.26.1-1)
- GNOME library to manage keyboard configuration - development files
- libgnomekbd8 (3.26.1-1)
- GNOME library to manage keyboard configuration - shared library
- libgnuastro-dev (0.8-1)
- GNU Astronomy Utilities development files
- libgnuastro6 (0.8-1)
- GNU Astronomy Utilities shared libraries
- libgnucap-dev (1:0.36~20171003-1)
- GNU Circuit Analysis package, development library
- libgnucap0 (1:0.36~20171003-1)
- GNU Circuit Analysis package, the library
- libgnudatalanguage0 (0.9.9-10)
- Free IDL compatible incremental compiler shared library
- libgnupg-interface-perl (0.52-10)
- Perl interface to GnuPG
- libgnupg-perl (0.19-3)
- interface to GnuPG 1.4 using its coprocess interface
- libgnuplot-iostream-dev (0~20140302.gitc8919a0+dfsg-3)
- C++ programming interface for gnuplot. Headers
- libgnuplot-iostream-doc (0~20140302.gitc8919a0+dfsg-3)
- C++ programming interface for gnuplot. Docs and examples
- libgnuplot-ocaml-dev (0.8.3-3+b4 [armhf], 0.8.3-3+b3 [amd64, i386], 0.8.3-3+b2 [arm64])
- OCaml interface to the gnuplot utility
- libgnuplot-ocaml-dev-37nn6
- virtueel pakket geboden door libgnuplot-ocaml-dev
- libgnuplot-ocaml-dev-mc795
- virtueel pakket geboden door libgnuplot-ocaml-dev
- libgnuradio-analog3.7.13 (3.7.13.4-4+b1)
- gnuradio analog functions
- libgnuradio-atsc3.7.13 (3.7.13.4-4+b1)
- gnuradio atsc functions
- libgnuradio-audio3.7.13 (3.7.13.4-4+b1)
- gnuradio audio functions
- libgnuradio-blocks3.7.13 (3.7.13.4-4+b1)
- gnuradio blocks functions
- libgnuradio-channels3.7.13 (3.7.13.4-4+b1)
- gnuradio channels functions
- libgnuradio-comedi3.7.13 (3.7.13.4-4+b1)
- gnuradio comedi instrument control functions
- libgnuradio-dab3.7.13 (0.3-4)
- Shared library for gr-dab (DAB/DAB+ receiver)
- libgnuradio-digital3.7.13 (3.7.13.4-4+b1)
- gnuradio digital communications functions
- libgnuradio-dtv3.7.13 (3.7.13.4-4+b1)
- gnuradio digital TV signal processing blocks
- libgnuradio-fcd3.7.13 (3.7.13.4-4+b1)
- gnuradio FunCube Dongle support
- libgnuradio-fcdproplus3.7.11 (3.7.25.4b6464b-5+b3)
- Funcube Dongle Pro Plus controller for GNU Radio (runtime)
- libgnuradio-fec3.7.13 (3.7.13.4-4+b1)
- gnuradio forward error correction support
- libgnuradio-fft3.7.13 (3.7.13.4-4+b1)
- gnuradio fast Fourier transform functions
- libgnuradio-filter3.7.13 (3.7.13.4-4+b1)
- gnuradio filter functions
- libgnuradio-fosphor3.7.12 (3.7.0.2.7b6b996-3+b2)
- gnuradio fosphor block (GPU spectrum display) (library)
- libgnuradio-hpsdr1.2 (1.2-1+b3)
- gnuradio library for OpenHPSDR protocol 1
- libgnuradio-iio0 (0.3-4+b1)
- IIO blocks for GnuRadio (runtime library)
- libgnuradio-iqbalance3.7.11 (0.37.2-11+b3)
- GNU Radio Blind IQ imbalance estimator and correction (runtime)
- libgnuradio-limesdr0 (0.9~beta-1+b1 [amd64], 0.9~beta-1 [arm64, armhf, i386])
- LimeSDR blocks for GnuRadio (runtime library)
- libgnuradio-noaa3.7.13 (3.7.13.4-4+b1)
- gnuradio noaa satellite signals functions
- libgnuradio-osmosdr0.1.4 (0.1.4-14+b10)
- Gnuradio blocks from the OsmoSDR project - library
- (3.7.13.4-4+b1)
- gnuradio pager radio functions
- libgnuradio-pmt3.7.13 (3.7.13.4-4+b1)
- gnuradio pmt container library
- libgnuradio-qtgui3.7.13 (3.7.13.4-4+b1)
- gnuradio Qt graphical user interface functions
- libgnuradio-radar3.7 (0.0.0.20180308-1+b3)
- GNU Radio Radar Toolbox - library
- libgnuradio-rds3.7.11 (3.7.0.2.a542331-2+b3)
- GNU Radio FM RDS/TMC Transceiver (library)
- libgnuradio-runtime3.7.13 (3.7.13.4-4+b1)
- gnuradio core runtime
- libgnuradio-trellis3.7.13 (3.7.13.4-4+b1)
- gnuradio trellis modulation functions
- libgnuradio-uhd3.7.13 (3.7.13.4-4+b1)
- gnuradio universal hardware driver functions
- libgnuradio-video-sdl3.7.13 (3.7.13.4-4+b1)
- gnuradio video functions
- libgnuradio-vocoder3.7.13 (3.7.13.4-4+b1)
- gnuradio vocoder functions
- libgnuradio-wavelet3.7.13 (3.7.13.4-4+b1)
- gnuradio wavelet functions
- libgnuradio-wxgui3.7.13 (3.7.13.4-4+b1)
- gnuradio wxgui functions
- libgnuradio-zeromq3.7.13 (3.7.13.4-4+b1)
- gnuradio zeromq functions
- libgnustep-base-dev (1.26.0-4+deb10u1)
- GNUstep Base header files and development libraries
- libgnustep-base1.26 (1.26.0-4+deb10u1)
- GNUstep Base library
- libgnustep-dl2-0d (0.12.0-15.1+b3)
- bundle of runtime libraries for gnustep-dl2
- libgnustep-dl2-dev (0.12.0-15.1+b3)
- development files for gnustep-dl2 runtime libraries
- libgnustep-gui-dev (0.27.0-5)
- GNUstep GUI header files and static libraries
- libgnustep-gui0.27 (0.27.0-5)
- GNUstep GUI Library
- libgnutls-dane0 (3.6.7-4+deb10u12) [security]
- GNU TLS library - DANE security support
- libgnutls-openssl-dev
- virtueel pakket geboden door libgnutls28-dev
- libgnutls-openssl27 (3.6.7-4+deb10u12) [security]
- GNU TLS library - OpenSSL wrapper
- libgnutls28-dev (3.6.7-4+deb10u12) [security]
- GNU TLS library - development files
- libgnutls30 (3.6.7-4+deb10u12) [security]
- GNU TLS library - main runtime library
- libgnutlsxx28 (3.6.7-4+deb10u12) [security]
- GNU TLS library - C++ runtime library
- libgo-perl (0.15-7)
- perl modules for GO and other OBO ontologies
- libgo11 (7.4.0-6)
- Runtime library for GNU Go applications
- libgo11-armhf
- virtueel pakket geboden door libgo11
- libgo11-dbg (7.4.0-6)
- Runtime library for GNU Go applications (debug symbols)
- libgo11-dbg-armhf
- virtueel pakket geboden door libgo11-dbg
- libgo13 (8.3.0-6)
- Runtime library for GNU Go applications
- libgo13-alpha-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-arm64-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-armel-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-armhf
- virtueel pakket geboden door libgo13
- libgo13-armhf-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-dbg (8.3.0-6)
- Runtime library for GNU Go applications (debug symbols)
- libgo13-dbg-armhf
- virtueel pakket geboden door libgo13-dbg
- libgo13-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-powerpcspe-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-ppc64el-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-riscv64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications
- libgo13-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgo13-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications
- libgoa-1.0-0b (3.30.1-2)
- library for GNOME Online Accounts
- libgoa-1.0-common (3.30.1-2)
- library for GNOME Online Accounts - common files
- libgoa-1.0-dev (3.30.1-2)
- library for GNOME Online Accounts - development files
- libgoa-1.0-doc (3.30.1-2)
- library for GNOME Online Accounts - documentation files
- libgoa-backend-1.0-1 (3.30.1-2)
- backend library for GNOME Online Accounts
- libgoa-backend-1.0-dev (3.30.1-2)
- backend library for GNOME Online Accounts - development files
- libgoffice-0.10-10 (0.10.44-1)
- Document centric objects library - runtime files
- libgoffice-0.10-10-common (0.10.44-1)
- Document centric objects library - common files
- libgoffice-0.10-dev (0.10.44-1)
- Document centric objects library - development files
- libgoffice-0.10-doc (0.10.44-1)
- Document centric objects library - documentation
- libgom-1.0-0 (0.3.3-5)
- Object mapper from GObjects to SQLite
- libgom-1.0-dev (0.3.3-5)
- libgom development files
- libgom-1.0-doc (0.3.3-5)
- libgom API documentation
- libgomp-plugin-nvptx1 (8.3.0-6)
- GCC OpenMP v4.5 plugin for offloading to NVPTX
- libgomp1 (8.3.0-6)
- GCC OpenMP (GOMP) support library
- libgomp1-alpha-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-amd64-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-arm64-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-armel-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-armhf
- virtueel pakket geboden door libgomp1
- libgomp1-armhf-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-dbg (8.3.0-6)
- GCC OpenMP (GOMP) support library (debug symbols)
- libgomp1-dbg-armhf
- virtueel pakket geboden door libgomp1-dbg
- libgomp1-hppa-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-i386-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-m68k-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-mips-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-mips64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-mips64el-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-mips64r6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-mips64r6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-mipsel-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-mipsr6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-mipsr6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-powerpc-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-powerpcspe-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-ppc64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-ppc64el-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-riscv64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-s390x-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library
- libgomp1-sh4-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-sparc64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgomp1-x32-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library
- libgoocanvas-2.0-9 (2.0.4-1)
- Canvas widget for GTK+ - shared library
- libgoocanvas-2.0-common (2.0.4-1)
- Canvas widget for GTK+ - common files
- libgoocanvas-2.0-dev (2.0.4-1)
- Canvas widget for GTK+ - development files
- libgoocanvas2-perl (0.06-1)
- Perl bindings for the goocanvas-2.0 widget using Glib::Object::Introspection
- libgoocanvasmm-2.0-6 (1.90.11-3)
- C++ bindings for GooCanvas - shared library
- libgoocanvasmm-2.0-dev (1.90.11-3)
- C++ bindings for GooCanvas - development files
- libgoocanvasmm-2.0-doc (1.90.11-3)
- C++ bindings for GooCanvas - documentation and examples
- libgoogle-glog-dev (0.3.5-1)
- library that implements application-level logging.
- libgoogle-glog-doc (0.3.5-1)
- documentation of gloogle-glog
- libgoogle-glog0v5 (0.3.5-1)
- library that implements application-level logging.
- libgoogle-gson-java (2.8.5-3+deb10u1) [security]
- Converts Java objects into their JSON representation
- libgoogle-perftools-dev (2.7-1)
- libraries for CPU and heap analysis, plus an efficient thread-caching malloc
- libgoogle-perftools4 (2.7-1)
- libraries for CPU and heap analysis, plus an efficient thread-caching malloc
- libgoogle-protocolbuffers-perl (0.12-2)
- simple Perl interface to Google Protocol Buffers
- libgooglepinyin
- virtueel pakket geboden door libgooglepinyin0
- libgooglepinyin-dev
- virtueel pakket geboden door libgooglepinyin0-dev
- libgooglepinyin0 (0.1.2-6)
- Pinyin engine fork from Google Pinyin on Android
- libgooglepinyin0-dev (0.1.2-6)
- Pinyin engine fork from Google Pinyin on Android - development files
- libgorm-dev (1.2.24-1)
- Clone of the InterfaceBuilder framework - development files
- libgorm1 (1.2.24-1)
- Clone of the InterfaceBuilder framework - runtime library
- libgossip-java (1.8-2)
- Small and fast logging provider for SLF4J
- libgovirt-common (0.3.4-3.1)
- GObject-based library to access oVirt REST API (common files)
- libgovirt-dev (0.3.4-3.1)
- GObject-based library to access oVirt REST API (dev files)
- libgovirt2 (0.3.4-3.1)
- GObject-based library to access oVirt REST API
- libgpac-dev (0.5.2-426-gc5ad4e4+dfsg5-5)
- GPAC Project on Advanced Content - development files
- libgpac4 (0.5.2-426-gc5ad4e4+dfsg5-5)
- GPAC Project on Advanced Content - shared libraries
- libgpars-groovy-java (1.2.1-10)
- open-source concurrency library for Groovy
- libgpars-groovy-java-doc (1.2.1-10)
- documentation for libgpars-groovy-java
- libgpaste-common (3.30.2-1)
- Clipboard management system for GNOME - shared files
- libgpaste-dev (3.30.2-1)
- Clipboard management system for GNOME - development files
- libgpaste11 (3.30.2-1)
- Clipboard management system for GNOME - library
- libgpaste2-dev
- virtueel pakket geboden door libgpaste-dev
- libgpaste3-dev
- virtueel pakket geboden door libgpaste-dev
- libgpaste4-dev
- virtueel pakket geboden door libgpaste-dev
- libgpaste6-dev
- virtueel pakket geboden door libgpaste-dev
- libgpg-error-dev (1.35-1)
- GnuPG development runtime library (developer tools)
- libgpg-error-l10n (1.35-1)
- library of error values and messages in GnuPG (localization files)
- libgpg-error-mingw-w64-dev (1.35-1)
- library of error values and messages in GnuPG (Windows development)
- libgpg-error0 (1.35-1)
- GnuPG development runtime library
- libgpg-error0-udeb (1.35-1)
- library for common error values and messages in GnuPG components
- libgpgme++2v5 (4:4.14.10-11)
- C++ wrapper library for GPGME
- libgpgme-dev (1.12.0-6)
- GPGME - GnuPG Made Easy (development files)
- libgpgme11 (1.12.0-6)
- GPGME - GnuPG Made Easy (library)
- libgpgme11-dev (= 1.12.0-6)
- virtueel pakket geboden door libgpgme-dev
- libgpgmepp-dev (1.12.0-6)
- C++ and Qt bindings for GPGME (development files)
- libgpgmepp-doc (1.12.0-6)
- C++ and Qt bindings for GPGME (documentation for developers)
- libgpgmepp6 (1.12.0-6)
- C++ wrapper library for GPGME
- libgphobos-7-dev (7.4.0-6)
- Phobos D standard library
- libgphobos-8-dev (8.3.0-6)
- Phobos D standard library
- libgphobos-8-dev-amd64-cross (8.3.0-2cross1)
- Phobos D standard library
- libgphobos-8-dev-armel-cross (8.3.0-2cross1)
- Phobos D standard library
- libgphobos-8-dev-armhf-cross (8.3.0-2cross1)
- Phobos D standard library
- libgphobos-8-dev-i386-cross (8.3.0-2cross1)
- Phobos D standard library
- libgphobos-8-dev-x32-cross (8.3.0-2cross2)
- Phobos D standard library
- libgphobos-dev (8.3.0-1)
- Phobos D standard library
- libgphobos71 (7.4.0-6)
- Phobos D standard library (runtime library)
- libgphobos71-dbg (7.4.0-6)
- Phobos D standard library (debug symbols)
- libgphobos76 (8.3.0-6)
- Phobos D standard library (runtime library)
- libgphobos76-amd64-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libgphobos76-armel-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libgphobos76-armhf-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libgphobos76-dbg (8.3.0-6)
- Phobos D standard library (debug symbols)
- libgphobos76-i386-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libgphobos76-x32-cross (8.3.0-2cross2)
- Phobos D standard library (runtime library)
- libgphoto2-6 (2.5.22-3)
- gphoto2 digital camera library
- libgphoto2-dev (2.5.22-3)
- gphoto2 digital camera library (development files)
- libgphoto2-dev-doc (2.5.22-3)
- gphoto2 digital camera library (development documentation)
- libgphoto2-l10n (2.5.22-3)
- gphoto2 digital camera library - localized messages
- libgphoto2-port12 (2.5.22-3)
- gphoto2 digital camera port library
- libgpiod-dev (1.2-3)
- C library for interacting with Linux GPIO device - static libraries and headers
- libgpiod-doc (1.2-3)
- C library for interacting with Linux GPIO device - library documentation
- libgpiod2 (1.2-3)
- C library for interacting with Linux GPIO device - shared libraries
- libgpiv-dev
- virtueel pakket geboden door libgpiv3-dev
- libgpiv-mpi3 (0.6.1-7+b1)
- library for Particle Image Velocimetry (PIV) - MPI version
- libgpiv3 (0.6.1-7+b1)
- library for Particle Image Velocimetry (PIV)
- libgpiv3-common (0.6.1-7+b1)
- library for Particle Image Velocimetry (PIV) - common files
- libgpiv3-dev (0.6.1-7+b1)
- library for Particle Image Velocimetry (PIV) - development files
- libgpiv3-doc (0.6.1-7)
- library for Particle Image Velocimetry (PIV) - documentation
- libgpm-dev (1.20.7-5)
- General Purpose Mouse - development files
- libgpm2 (1.20.7-5)
- General Purpose Mouse - gedeelde bibliotheek
- libgpmg1-dev
- virtueel pakket geboden door libgpm-dev
- libgpod-cil (0.8.3-13)
- CLI-bindings voor libgpod
- libgpod-cil-dev (0.8.3-13)
- CLI-bindings voor libgpod -- ontwikkelingsbestanden
- libgpod-common (0.8.3-13)
- common files for libgpod
- libgpod-dev (0.8.3-13)
- development files for libgpod
- libgpod-doc (0.8.3-13)
- documentation for libgpod
- libgpod4 (0.8.3-13)
- library to read and write songs and artwork to an iPod
- libgportugol-dev (1.1-5)
- Development files for the G-Portugol library
- libgportugol0 (1.1-5)
- G-Portugol library
- libgpr18 (2018-6)
- support for programs processing GNAT projects (runtime)
- libgpr2-dev (2018-6)
- support for programs processing GNAT projects (development)
- libgps-dev (3.17-7)
- Global Positioning System - development files
- libgps-point-perl (0.20-2)
- module providing an object interface for a GPS point
- libgps23 (3.17-7)
- Global Positioning System - library
- libgpuarray-dev (0.7.6-3)
- development files for libgpuarray
- libgpuarray-doc (0.7.6-3)
- documentation for libgpuarray
- libgpuarray3 (0.7.6-3)
- library to manipulate tensors on the GPU
- libgradle-core-java (4.4.1-6)
- Powerful build system for the JVM - Core libraries
- libgradle-jflex-plugin-java (0.0.2-4)
- Gradle plugin for JFlex, a scanner generator
- libgradle-plugins-java (4.4.1-6)
- Powerful build system for the JVM - All plugins
- libgraflib1-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - graphical library (development files)
- libgraflib1-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - graphical library
- libgrafx11-1-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - interface to X11 and PostScript (development)
- libgrafx11-1-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - interface to X11 and PostScript
- libgrail-dev (3.1.1-2)
- Gesture Recognition And Instantiation Library - dev files
- libgrail6 (3.1.1-2)
- Gesture Recognition And Instantiation Library
- libgranite-common (5.2.3-1)
- extension of GTK+ libraries (common files)
- libgranite-dev (5.2.3-1)
- extension of GTK+ libraries (development files)
- libgranite5 (5.2.3-1)
- extension of GTK+ libraries
- libgrantlee-core0 (0.4.0-4)
- Grantlee templating library for Qt - Core
- libgrantlee-dev (0.4.0-4)
- Grantlee templating library development files
- libgrantlee-gui0 (0.4.0-4)
- Grantlee templating library for Qt - GUI
- libgrantlee-templates5 (5.1.0-2.1)
- Grantlee templating library for Qt - Templates
- libgrantlee-textdocument5 (5.1.0-2.1)
- Grantlee templating library for Qt - TextDocument
- libgrantlee5-dev (5.1.0-2.1)
- Grantlee templating library development files
- libgraph-d3-perl (0.03-1)
- module to create ref/json to show node-edge graph with D3.js
- libgraph-easy-as-svg-perl (0.27-1)
- Perl module to output a Graph::Easy as Scalable Vector Graphics
- libgraph-easy-perl (0.76-1)
- Perl module to convert or render graphs (as ASCII, HTML, SVG or via Graphviz)
- libgraph-perl (1:0.9704-1)
- Perl module for graph data structures and algorithms
- libgraph-readwrite-perl (2.09-1)
- module for reading and writing directed graphs
- libgraph-writer-dsm-perl (0.008-1)
- Perl module to draw Graph object as a DSM matrix
- libgraph-writer-graphviz-perl (0.11-2)
- GraphViz Writer for Graph object
- libgraphblas2 (1:5.4.0+dfsg-1)
- graph algorithms via sparse matrix operations on semirings
- libgraphene-1.0-0 (1.8.4-1)
- library of graphic data types
- libgraphene-1.0-dev (1.8.4-1)
- library of graphic data types (development files)
- libgraphene-doc (1.8.4-1)
- library of graphic data types (documentation)
- libgraphics-color-perl (0.31-1)
- module for manipulating colours in different colour spaces
- libgraphics-colornames-perl (2.11-7.1)
- defines RGB values for common color names
- libgraphics-colornames-www-perl (1.13-2)
- module defining WWW color names and equivalent RGB values
- libgraphics-colorobject-perl (0.5.0-7.1)
- convert color specifications between color spaces
- libgraphics-colorutils-perl (0.17-1)
- Perl module to handle colors and color space conversions
- libgraphics-gnuplotif-perl (1.8-1)
- dynamic Perl interface to gnuplot
- libgraphics-libplot-perl (2.2.2-7+b1)
- Perl interface to libplot
- libgraphics-magick-perl (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - perl interface
- libgraphics-primitive-driver-cairo-perl (0.47-1)
- backend providing graphics support using Cairo
- libgraphics-primitive-perl (0.67-1)
- system to portably create and manipulate graphical components
- libgraphicsmagick++-dev
- virtueel pakket geboden door libgraphicsmagick++1-dev
- libgraphicsmagick++-q16-12 (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - C++ shared library
- libgraphicsmagick++1-dev (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - C++ development files
- libgraphicsmagick-dev
- virtueel pakket geboden door libgraphicsmagick1-dev
- libgraphicsmagick-q16-3 (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - C shared library
- libgraphicsmagick1-dev (1.4+really1.3.35-1~deb10u3) [security]
- format-independent image processing - C development files
- libgraphite2-2.0.0
- virtueel pakket geboden door libgraphite2-3
- libgraphite2-3 (1.3.13-7)
- Font rendering engine for Complex Scripts -- library
- libgraphite2-dev (1.3.13-7)
- Development files for libgraphite2
- libgraphite2-doc (1.3.13-7)
- Documentation for libgraphite2
- libgraphite2-utils (1.3.13-7)
- Font rendering engine for Complex Scripts -- utilities
- libgraphviz-dev (2.40.1-6+deb10u1)
- graphviz libs and headers against which to build applications
- libgraphviz-perl (2.22-1)
- Perl interface to the GraphViz graphing tool
- libgravatar-url-perl (1.07-1)
- Perl interface to make URLs for Gravatars from an email address
- libgraxxia-java (1.0~20150714-2)
- Wrappers for doing Mathematics in Groovy
- libgretl1 (2019a-1)
- GNU Regression, Econometric & Time-Series Library -- library package
- libgretl1-dev (2019a-1)
- GNU Regression, Econometric & Time-Series Library -- development package
- libgrib-api-dev (1.28.0-2)
- GRIB decoding/encoding software library (development)
- libgrib-api-doc (1.28.0-2)
- GRIB decoding/encoding software (documentation)
- libgrib-api-tools (1.28.0-2)
- GRIB decoding/encoding software (utilities)
- libgrib-api0 (1.28.0-2)
- GRIB decoding/encoding software library
- libgrib2c-dev (1.6.0-9)
- NCEP GRIB2 library - development files
- libgrib2c0d (1.6.0-9)
- NCEP GRIB2 encoder/decoder library
- libgridsite-dev (3.0.0~20180202git2fdbc6f-1+b2)
- Developers tools for gridsite
- libgridsite6 (3.0.0~20180202git2fdbc6f-1+b2)
- Run time libraries for mod_gridsite and gridsite-clients
- libgrilo-0.3-0 (0.3.7-1+deb10u1)
- Framework for discovering and browsing media - Shared libraries
- libgrilo-0.3-bin (0.3.7-1+deb10u1)
- Framework for discovering and browsing media - Binaries
- libgrilo-0.3-dev (0.3.7-1+deb10u1)
- Framework for discovering and browsing media - Development files
- libgrilo-0.3-doc (0.3.7-1+deb10u1)
- Framework for discovering and browsing media - Documentation
- libgringotts-dev (1:1.2.1-16)
- development files for the gringotts data encapsulation library
- libgringotts2 (1:1.2.1-16)
- gringotts data encapsulation and encryption library
- libgrits-dev (0.8.1-5)
- Development files for grits
- libgrits-doc (0.8.1-5)
- HTML documentation for grits
- libgrits5 (0.8.1-5)
- Grits is a Virtual Globe library
- libgrok-dev (1.20110708.1-4.3)
- development files for grok
- libgrok-dev
- virtueel pakket geboden door libgrok-dev
- libgrok1 (1.20110708.1-4.3)
- shared libraries for grok
- libgromacs-dev (2019.1-1)
- GROMACS molecular dynamics sim, development kit
- libgromacs4 (2019.1-1)
- GROMACS molecular dynamics sim, shared libraries
- libgroonga-dev (9.0.0-1+deb10u1)
- Development files to use Groonga as a library
- libgroonga0 (9.0.0-1+deb10u1)
- Library files for Groonga
- libgroove-dev (4.3.0-5)
- audio dispatching library (development files)
- libgroove4 (4.3.0-5)
- music player backend
- libgroovefingerprinter-dev (4.3.0-5)
- acoustid fingerprinter sink for libgroove (development files)
- libgroovefingerprinter4 (4.3.0-5)
- acoustid fingerprinter for libgroove
- libgrooveloudness-dev (4.3.0-5)
- loudness scanner sink for libgroove (development files)
- libgrooveloudness4 (4.3.0-5)
- loudness scanner for libgroove
- libgrooveplayer-dev (4.3.0-5)
- audio device playback sink for libgroove (development files)
- libgrooveplayer4 (4.3.0-5)
- hardware audio playback for libgroove
- libgroovycsv-java (1.0-2)
- CSV parsing library for Groovy
- libgroupsock8 (2018.11.26-1.1)
- multimedia RTSP streaming library (network interfaces and sockets)
- libgrpc++-dev (1.16.1-1)
- high performance general RPC framework (development)
- libgrpc++1 (1.16.1-1)
- high performance general RPC framework
- libgrpc-dev (1.16.1-1)
- high performance general RPC framework (development)
- libgrpc6 (1.16.1-1)
- high performance general RPC framework
- (0.7.0-2+b11)
- Glib-based library to manage RSS and Atom feeds - development files
- (0.7.0-2+b11)
- Glib-based library to manage RSS and Atom feeds
- libgs-collections-java (5.1.0-3)
- Goldman Sachs Collections
- libgs-dev (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - Development Files
- libgs9 (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - Library
- libgs9-common (9.27~dfsg-2+deb10u9) [security]
- interpreter for the PostScript language and for PDF - common files
- libgsasl7 (1.8.0-8+deb10u1)
- GNU SASL library
- libgsasl7-dev (1.8.0-8+deb10u1)
- Development files for the GNU SASL library
- libgsecuredelete-dev (0.3-1)
- wrapper library for the secure-delete tools - development files
- libgsecuredelete0 (0.3-1)
- wrapper library for the secure-delete tools
- libgsettings-qt-dev (0.1+17.10.20170824-9)
- library to access GSettings from Qt (development files)
- libgsettings-qt1 (0.1+17.10.20170824-9)
- library to access GSettings from Qt (shared libraries)
- libgsf-1-114 (1.14.45-1)
- Structured File Library - runtime version
- libgsf-1-common (1.14.45-1)
- Structured File Library - common files
- libgsf-1-dev (1.14.45-1)
- Structured File Library - development files
- libgsf-bin (1.14.45-1)
- Structured File Library - programs
- libgsl-dbg (2.5+dfsg-6+deb10u1) [security]
- GNU Scientific Library (GSL) -- debug symbols package
- libgsl-dev (2.5+dfsg-6+deb10u1) [security]
- GNU Scientific Library (GSL) -- development package
- libgsl0-dbg
- virtueel pakket geboden door libgsl-dbg
- libgsl0-dev
- virtueel pakket geboden door libgsl-dev
- libgsl23 (2.5+dfsg-6+deb10u1) [security]
- GNU Scientific Library (GSL) -- library package
- libgslcblas0 (2.5+dfsg-6+deb10u1) [security]
- GNU Scientific Library (GSL) -- blas library package
- libgsm-tools (1.0.18-2)
- User binaries for a GSM speech compressor
- libgsm1 (1.0.18-2)
- Shared libraries for GSM speech compressor
- libgsm1-dev (1.0.18-2)
- Development libraries for a GSM speech compressor
- libgsmme-dev (1.10+20120414.gita5e5ae9a-0.3+b1)
- Header files and static libraries for gsmlib
- libgsmme1v5 (1.10+20120414.gita5e5ae9a-0.3+b1)
- GSM mobile phone access library
- libgsmsd8 (1.40.0-1)
- SMS daemon helper library
- libgsoap-2.8.75 (2.8.75-1+deb10u1) [security]
- Runtime libraries for gSOAP
- libgsoap-dev (2.8.75-1+deb10u1) [security]
- Development libraries and headers for gSOAP
- libgsound-dev (1.0.2-4)
- small library for playing system sounds (devel)
- libgsound-doc (1.0.2-4)
- small library for playing system sounds (documentation)
- libgsound0 (1.0.2-4)
- small library for playing system sounds
- libgspell-1-1 (1.6.1-2)
- spell-checking library for GTK+ applications
- libgspell-1-common (1.6.1-2)
- libgspell architecture-independent files
- libgspell-1-dev (1.6.1-2)
- spell-checking library for GTK+ applications - development files
- libgss-dbg (1.0.3-3)
- Debugging symbols for GSS
- libgss-dev (1.0.3-3)
- Development and documentation files for Generic Security Services
- libgss3 (1.0.3-3)
- Library for Generic Security Services
- libgssapi-krb5-2 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - krb5 GSS-API Mechanism
- libgssapi-perl (0.28-3+b1)
- Perl extension providing access to the GSSAPIv2 library
- libgssapi3-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - GSSAPI support library
- libgssdp-1.0-3 (1.0.5-0+deb10u1)
- GObject-based library for SSDP
- libgssdp-1.0-dev (1.0.5-0+deb10u1)
- GObject-based library for SSDP (development files)
- libgssdp-doc (1.0.5-0+deb10u1)
- GObject-based library for SSDP (documentation)
- libgssglue-dev (0.4-2+b2)
- header files and docs for libgssglue
- libgssglue1 (0.4-2+b2)
- mechanism-switch gssapi library
- libgssrpc4 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - GSS enabled ONCRPC
- libgstreamer-gl1.0-0 (1.14.4-2+deb10u2) [security]
- GStreamer GL libraries
- libgstreamer-ocaml (0.2.0-2+b4 [armhf], 0.2.0-2+b3 [amd64, i386], 0.2.0-2+b2 [arm64])
- OCaml interface to the gstreamer library -- runtime files
- libgstreamer-ocaml-dev (0.2.0-2+b4 [armhf], 0.2.0-2+b3 [amd64, i386], 0.2.0-2+b2 [arm64])
- OCaml interface to the gstreamer library -- development files
- libgstreamer-ocaml-dev-jbns8
- virtueel pakket geboden door libgstreamer-ocaml-dev
- libgstreamer-ocaml-dev-u2lx5
- virtueel pakket geboden door libgstreamer-ocaml-dev
- libgstreamer-ocaml-jbns8
- virtueel pakket geboden door libgstreamer-ocaml
- libgstreamer-ocaml-u2lx5
- virtueel pakket geboden door libgstreamer-ocaml
- libgstreamer-opencv1.0-0 (1.14.4-1+deb10u5) [security]
- GStreamer OpenCV libraries
- libgstreamer-plugins-bad1.0-0 (1.14.4-1+deb10u5) [security]
- GStreamer libraries from the "bad" set
- libgstreamer-plugins-bad1.0-dev (1.14.4-1+deb10u5) [security]
- GStreamer development files for libraries from the "bad" set
- libgstreamer-plugins-base1.0-0 (1.14.4-2+deb10u2) [security]
- GStreamer libraries from the "base" set
- libgstreamer-plugins-base1.0-dev (1.14.4-2+deb10u2) [security]
- GStreamer development files for libraries from the "base" set
- libgstreamer1-perl (0.003-3)
- Bindings for GStreamer 1.0, the open source multimedia framework
- libgstreamer1.0-0 (1.14.4-1)
- Core GStreamer libraries and elements
- libgstreamer1.0-0-dbg (1.14.4-1)
- Core GStreamer libraries and elements
- libgstreamer1.0-dev (1.14.4-1)
- GStreamer core development files
- libgstreamerd-3-0 (3.8.5-1)
- GStreamer media framework - D bindings
- libgstreamerd-3-dev (3.8.5-1)
- GStreamer media framework - development files for D
- libgstreamermm-1.0-1 (1.10.0+dfsg-2)
- C++ wrapper library for GStreamer (shared libraries)
- libgstreamermm-1.0-dev (1.10.0+dfsg-2)
- C++ wrapper library for GStreamer (development files)
- libgstreamermm-1.0-doc (1.10.0+dfsg-2)
- C++ wrapper library for GStreamer (documentation)
- libgstrtspserver-1.0-0 (1.14.4-1)
- GStreamer RTSP Server (shared library)
- libgstrtspserver-1.0-0-dbg (1.14.4-1)
- GStreamer RTSP Server (debug symbols)
- libgstrtspserver-1.0-dev (1.14.4-1)
- GStreamer RTSP Server (development files)
- libgstrtspserver-1.0-doc (1.14.4-1)
- GStreamer RTSP Server (documentation)
- libgtest-dev (1.8.1-3)
- Google's framework for writing C++ tests
- libgtextutils-dev (0.7-6)
- Gordon Text_utils library (development files)
- libgtextutils0v5 (0.7-6)
- Gordon Text_utils library
- libgtg-dev (0.2-2+dfsg-5)
- Generic Trace Generator (GTG) - development files
- libgtg0 (0.2-2+dfsg-5)
- Generic Trace Generator (GTG) - shared library
- libgtk-3-0 (3.24.5-1)
- GTK+ graphical user interface library
- libgtk-3-0-udeb (3.24.5-1)
- GTK+ graphical user interface library - minimal runtime
- libgtk-3-bin (3.24.5-1)
- programs for the GTK+ graphical user interface library
- libgtk-3-common (3.24.5-1)
- common files for the GTK+ graphical user interface library
- libgtk-3-dev (3.24.5-1)
- development files for the GTK+ library
- libgtk-3-doc (3.24.5-1)
- documentation for the GTK+ graphical user interface library
- libgtk-dotnet3.0-cil (2.99.3-3)
- GTK.NET library
- libgtk-dotnet3.0-cil-dev (2.99.3-3)
- GTK.NET library - development files
- libgtk-sharp-beans-cil (2.14.1-4)
- Supplementary CLI bindings for GTK 2.14+
- libgtk-sharp-beans2.0-cil-dev (2.14.1-4)
- Supplementary CLI bindings for GTK 2.14+ - development package
- libgtk-vnc-1.0-0 (0.9.0-1.1)
- VNC viewer widget for GTK+2 (runtime libraries)
- libgtk-vnc-1.0-dev (0.9.0-1.1)
- VNC viewer widget for GTK+2 (development files)
- libgtk-vnc-2.0-0 (0.9.0-1.1)
- VNC viewer widget for GTK+3 (runtime libraries)
- libgtk-vnc-2.0-dev (0.9.0-1.1)
- VNC viewer widget for GTK+3 (development files)
- libgtk2-perl (2:1.24992-1+b2)
- Perl interface to the 2.x series of the Gimp Toolkit library
- libgtk2-perl-doc (2:1.24992-1)
- Perl interface to the Gtk 2.x series (documentation files)
- libgtk2.0-0 (2.24.32-3)
- GTK+ graphical user interface library
- libgtk2.0-0-udeb (2.24.32-3)
- GTK+ graphical user interface library - minimal runtime
- libgtk2.0-bin (2.24.32-3)
- programs for the GTK+ graphical user interface library
- libgtk2.0-cil (2.12.40-2)
- CLI binding for the GTK+ toolkit 2.12
- libgtk2.0-cil-dev (2.12.40-2)
- CLI binding for the GTK+ toolkit 2.12
- libgtk2.0-common (2.24.32-3)
- common files for the GTK+ graphical user interface library
- libgtk2.0-dev (2.24.32-3)
- development files for the GTK+ library
- libgtk2.0-doc (2.24.32-3)
- documentation for the GTK+ graphical user interface library
- libgtk3-nocsd0 (3-1)
- Library to disable Gtk+ 3 client side decorations (CSD)
- libgtk3-perl (0.034-2)
- Perl bindings for the GTK+ graphical user interface library
- libgtk3-simplelist-perl (0.18-1)
- Perl simple interface to GTK+ 3's complex MVC list widget
- libgtk3-webkit2-perl (0.06-4)
- WebKit2 bindings for Perl
- libgtk3.0-cil (2.99.3-3)
- CLI binding for the GTK+ 3.0 toolkit
- libgtk3.0-cil-dev (2.99.3-3)
- CLI binding for the GTK+ toolkit 3.0
- libgtkada-bin (18-2)
- Ada binding for the GTK+ GUI (development utilities)
- libgtkada-doc (18-2)
- Ada binding for the GTK+ GUI (documentation)
- libgtkada18 (18-2)
- Ada binding for the GTK+ GUI (dynamic library)
- libgtkada18-dev (18-2)
- Ada binding for the GTK+ GUI (development files)
- libgtkd-3-0 (3.8.5-1)
- GTK+ graphical user interface library - D bindings
- libgtkd-3-dev (3.8.5-1)
- GTK+ graphical user interface library - development files for D
- libgtkdatabox-dev (1:0.9.3.1-1)
- Gtk+ library to display large amounts of numerical data (development)
- libgtkdatabox-doc (1:0.9.3.1-1)
- Gtk+ library to display large amounts of numerical data (API doc)
- libgtkdatabox0 (1:0.9.3.1-1)
- Gtk+ library to display large amounts of numerical data
- libgtkdatabox0-glade (1:0.9.3.1-1)
- Gtk+ library to display large amounts of numerical data (glade API)
- libgtkdatabox0-libglade (1:0.9.3.1-1)
- Gtk+ library to display large amounts of numerical data (glade lib)
- libgtkdsv-3-0 (3.8.5-1)
- GTK+ syntax highlighting widget - D bindings
- libgtkdsv-3-dev (3.8.5-1)
- GTK+ syntax highlighting widget - development files for D
- (3.3.4-1)
- useful set of widgets for creating GUI's for GTK+
- (3.3.4-1)
- documentation for libgtkextra-x11-3.0
- (3.3.4-1)
- development files for libgtkextra-x11-3.0
- libgtkgl2.0-1 (2.0.1-2.2)
- OpenGL context support for GTK+ (shared libraries)
- libgtkgl2.0-dev (2.0.1-2.2)
- OpenGL context support for GTK+ (development files)
- libgtkglext1 (1.2.0-9)
- OpenGL Extension to GTK+ (shared libraries)
- libgtkglext1-dev (1.2.0-9)
- OpenGL Extension to GTK+ (development files)
- libgtkglext1-doc (1.2.0-9)
- OpenGL Extension to GTK+ (documentation)
- libgtkglextmm-x11-1.2-0v5 (1.2.0-8)
- C++ bindings for GtkGLExt (Shared libraries)
- libgtkglextmm-x11-1.2-dev (1.2.0-8)
- C++ bindings for GtkGLExt (Development files)
- libgtkglextmm-x11-1.2-doc (1.2.0-8)
- C++ bindings for GtkGLExt (Documentation)
- libgtkhex-3-0 (3.18.3-5)
- GNOME Hex editor for files (shared library)
- libgtkhex-3-dev (3.18.3-5)
- GNOME Hex editor for files (development headers)
- libgtkhotkey-dev (0.2.1-6+b1)
- Gtk hotkey static libraries and headers
- libgtkhotkey1 (0.2.1-6+b1)
- Gtk hotkey shared library
- libgtkimageview-dev (1.6.4+dfsg-2)
- image viewer widget for GTK+ (development files)
- libgtkimageview0 (1.6.4+dfsg-2)
- image viewer widget for GTK+
- libgtkmathview-bin (0.8.0-15)
- rendering engine for MathML documents
- libgtkmathview-dev (0.8.0-15)
- rendering engine for MathML documents
- libgtkmathview0c2a (0.8.0-15)
- rendering engine for MathML documents
- libgtkmm-2.4-1v5 (1:2.24.5-4)
- C++ wrappers for GTK+ 2 (shared libraries)
- libgtkmm-2.4-dev (1:2.24.5-4)
- C++ wrappers for GTK+ 2 (development files)
- libgtkmm-2.4-doc (1:2.24.5-4)
- C++ wrappers for GTK+ 2 (documentation)
- libgtkmm-3.0-1v5 (3.24.0-2)
- C++ wrappers for GTK+ (shared libraries)
- libgtkmm-3.0-dev (3.24.0-2)
- C++ wrappers for GTK+ (development files)
- libgtkmm-3.0-doc (3.24.0-2)
- C++ wrappers for GTK+ (documentation)
- libgtkpod-dev (2.1.5-6)
- main library for the gtkpod package, development kit
- libgtkpod1 (2.1.5-6)
- main library for the gtkpod package, shared library
- libgtksourceview-3.0-1 (3.24.9-2)
- shared libraries for the GTK+ syntax highlighting widget
- libgtksourceview-3.0-common (3.24.9-2)
- common files for the GTK+ syntax highlighting widget
- libgtksourceview-3.0-dev (3.24.9-2)
- development files for the GTK+ syntax highlighting widget
- libgtksourceview-3.0-doc (3.24.9-2)
- documentation for the GTK+ syntax highlighting widget
- libgtksourceview-4-0 (4.0.3-2)
- shared libraries for the GTK+ syntax highlighting widget
- libgtksourceview-4-common (4.0.3-2)
- common files for the GTK+ syntax highlighting widget
- libgtksourceview-4-dev (4.0.3-2)
- development files for the GTK+ syntax highlighting widget
- libgtksourceview-4-doc (4.0.3-2)
- documentation for the GTK+ syntax highlighting widget
- libgtksourceview2.0-0 (2.10.5-3)
- shared libraries for the GTK+ syntax highlighting widget
- libgtksourceview2.0-common (2.10.5-3)
- common files for the GTK+ syntax highlighting widget
- libgtksourceview2.0-dev (2.10.5-3)
- development files for the GTK+ syntax highlighting widget
- libgtksourceview2.0-doc (2.10.5-3)
- documentation for the GTK+ syntax highlighting widget
- libgtksourceviewmm-3.0-0v5 (3.18.0-4)
- C++ binding of GtkSourceView
- libgtksourceviewmm-3.0-dev (3.18.0-4)
- C++ binding of GtkSourceView - development files
- libgtksourceviewmm-3.0-doc (3.18.0-4)
- C++ binding of GtkSourceView
- libgtkspell-dev (2.0.16-1.2)
- Development files for GtkSpell
- libgtkspell0 (2.0.16-1.2)
- spell-checking addon for GTK's TextView widget
- libgtkspell3-3-0 (3.0.9-3)
- spell-checking addon for GTK+'s TextView widget
- libgtkspell3-3-dev (3.0.9-3)
- Development files for GtkSpell
- libgtkspellmm-3.0-0v5 (3.0.5+dfsg-2)
- C++ wrapper library for GtkSpell (shared libraries)
- libgtkspellmm-3.0-dev (3.0.5+dfsg-2)
- C++ wrapper library for GtkSpell (development files)
- libgtkspellmm-3.0-doc (3.0.5+dfsg-2)
- C++ wrappers for GtkSpell (documentation)
- libgtop-2.0-11 (2.38.0-4)
- gtop system monitoring library (shared)
- libgtop2-common (2.38.0-4)
- gtop system monitoring library (common)
- libgtop2-dev (2.38.0-4)
- gtop system monitoring library (devel)
- libgtop2-doc (2.38.0-4)
- gtop system monitoring library (documentation)
- libgtp-dev (1.2.2-4)
- Development files for libgtp
- libgtp3 (1.2.2-4)
- library implementing the GTP protocol between SGSN and GGSN
- libgts-0.7-5 (0.7.6+darcs121130-4)
- library to deal with 3D computational surface meshes
- libgts-bin (0.7.6+darcs121130-4)
- utility binaries for libgts
- libgts-dbg (0.7.6+darcs121130-4)
- debugging symbols for libgts
- libgts-dev (0.7.6+darcs121130-4)
- development files for libgts
- libguard-perl (1.023-1+b5)
- Perl module providing safe cleanup using guard objects
- libguava-java (19.0-1)
- Suite of Google common libraries for Java
- libguava-java-doc (19.0-1)
- documentation for libguava-java
- libgucharmap-2-90-7 (1:11.0.3-3)
- Unicode browser widget library (shared library)
- libgucharmap-2-90-dev (1:11.0.3-3)
- Unicode browser widget library (development headers)
- libgudev-1.0-0 (232-2)
- GObject-based wrapper library for libudev
- libgudev-1.0-dev (232-2)
- libgudev-1.0 development files
- libgudev1.0-cil (0.1-4)
- GObject-based wrapper library for libudev -- CLI bindings
- libgudev1.0-cil-dev (0.1-4)
- GObject-based wrapper library for libudev -- CLI development files
- libgudhi-dev (2.3.0+dfsg-2)
- Generic open source C++ library for topological data analysis
- libgudhi-doc (2.3.0+dfsg-2)
- Documentation for the GUDHI library
- libgudhi-examples (2.3.0+dfsg-2)
- Example programs for the GUDHI library
- libguess-dev (1.2-4)
- high-speed character set detection library (development)
- libguess1 (1.2-4)
- high-speed character set detection library
- libguestfs-dev (1:1.40.2-2)
- guest disk image management system - development headers
- libguestfs-gfs2 (1:1.40.2-2)
- guest disk image management system - GFS2 support
- libguestfs-gobject-1.0-0 (1:1.40.2-2)
- guest disk image management system - GObject bindings
- libguestfs-gobject-dev (1:1.40.2-2)
- guest disk image management system - GObject development headers
- libguestfs-hfsplus (1:1.40.2-2)
- guest disk image management system - HFS+ support
- libguestfs-java (1:1.40.2-2)
- guest disk image management system - Java bindings
- libguestfs-jfs (1:1.40.2-2)
- guest disk image management system - JFS support
- libguestfs-nilfs (1:1.40.2-2)
- guest disk image management system - NILFS v2 support
- libguestfs-ocaml (1:1.40.2-2)
- guest disk image management system - OCaml bindings
- libguestfs-ocaml-dev (1:1.40.2-2)
- guest disk image management system - OCaml development files
- libguestfs-ocaml-dev-qm6k7
- virtueel pakket geboden door libguestfs-ocaml-dev
- libguestfs-ocaml-dev-udlh7
- virtueel pakket geboden door libguestfs-ocaml-dev
- libguestfs-ocaml-qm6k7
- virtueel pakket geboden door libguestfs-ocaml
- libguestfs-ocaml-udlh7
- virtueel pakket geboden door libguestfs-ocaml
- libguestfs-perl (1:1.40.2-2)
- guest disk image management system - Perl bindings
- libguestfs-reiserfs (1:1.40.2-2)
- guest disk image management system - ReiserFS support
- libguestfs-rescue (1:1.40.2-2)
- guest disk image management system - virt-rescue enhancements
- libguestfs-rsync (1:1.40.2-2)
- guest disk image management system - rsync support
- libguestfs-tools (1:1.40.2-2)
- guest disk image management system - tools
- libguestfs-xfs (1:1.40.2-2)
- guest disk image management system - XFS support
- libguestfs-zfs (1:1.40.2-2)
- guest disk image management system - ZFS support
- libguestfs0 (1:1.40.2-2)
- guest disk image management system - shared library
- libguice-java (4.2.1-1)
- lightweight dependency injection framework for Java 5 and above
- libguice-java-doc (4.2.1-1)
- documentation for libguice-java
- libguichan-0.8.1-1v5 (0.8.2-18)
- small, efficient C++ GUI library
- libguichan-allegro-0.8.1-1v5 (0.8.2-18)
- small, efficient C++ GUI library (allegro integration)
- libguichan-dev (0.8.2-18)
- small, efficient C++ GUI library (development headers)
- libguichan-opengl-0.8.1-1v5 (0.8.2-18)
- small, efficient C++ GUI library (OpenGL integration)
- libguichan-sdl-0.8.1-1v5 (0.8.2-18)
- small, efficient C++ GUI library (SDL integration)
- libguile-dev
- virtueel pakket geboden door guile-2.2-dev, guile-2.0-dev
- libgumbo-dev (0.10.1+dfsg-2.3)
- pure-C HTML5 parser development files
- libgumbo1 (0.10.1+dfsg-2.3)
- pure-C HTML5 parser
- libgupnp-1.0-4 (1.0.5-0+deb10u1)
- GObject-based library for UPnP
- libgupnp-1.0-dev (1.0.5-0+deb10u1)
- GObject-based library for UPnP (development files)
- libgupnp-av-1.0-2 (0.12.11-2)
- Audio/Visual utility library for GUPnP
- libgupnp-av-1.0-dev (0.12.11-2)
- Audio/Visual utility library for GUPnP (development files)
- libgupnp-av-doc (0.12.11-2)
- Audio/Visual utility library for GUPnP (documentation)
- libgupnp-dlna-2.0-3 (0.10.5-4)
- DLNA utility library for GUPnP
- libgupnp-dlna-2.0-dev (0.10.5-4)
- DLNA utility library for GUPnP (development files)
- libgupnp-dlna-doc (0.10.5-4)
- DLNA utility library for GUPnP (documentation)
- libgupnp-doc (1.0.5-0+deb10u1)
- GObject-based library for UPnP (documentation)
- libgupnp-igd-1.0-4 (0.2.5-3)
- library to handle UPnP IGD port mapping
- libgupnp-igd-1.0-dev (0.2.5-3)
- library to handle UPnP IGD port mapping - development files
- libgupnp-igd-1.0-doc (0.2.5-3)
- library to handle UPnP IGD port mapping - documentation
- libgusb-dev (0.3.0-1)
- GLib wrapper around libusb1 - development files
- libgusb-doc (0.3.0-1)
- GLib wrapper around libusb1 - documentation
- libgusb2 (0.3.0-1)
- GLib wrapper around libusb1
- libgutenprint-common (5.3.1-7)
- support files for the Gutenprint printer driver library
- libgutenprint-dev (5.3.1-7)
- development files for the Gutenprint printer driver library
- libgutenprint-doc (5.3.1-7)
- documentation for the Gutenprint printer driver library
- libgutenprint9 (5.3.1-7)
- runtime for the Gutenprint printer driver library
- libgutenprintui2-2 (5.3.1-7)
- runtime for the Gutenprint printer driver user interface library
- libgutenprintui2-dev (5.3.1-7)
- development files for the Gutenprint printer driver user interface library
- libguvcview-2.0-2 (2.0.6+debian-1)
- GTK+ base UVC Viewer - runtime
- libguvcview-dev (2.0.6+debian-1)
- GTK+ base UVC Viewer - development files
- libguytools2 (2.0.5-3)
- libguytools is a small programming toolbox
- libguytools2-dev (2.0.5-3)
- development files for libguytools being a small programming toolbox
- libgv-guile (2.40.1-6+deb10u1)
- Guile bindings for graphviz
- libgv-lua (2.40.1-6+deb10u1)
- Lua bindings for graphviz
- libgv-perl (2.40.1-6+deb10u1)
- Perl bindings for graphviz
- libgv-php7 (2.40.1-6+deb10u1)
- PHP7 bindings for graphviz
- libgv-python
- virtueel pakket geboden door python-gv
- libgv-ruby (2.40.1-6+deb10u1)
- Ruby bindings for graphviz
- libgv-tcl (2.40.1-6+deb10u1)
- Tcl bindings for graphviz
- libgvc6 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - gvc library
- libgvc6-plugins-gtk (2.40.1-6+deb10u1)
- rich set of graph drawing tools - gtk plugins
- libgvnc-1.0-0 (0.9.0-1.1)
- VNC GObject wrapper (runtime libraries)
- libgvnc-1.0-dev (0.9.0-1.1)
- VNC GObject wrapper (development files)
- libgvpr2 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - gvpr library
- libgweather-3-15 (3.28.2-2)
- GWeather shared library
- libgweather-3-dev (3.28.2-2)
- GWeather development files
- libgweather-common (3.28.2-2)
- GWeather common files
- libgwengui-cpp0 (4.20.0-9)
- C++ bindings for the Gwenhywfar GUI implementation
- libgwengui-fox16-0 (4.20.0-9)
- Gwenhywfar GUI implementation for the FOX Toolkit
- libgwengui-fox16-dev (4.20.0-9)
- Gwenhywfar GUI implementation for the FOX Toolkit (development files)
- libgwengui-gtk3-0 (4.20.0-9)
- Gwenhywfar GUI implementation for GTK3
- libgwengui-gtk3-dev (4.20.0-9)
- Gwenhywfar GUI implementation for GTK3 (development files)
- libgwengui-qt5-0 (4.20.0-9)
- Gwenhywfar GUI implementation for Qt5
- libgwengui-qt5-dev (4.20.0-9)
- Gwenhywfar GUI implementation for Qt 5 (development files)
- libgwenhywfar-core-dev (4.20.0-9)
- OS abstraction layer (core development files)
- libgwenhywfar-data (4.20.0-9)
- OS abstraction layer - data files
- libgwenhywfar-dev
- virtueel pakket geboden door libgwenhywfar60-dev
- libgwenhywfar-doc (4.20.0-9)
- OS abstraction layer (API documentation)
- libgwenhywfar60 (4.20.0-9)
- OS abstraction layer
- libgwenhywfar60-dev (4.20.0-9)
- OS abstraction layer (meta package)
- libgwrap-runtime-dev (1.9.15-3)
- scripting interface generator for C - development files
- libgwrap-runtime2 (1.9.15-3)
- scripting interface generator for C - runtime
- libgwyddion2-0 (2.52-1)
- libraries for Gwyddion SPM analysis tool
- libgwyddion20-dev (2.52-1)
- header files for Gwyddion SPM analysis tool
- libgwyddion20-doc (2.52-1)
- HTML library API documentation for Gwyddion SPM analysis tool
- libgxps-dev (0.3.1-1)
- handling and rendering XPS documents (development files)
- libgxps-doc (0.3.1-1)
- library for handling and rendering XPS documents (documentation)
- libgxps-utils (0.3.1-1)
- handling and rendering XPS documents (utilities)
- libgxps2 (0.3.1-1)
- handling and rendering XPS documents (library)
- libgxw-dev (0.36.1-1+b1)
- Guitarix - GTK+ library (development files)
- libgxw0 (0.36.1-1+b1)
- Guitarix - GTK+ library
- libgxwmm-dev (0.36.1-1+b1)
- Guitarix - GTK+ C++ widget library (development files)
- libgxwmm0 (0.36.1-1+b1)
- Guitarix - GTK+ C++ widget library
- libgyoto7 (1.3.1-1)
- Gyoto framework main library an standard plug-in
- libgyoto7-dev (1.3.1-1)
- development files for libgyoto
- libgzstream-dev (1.5+dfsg-4)
- provide functionality of zlib C-library in a C++ iostream (development)
- libgzstream0 (1.5+dfsg-4)
- provide functionality of zlib C-library in a C++ iostream
- libh2-java (1.4.197-4+deb10u1)
- H2 Database Engine
- libh2-java-doc (1.4.197-4+deb10u1)
- H2 Database Engine (documentation)
- libh2o-dev (2.2.5+dfsg2-2+deb10u2) [security]
- dev helpers of the H2O library compiled with libuv
- libh2o-dev-common (2.2.5+dfsg2-2+deb10u2) [security]
- H2O library headers
- libh2o-evloop-dev (2.2.5+dfsg2-2+deb10u2) [security]
- dev helpers of the H2O library compiled with its own event loop
- libh2o-evloop0.13 (2.2.5+dfsg2-2+deb10u2) [security]
- H2O library compiled with its own event loop
- libh2o0.13 (2.2.5+dfsg2-2+deb10u2) [security]
- H2O library compiled with libuv
- libhac-java (0.20110510-3)
- hierarchical agglomerative clustering
- libhac-java-doc (0.20110510-3)
- API documentation for hierarchical agglomerative clustering
- libhackrf-dev (2018.01.1-2)
- Software defined radio peripheral - development
- libhackrf0 (2018.01.1-2)
- Software defined radio peripheral - runtime library
- libhal1-flash (0.3.3-3)
- Compatibility library to allow playback of Flash DRM content
- libhamcrest-java (1.3-9)
- library of matchers for building test expressions
- libhamcrest-java-doc (1.3-9)
- library of matchers for building test expressions - documentation
- libhamlib++-dev (3.3-5)
- Development C++ library to control radio transceivers and receivers
- libhamlib-dev (3.3-5)
- Development library to control radio transceivers and receivers
- libhamlib-doc (3.3-5)
- Documentation for the hamlib radio control library
- libhamlib-utils (3.3-5)
- Utilities to support the hamlib radio control library
- libhamlib2 (3.3-5)
- Run-time library to control radio transceivers and receivers
- libhamlib2++c2 (3.3-5)
- Run-time C++ library to control radio transceivers and receivers
- libhamlib2-perl (3.3-5)
- Run-time perl library to control radio transceivers and receivers
- libhamlib2-tcl (3.3-5)
- Run-time Tcl library to control radio transceivers and receivers
- libhangul-data (0.1.0+git20170815-2)
- Hangul keyboard input library - data
- libhangul-dev (0.1.0+git20170815-2)
- Hangul keyboard input library - development files
- libhangul1 (0.1.0+git20170815-2)
- Hangul keyboard input library - runtime
- libharfbuzz-bin (2.3.1-1)
- OpenType text shaping engine (utility)
- libharfbuzz-dev (2.3.1-1)
- Development files for OpenType text shaping engine
- libharfbuzz-doc (2.3.1-1)
- Documentation files for the HarfBuzz library
- libharfbuzz-gobject0 (2.3.1-1)
- OpenType text shaping engine ICU backend (GObject library)
- libharfbuzz-icu0 (2.3.1-1)
- OpenType text shaping engine ICU backend
- libharfbuzz0-udeb (2.3.1-1)
- OpenType text shaping engine
- libharfbuzz0b (2.3.1-1)
- OpenType text shaping engine (shared library)
- libharminv-dev (1.4.1-2)
- Library for using harminv, development version
- libharminv3 (1.4.1-2)
- Library for using harminv
- libharp-dev (1.5+data-3)
- Data harmonisation toolset for Earth Observation formats
- libharp9 (1.5+data-3)
- Data harmonisation toolset for Earth Observation formats
- libhash-asobject-perl (0.13-3)
- Perl modules to treat hashes as objects
- libhash-case-perl (1.030-1)
- base class for hashes with key-casing requirements
- libhash-diff-perl (0.010-1)
- module for creating the difference between two hashes
- libhash-fieldhash-perl (0.15-1+b1)
- Perl module implementing a lightweight field hash
- libhash-flatten-perl (1.19-2)
- flatten/unflatten complex data hashes
- libhash-merge-perl (0.300-1)
- Perl module for merging arbitrarily deep hashes into a single hash
- libhash-merge-simple-perl (0.051-2)
- Perl module to easily merge two or more hashes
- libhash-moreutils-perl (0.06-1)
- module with extra hash functions not found in Hash::Util
- libhash-multivalue-perl (0.16-1)
- module for storing multiple values per key in a hash
- libhash-storediterator-perl (0.008-2+b1)
- Perl module for accessing a hashes internal iterator
- libhash-util-fieldhash-compat-perl (0.11-1)
- Perl module providing a Hash::Util::FieldHash compatible API
- libhash-withdefaults-perl (0.05-2)
- class for hashes with key-casing requirements supporting defaults
- libhashkit-dev (1.0.18-4.2)
- libmemcached hashing functions and algorithms (development files)
- libhashkit2 (1.0.18-4.2)
- libmemcached hashing functions and algorithms
- libhat-trie-dev (0.1.2-2)
- Development headers and static libraries for HAT-trie library
- libhat-trie-dev
- virtueel pakket geboden door libhat-trie-dev
- libhat-trie0 (0.1.2-2)
- HAT-trie, an extremely efficient (space and time) modern variant of tries
- libhavege-dev (1.9.1-7)
- entropy source using the HAVEGE algorithm - development files
- libhavege1 (1.9.1-7)
- entropy source using the HAVEGE algorithm - shared library
- libhavege1-dbg (1.9.1-7)
- entropy source using the HAVEGE algorithm - debug symbols
- libhawknl (1.6.8+dfsg2-1)
- Hawk game oriented network library API
- libhawknl-dbg (1.6.8+dfsg2-1)
- Hawk game oriented network library API (debug package)
- libhawknl-dev (1.6.8+dfsg2-1)
- Hawk game oriented network library API (development headers)
- libhawtbuf-java (1.11-1)
- HawtBuf rich byte buffer library
- libhawtdispatch-java (1.22-2)
- libdispatch style API for Java and Scala
- libhawtjni-generator-java (1.16-1)
- HawtJNI Generator
- libhawtjni-maven-plugin-java (1.16-1)
- HawtJNI Maven Plugin
- libhawtjni-runtime-java (1.16-1)
- Java library that provide JNI code generation
- libhbaapi-dev (2.2.9-2)
- SNIA HBAAPI library (development files)
- libhbaapi2 (2.2.9-2)
- SNIA HBAAPI library
- libhbalinux-dev (1.0.16-2)
- Library headers files for retrieving FCOE adapter information
- libhbalinux2 (1.0.16-2)
- Library for retrieving FCOE adapter information
- libhbci4j-core-java (3.1.29+dfsg-1+deb10u1)
- Java online banking client using the HBCI standard
- libhbci4j-core-java-doc (3.1.29+dfsg-1+deb10u1)
- Documentation for Java online banking client using the HBCI standard
- libhcrypto4-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - crypto library
- libhd-dev (21.63-3)
- Hardware identification system library and headers
- libhd-doc (21.63-3)
- Hardware identification system library documentation
- libhd12-dev
- virtueel pakket geboden door libhd-dev
- libhd12-doc
- virtueel pakket geboden door libhd-doc
- libhd13-dev
- virtueel pakket geboden door libhd-dev
- libhd13-doc
- virtueel pakket geboden door libhd-doc
- libhd14-dev
- virtueel pakket geboden door libhd-dev
- libhd14-doc
- virtueel pakket geboden door libhd-doc
- libhd15-dev
- virtueel pakket geboden door libhd-dev
- libhd15-doc
- virtueel pakket geboden door libhd-doc
- libhd16-dev
- virtueel pakket geboden door libhd-dev
- libhd16-doc
- virtueel pakket geboden door libhd-doc
- libhd20-dev
- virtueel pakket geboden door libhd-dev
- libhd20-doc
- virtueel pakket geboden door libhd-doc
- libhd21 (21.63-3)
- Hardware identification system library
- libhd21-dev
- virtueel pakket geboden door libhd-dev
- libhd21-doc
- virtueel pakket geboden door libhd-doc
- libhdate-dev (1.6.02-2+b1)
- Provides a library that help use Hebrew dates (development files)
- libhdate-perl (1.6.02-2+b1)
- Provides a library that help use Hebrew dates (perl bindings)
- libhdate1 (1.6.02-2+b1)
- Provides a library that help use Hebrew dates
- libhdb9-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - kadmin server library
- libhdf4-0 (4.2.13-4)
- Hierarchical Data Format library (embedded NetCDF)
- libhdf4-0-alt (4.2.13-4)
- Hierarchical Data Format library (without NetCDF)
- libhdf4-alt-dev (4.2.13-4)
- Hierarchical Data Format development files (without NetCDF)
- libhdf4-dev (4.2.13-4)
- Hierarchical Data Format development files (embedded NetCDF)
- libhdf4-doc (4.2.13-4)
- Hierarchical Data Format library -- documentation
- libhdf4g-doc
- virtueel pakket geboden door libhdf4-doc
- libhdf5-103 (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - runtime files - serial version
- libhdf5-cpp-103 (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - C++ libraries
- libhdf5-dev (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - development files - serial version
- libhdf5-doc (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - Documentation
- libhdf5-java (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - Java Wrapper Library
- libhdf5-jni (1.10.4+repack-10+deb10u1) [security]
- native library used by libhdf5-java
- libhdf5-mpi-dev (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - development files - default MPI version
- libhdf5-mpich-103 (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - runtime files - MPICH2 version
- libhdf5-mpich-dev (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - development files - MPICH version
- libhdf5-openmpi-103 (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - runtime files - OpenMPI version
- libhdf5-openmpi-dev (1.10.4+repack-10+deb10u1) [security]
- Hierarchical Data Format 5 (HDF5) - development files - OpenMPI version
- libhdf5-serial-dev
- virtueel pakket geboden door libhdf5-dev
- libhdfeos-dev (2.20v1.00-1)
- Development files for the HDF-EOS4 library
- libhdfeos0 (2.20v1.00-1)
- Earth Observation System extensions to HDF4
- libhdhomerun-dev (20180817-2)
- Development library for Silicon Dust HD HomeRun
- libhdhomerun4 (20180817-2)
- Library for Silicon Dust HD HomeRun
- libhdrhistogram-java (2.1.11-1)
- High Dynamic Range (HDR) Histogram
- libhe5-hdfeos-dev (5.1.16.dfsg.1-2+b1)
- Development files for the HDF-EOS5 library
- libhe5-hdfeos0 (5.1.16.dfsg.1-2+b1)
- Earth Observation System extensions to HDF5
- libheadius-options-java (1.4-2)
- Java library for JVM property-driven configuration
- libheadius-options-java-doc (1.4-2)
- Documentation for headius-options
- libhealpix-cxx-dev (3.50.0-3)
- representation of spherical data - C++ development library
- libhealpix-cxx2 (3.50.0-3)
- representation of spherical data - C++ shared library
- libhealpix-java (3.40-1)
- HEALPix representation of spherical data - Java library
- libhealpix-java-doc (3.40-1)
- HEALPix representation of spherical data - Java documentation
- libheap-perl (0.80-3)
- Perl extensions for keeping data partially sorted
- libheaptrack (1.1.0+20180922.gitf752536-4)
- heap memory profiler for Linux
- libheartbeat2 (1:3.0.6-9)
- Subsystem for High-Availability Linux (libraries)
- libheartbeat2-dev (1:3.0.6-9)
- Subsystem for High-Availability Linux (development files)
- libheif-dev (1.3.2-2~deb10u1)
- ISO/IEC 23008-12:2017 HEIF file format decoder - development files
- libheif-examples (1.3.2-2~deb10u1)
- ISO/IEC 23008-12:2017 HEIF file format decoder - examples
- libheif1 (1.3.2-2~deb10u1)
- ISO/IEC 23008-12:2017 HEIF file format decoder - shared library
- libheimbase1-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - Base library
- libheimdal-kadm5-perl (0.08-5+b1)
- Perl module to administer a Heimdal Kerberos KDC
- libheimntlm0-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - NTLM support library
- libhere-camlp4-dev (112.35.00-2+b2 [armhf], 112.35.00-2+b1 [amd64, arm64, i386])
- Syntax extension for inserting the current location
- libhere-camlp4-dev-4wiv7
- virtueel pakket geboden door libhere-camlp4-dev
- libhere-camlp4-dev-8rhf7
- virtueel pakket geboden door libhere-camlp4-dev
- libherelib-ocaml-dev
- virtueel pakket geboden door libhere-camlp4-dev
- libhersheyfont-dev (0.1-1+b1)
- Hershey vector fonts library development files
- libhersheyfont0 (0.1-1+b1)
- Hershey vector fonts shared library
- libherwig59-2-dev (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo event generator for hadrons (development)
- libherwig59-2-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo event generator simulating hadronic events
- libhesiod-dev (3.2.1-3.1)
- Project Athena's DNS-based directory service - development files
- libhesiod0 (3.2.1-3.1)
- Project Athena's DNS-based directory service - libraries
- libhessian-java (4.0.38-2)
- Java implementation of a binary protocol for web services
- libhessian-java-doc (4.0.38-2)
- Documentation for libhessian-java
- libhexbox1.5-cil (1.5.0-5)
- simple but powerful editor for binary files - libs
- libhfsp-dev (1.0.4-15)
- Library to access HFS+ formatted volumes
- libhfsp0 (1.0.4-15)
- Shared library to access HFS+ formatted volumes
- libhfst
- virtueel pakket geboden door libhfst52
- libhfst-dev (3.15.0-1.1~deb10u1)
- Helsinki Finite-State Transducer Technology Development files
- libhfst52 (3.15.0-1.1~deb10u1)
- Helsinki Finite-State Transducer Technology Libraries
- libhfstospell
- virtueel pakket geboden door libhfstospell10
- libhfstospell10 (0.5.0-2)
- HFST spell checker runtime libraries
- libhibernate-annotations-java
- virtueel pakket geboden door libhibernate3-java
- libhibernate-commons-annotations-java (3.2.0.Final-4)
- Hibernate Commons Annotations
- libhibernate-entitymanager-java
- virtueel pakket geboden door libhibernate3-java
- libhibernate-validator-java (4.3.4-1)
- Hibernate Validator
- libhibernate3-java (3.6.10.Final-9+deb10u1)
- Relational Persistence for Idiomatic Java
- libhiccup-clojure (1.0.5-1)
- fast library for rendering HTML in Clojure
- libhidapi-dev (0.8.0~rc1+git20140818.d17db57+dfsg-2)
- Multi-Platform library for communication with HID devices (development files)
- libhidapi-hidraw0 (0.8.0~rc1+git20140818.d17db57+dfsg-2)
- Multi-Platform library for communication with HID devices (hidraw backend)
- libhidapi-libusb0 (0.8.0~rc1+git20140818.d17db57+dfsg-2)
- Multi-Platform library for communication with HID devices (libusb backend)
- libhighlight-perl (3.41-2+b1)
- perl bindings for highlight source code to formatted text converter
- libhijk-perl (0.28-1)
- simple perl module for easily accessing HTTP services
- libhikaricp-java (2.7.1-2)
- high-performance JDBC connection pool library
- libhime (0.9.10+git20170427+dfsg1-3+b3)
- library for HIME
- libhinawa-dev (1.1.0-1)
- I/O library for IEEE 1394 asynchronous transactions (development file)
- libhinawa1 (1.1.0-1)
- I/O library for IEEE 1394 asynchronous transactions
- libhiredis-dev (0.14.0-3)
- minimalistic C client library for Redis (development files)
- libhiredis0.14 (0.14.0-3)
- minimalistic C client library for Redis
- libhivex-bin (1.3.18-1+deb10u1)
- utilities for reading and writing Windows Registry hives
- libhivex-dev (1.3.18-1+deb10u1)
- library for reading and writing Windows Registry hives
- libhivex-ocaml (1.3.18-1+deb10u1)
- OCaml bindings for hivex -- runtime files
- libhivex-ocaml-dev (1.3.18-1+deb10u1)
- OCaml bindings for hivex -- development files
- libhivex-ocaml-dev-e54u3
- virtueel pakket geboden door libhivex-ocaml-dev
- libhivex-ocaml-dev-odcp1
- virtueel pakket geboden door libhivex-ocaml-dev
- libhivex-ocaml-e54u3
- virtueel pakket geboden door libhivex-ocaml
- libhivex-ocaml-odcp1
- virtueel pakket geboden door libhivex-ocaml
- libhivex0 (1.3.18-1+deb10u1)
- library for reading and writing Windows Registry hives
- libhkl-dbg (5.0.0.2456-1)
- diffractometer computation control library - debug symbols
- libhkl-dev (5.0.0.2456-1)
- diffractometer computation control library - development files
- libhkl-doc (5.0.0.2456-1)
- diffractometer computation control library - documentation
- libhkl5 (5.0.0.2456-1)
- diffractometer computation control library
- libhmmer2-dev (2.3.2+dfsg-6)
- profile hidden Markov models for protein sequence analysis (devel)
- libhmsbeagle-dev (3.1.2+dfsg-6)
- High-performance lib for Bayesian and Maximum Likelihood phylogenetics (devel)
- libhmsbeagle-java (3.1.2+dfsg-6)
- High-performance lib for Bayesian and Maximum Likelihood phylogenetics (java)
- libhmsbeagle1v5 (3.1.2+dfsg-6)
- High-performance lib for Bayesian and Maximum Likelihood phylogenetics
- libhocr-dev (0.10.18-3)
- Developemnt files for hocr library
- libhocr-python (0.10.18-3)
- Hebrew OCR library Python bindings
- libhocr0 (0.10.18-3)
- Hebrew OCR library
- libhoel-dev (1.4.8-4)
- database abstraction library written in C - development
- libhoel1.4 (1.4.8-4)
- database abstraction library written in C
- libhogweed4 (3.4.1-1+deb10u1)
- low level cryptographic library (public-key cryptos)
- libhomfly-dev (1.02r5-1)
- compute the homfly polynomial of a link (development files)
- libhomfly0 (1.02r5-1)
- compute the homfly polynomial of a link
- libhoneysql-clojure (0.8.2-2)
- library for mapping Clojure data structures to SQL
- libhook-lexwrap-perl (0.26-1)
- module for lexically scoped subroutine wrappers
- libhook-wrapsub-perl (0.07-1)
- module to wrap subs with pre- and post-call hooks
- libhostfile-manager-perl (0.09-1)
- manage a hostfile by composing multiple fragments into a whole
- libhpdf-2.3.0 (2.3.0+dfsg-1)
- C library for generating pdf files
- libhpdf-dev (2.3.0+dfsg-1)
- C library for generating pdf files (development files)
- libhpmud-dev (3.18.12+dfsg0-2)
- HP Multi-Point Transport Driver (hpmud) development libraries
- libhpmud0 (3.18.12+dfsg0-2)
- HP Multi-Point Transport Driver (hpmud) run-time libraries
- libhpptools-dev (1.1.1-3)
- various C++ header tools
- libhsail-rt-7-dev (7.4.0-6)
- HSAIL runtime library (development files)
- libhsail-rt-8-dev (8.3.0-6)
- HSAIL runtime library (development files)
- libhsail-rt-8-dev-amd64-cross (8.3.0-2cross1)
- HSAIL runtime library (development files)
- libhsail-rt-8-dev-i386-cross (8.3.0-2cross1)
- HSAIL runtime library (development files)
- libhsail-rt-8-dev-x32-cross (8.3.0-2cross2)
- HSAIL runtime library (development files)
- libhsail-rt0 (8.3.0-6)
- HSAIL runtime library
- libhsail-rt0-amd64-cross (8.3.0-2cross1)
- HSAIL runtime library
- libhsail-rt0-dbg (8.3.0-6)
- HSAIL runtime library (debug symbols)
- libhsail-rt0-i386-cross (8.3.0-2cross1)
- HSAIL runtime library
- libhsail-rt0-x32-cross (8.3.0-2cross2)
- HSAIL runtime library
- libhsdis0-fcml (1.1.3-3)
- HotSpot disassembler plugin using FCML
- libhsm-bin (1:2.1.3-2)
- library for interfacing PKCS#11 Hardware Security Modules
- libhsqldb-java (2.4.1-2+deb10u2) [security]
- Java SQL database engine
- libhsqldb-java-doc (2.4.1-2+deb10u2) [security]
- documentation for HSQLDB
- libhsqldb1.8.0-java (1.8.0.10+dfsg-10+deb10u1) [security]
- Java SQL database engine
- libhtml-auto-perl (0.09-1)
- module for automatically writing HTML for common elements
- (0.02-1)
- utility to load AutoPagerize SITEINFO stuff
- libhtml-calendarmonth-perl (2.04-2)
- generate and manipulate calandar months in HTML
- libhtml-calendarmonthsimple-perl (1.25-2)
- Perl module for generating HTML Calendars
- libhtml-clean-perl (0.8-12)
- Cleans up HTML code for web browsers, not humans
- libhtml-copy-perl (1.31-1)
- module to copy a HTML file without breaking links
- libhtml-dashboard-perl (0.03-3)
- module that tries to achieve spreadsheet-like formatting for HTML tables
- libhtml-defang-perl (1.07-1)
- cleans HTML and CSS of scripting, executable contents and XSS attacks
- libhtml-diff-perl (0.600-1)
- module for comparing two HTML documents
- libhtml-display-perl (0.40-2)
- module for displaying HTML locally in a browser
- libhtml-element-extended-perl (1.18-1)
- extended HTML::Element classes
- libhtml-element-library-perl (5.220000-1)
- Perl module providing HTML::Element convenience methods
- libhtml-encoding-perl (0.61-2)
- perl module determining the encoding of HTML/XML/XHTML documents
- libhtml-entities-numbered-perl (0.04-2)
- Conversion of numbered HTML entities
- libhtml-escape-perl (1.10-1+b1)
- provides extremely fast HTML escaping
- libhtml-fillinform-perl (2.21-2)
- module for populating HTML forms with data
- libhtml-form-perl (6.03-1)
- module that represents an HTML form element
- libhtml-format-perl (2.12-1)
- module for transforming HTML into various formats
- libhtml-formatexternal-perl (26-3)
- HTML to text formatting using external programs
- libhtml-formattext-withlinks-andtables-perl (0.07-1)
- Perl module to converts HTML to Text with tables intact
- libhtml-formattext-withlinks-perl (0.15-1)
- Perl module to convert HTML to text with links as footnotes
- libhtml-formfu-model-dbic-perl (2.03-2)
- module to integrate HTML::FormFu with DBIx::Class
- libhtml-formfu-perl (2.07000-1)
- HTML form creation, rendering and validation framework
- libhtml-formhandler-model-dbic-perl (0.29-1)
- base class that holds DBIC model role
- libhtml-formhandler-perl (0.40068-1)
- form handler written in Moose
- libhtml-formhandler-traitfor-model-dbic-perl
- virtueel pakket geboden door libhtml-formhandler-model-dbic-perl
- libhtml-fromtext-perl (2.07-1)
- module to marks up plain text as HTML
- libhtml-gentoc-perl (3.20-2)
- module that generates a Table of Contents for HTML documents
- libhtml-gumbo-perl (0.18-2+b1)
- HTML5 parser based on gumbo C library
- libhtml-highlight-perl (0.20-7)
- Perl module for highlighting words or patterns in HTML documents
- libhtml-html5-builder-perl (0.004-2)
- erect some scaffolding for your documents
- libhtml-html5-entities-perl (0.004-1)
- module to encode and decode character entities defined in HTML5
- libhtml-html5-sanity-perl (0.105-4)
- make HTML5 DOM trees less insane
- libhtml-html5-writer-perl (0.201-2)
- output a DOM as HTML5
- (0.130-6)
- Perl module used to extract links from HTML documents
- libhtml-linklist-perl (0.1701-1)
- module that creates a 'smart' list of HTML links
- libhtml-lint-perl (2.32+dfsg-1)
- checker of HTML errors in strings or files
- libhtml-mason-perl (1:1.58-1)
- HTML::Mason Perl module
- libhtml-mason-perl-doc (1:1.58-1)
- HTML::Mason examples
- libhtml-mason-psgihandler-perl (0.53-2)
- PSGI handler for HTML::Mason
- libhtml-packer-perl (2.070000-1)
- perl module for cleaning the HTML code
- libhtml-parser-perl (3.72-3+b3)
- collection of modules that parse HTML text documents
- (1.6-7.1)
- Perl module for HTML popup tree widget
- libhtml-prettyprinter-perl (0.03-3)
- module that generates nice HTML files from HTML syntax trees
- libhtml-prototype-perl (1.48-5)
- module to generate HTML and Javascript for the Prototype library
- libhtml-quoted-perl (0.04-2)
- extract structure of quoted HTML mail message
- libhtml-restrict-perl (2.5.0-1)
- module to strip unwanted HTML tags and attributes
- libhtml-rewriteattributes-perl (0.05-2)
- Perl extension for HTML attribute rewriting
- libhtml-scrubber-perl (0.17-1)
- Perl extension for scrubbing/sanitizing html
- libhtml-selector-xpath-perl (0.25-1)
- module for compiling CSS Selectors to XPath
- libhtml-simpleparse-perl (0.12-3)
- bare-bones HTML parser
- libhtml-stream-perl (1.60-2)
- HTML output stream class, and some markup utilities
- libhtml-strip-perl (2.10-1+b3)
- module to strip HTML markup from text
- libhtml-stripscripts-parser-perl (1.03-2)
- module to filter scripts out of HTML
- libhtml-stripscripts-perl (1.06-1+deb10u1) [security]
- module for removing scripts from HTML
- libhtml-table-perl (2.08a-3)
- Perl module for creating HTML tables
- (2.15-1)
- module for extracting the content contained in HTML tables
- libhtml-tableparser-perl (0.43-1)
- Perl module to extract data from an HTML table
- libhtml-tagcloud-perl (0.38-2)
- module for generating HTML indexes of popular tags
- libhtml-tagfilter-perl (1.03-4)
- fine-grained HTML-filter, XSS-blocker and mailto-obfuscator
- libhtml-tagset-perl (3.20-3)
- Data tables pertaining to HTML
- libhtml-tagtree-perl (1.03-1)
- module that generates HTML via a tree of tag objects
- libhtml-template-compiled-perl (1.003-1)
- Perl template system compiling HTML::Template files to Perl code
- libhtml-template-dumper-perl (0.1-3)
- module to output template data in a test-friendly format
- libhtml-template-expr-perl (0.07-3)
- HTML::Template extension adding expression support
- libhtml-template-perl (2.97-1)
- module for using HTML templates with Perl
- libhtml-template-pluggable-perl (0.17-3)
- extension of HTML::Template with plugin support
- libhtml-template-pro-perl (0.9510-1+b7 [amd64, armhf, i386], 0.9510-1+b6 [arm64])
- Perl module to use HTML Templates from CGI scripts
- libhtml-tidy-perl (1.60-4)
- module for (X)HTML validation
- libhtml-tiny-perl (1.05-3)
- HTML::Tiny - Lightweight, dependency free HTML/XML generation
- libhtml-toc-perl (1.12-2)
- module for creating and updating an HTML Table of Contents
- libhtml-tokeparser-simple-perl (3.16-2)
- Perl module used to tokenize HTML documents
- libhtml-tree-perl (5.07-2)
- Perl module to represent and create HTML syntax trees
- libhtml-treebuilder-libxml-perl (0.26-1)
- HTML::TreeBuilder and XPath compatible interface with libxml
- libhtml-treebuilder-xpath-perl (0.14-1)
- Perl module to add XPath support to HTML::TreeBuilder
- libhtml-truncate-perl (0.20-2)
- Perl module to truncate HTML by percentage or character count
- libhtml-widget-perl (1.11-5)
- HTML widget and validation framework
- (1.0703-1)
- module for generating HTML navigation menus
- libhtml-widgets-selectlayers-perl (0.07-3)
- Perl extension for selectable HTML layers
- libhtml-wikiconverter-dialect
- virtueel pakket geboden door libhtml-wikiconverter-mediawiki-perl, libhtml-wikiconverter-markdown-perl, libhtml-wikiconverter-dokuwiki-perl, libhtml-wikiconverter-phpwiki-perl, libhtml-wikiconverter-snipsnap-perl, libhtml-wikiconverter-usemod-perl, libhtml-wikiconverter-kwiki-perl, libhtml-wikiconverter-wakkawiki-perl, libhtml-wikiconverter-oddmuse-perl, libhtml-wikiconverter-pmwiki-perl, libhtml-wikiconverter-moinmoin-perl, libhtml-wikiconverter-wikkawiki-perl, libhtml-wikiconverter-tikiwiki-perl
- libhtml-wikiconverter-dokuwiki-perl (0.53-2)
- HTML to wiki markup converter - DokuWiki dialect
- libhtml-wikiconverter-kwiki-perl (0.51-2)
- HTML to wiki markup converter - Kwiki dialect
- libhtml-wikiconverter-markdown-perl (0.06-2)
- HTML to wiki markup converter - Markdown dialect
- libhtml-wikiconverter-mediawiki-perl (0.59-2)
- Perl module to add MediaWiki markup support to HTML::WikiConverter
- libhtml-wikiconverter-moinmoin-perl (0.54-1)
- HTML to wiki markup converter - MoinMoin dialect
- libhtml-wikiconverter-oddmuse-perl (0.52-2)
- HTML to wiki markup converter - Oddmuse dialect
- libhtml-wikiconverter-perl (0.68-3)
- HTML to wiki markup converter
- libhtml-wikiconverter-phpwiki-perl (0.51-3)
- HTML to wiki markup converter - PhpWiki dialect
- libhtml-wikiconverter-pmwiki-perl (0.51-2)
- HTML to wiki markup converter - PmWiki dialect
- libhtml-wikiconverter-snipsnap-perl (0.50-2)
- HTML to wiki markup converter - SnipSnap dialect
- libhtml-wikiconverter-tikiwiki-perl (0.50-2)
- HTML to wiki markup converter - TikiWiki dialect
- libhtml-wikiconverter-usemod-perl (0.50-3)
- HTML to wiki markup converter - UseMod dialect
- libhtml-wikiconverter-wakkawiki-perl (0.50-2)
- HTML to wiki markup converter - WakkaWiki dialect
- libhtml-wikiconverter-wikkawiki-perl (0.50-2)
- HTML to wiki markup converter - WikkaWiki dialect
- libhtml5parser-java (1.4+r1.3.1-2)
- validator.nu HTML parser implementation in Java
- libhtml5parser-java-doc (1.4+r1.3.1-2)
- Documentation for libhtml5parser-java
- libhtmlcleaner-java (2.21-5+deb10u1) [security]
- Java HTML Parser library
- libhtmlcleaner-java-doc (2.21-5+deb10u1) [security]
- Java HTML Parser library (documentation)
- libhtmlcxx-dev (0.86-1.3)
- simple HTML/CSS1 parser library for C++ (development)
- libhtmlcxx3v5 (0.86-1.3)
- simple HTML parser library for C++
- libhtmlparser-java (1.6.20060610.dfsg0-9)
- java library to parse html
- libhtmlparser-java-doc (1.6.20060610.dfsg0-9)
- java library to parse html - doc
- libhtp-dev (1:0.5.30-1)
- HTTP normalizer and parser library (devel)
- libhtp2 (1:0.5.30-1)
- HTTP normalizer and parser library
- libhtrace-core-java (3.1.0-2)
- Tracing framework for distributed systems written in Java
- libhts-dev (1.9-12~deb10u1)
- development files for the HTSlib
- libhts-private-dev (1.9-12~deb10u1)
- private development files for the HTSlib (use with care)
- libhts2 (1.9-12~deb10u1)
- C library for high-throughput sequencing data formats
- libhtsengine-dev (1.10-3)
- Development files for HMM-based speech synthesis engine API
- libhtsengine1 (1.10-3)
- HMM-based speech synthesis engine API
- libhtsjdk-java (2.18.2+dfsg-2)
- Java API for high-throughput sequencing data (HTS) formats
- libhtsjdk-java-doc (2.18.2+dfsg-2)
- Documentation for the java HTSJDK library
- libhttp-async-perl (0.33-1)
- module for parallel non-blocking processing of multiple HTTP requests
- libhttp-body-perl (1.22-1)
- module for processing data from HTTP POST requests
- libhttp-browserdetect-perl (3.21-1)
- module to extract system data from an HTTP User Agent string
- libhttp-cache-transparent-perl (1.4-1)
- Perl module used to transparently cache HTTP requests
- libhttp-cookiejar-perl (0.008-1+deb10u1)
- minimalist HTTP user agent cookie jar
- libhttp-cookiemonster-perl (0.09-1)
- module for easy read/write access to HTTP::Cookies jar
- libhttp-cookies-perl (6.04-1)
- HTTP cookie jars
- libhttp-daemon-perl (6.01-3+deb10u1) [security]
- simple http server class
- libhttp-daemon-ssl-perl (1.05-01-2)
- Simple HTTP server class with SSL support
- libhttp-date-perl (6.02-1)
- module of date conversion routines
- libhttp-dav-perl (0.49-1)
- WebDAV client library for Perl, and "dave" CLI client
- libhttp-entity-parser-perl (0.21-1)
- PSGI compliant HTTP Entity Parser
- libhttp-exception-perl (0.04007-1)
- module for throwing HTTP-Errors as (Exception::Class-) Exceptions
- (0.09-1)
- HTTP Action, Adventure and Excitement
- (0.21-1)
- faster implementation of HTTP::Headers
- libhttp-link-parser-perl (0.200-1)
- parse HTTP Link headers
- libhttp-lite-perl (2.44-1)
- lightweight HTTP implementation for perl
- libhttp-message-perl (6.18-1)
- perl interface to HTTP style messages
- libhttp-multipartparser-perl (0.02-1)
- HTTP multipart MIME parser
- libhttp-negotiate-perl (6.01-1)
- implementation of content negotiation
- libhttp-oai-perl (4.08-1)
- API for the OAI-PMH
- libhttp-ocaml-dev (0.1.5-1+b11 [amd64, armhf, i386], 0.1.5-1+b7 [arm64])
- OCaml library for writing HTTP servers
- libhttp-parser-dev (2.8.1-1+deb10u3) [security]
- parser for HTTP messages: development libraries and header files
- libhttp-parser-perl (0.06-2)
- module for parsing HTTP requests and responses
- libhttp-parser-xs-perl (0.17-1+b5)
- simple and fast HTTP request parser
- libhttp-parser2.8 (2.8.1-1+deb10u3) [security]
- parser for HTTP messages written in C
- libhttp-proxy-perl (0.304-4)
- pure Perl HTTP proxy
- libhttp-recorder-perl (0.07-2)
- Perl module to record interaction with websites
- libhttp-request-ascgi-perl (1.2-3)
- module to setup a CGI environment from a HTTP::Request
- libhttp-request-params-perl (1.02-1)
- Perl extension for retrieving HTTP request parameters
- libhttp-response-encoding-perl (0.06-1)
- Perl module extending HTTP::Response encoding handling
- libhttp-server-simple-authen-perl (0.04-2)
- Authentication plugin for HTTP::Server::Simple
- libhttp-server-simple-cgi-prefork-perl (6-1)
- module to make HTTP::Server::Simple::CGI preforking and turn on SSL
- libhttp-server-simple-mason-perl (0.14-2)
- abstract baseclass for a standalone mason server
- libhttp-server-simple-perl (0.52-1)
- simple stand-alone HTTP server
- libhttp-server-simple-psgi-perl (0.16-2)
- simple HTTP server with PSGI application support
- libhttp-server-simple-recorder-perl (0.03-2)
- Mixin to record HTTP::Server::Simple's sockets
- libhttp-server-simple-static-perl (0.14-1)
- Serve static files with HTTP::Server::Simple
- libhttp-thin-perl (0.006-1)
- Thin Wrapper around HTTP::Tiny to play nice with HTTP::Message
- libhttp-throwable-perl (0.026-2)
- strongly-typed, PSGI-friendly HTTP 1.1 exception libraries
- libhttp-tiny-multipart-perl (0.08-1)
- module to add post_multipart method to HTTP::Tiny
- libhttp-tiny-perl (0.076-1)
- Perl module that implements a small, simple, correct HTTP/1.1 client
- libhttp-tiny-perl (= 0.070)
- virtueel pakket geboden door perl
- libhttp-tinyish-perl (0.15-1)
- HTTP::Tiny compatible HTTP client wrappers
- libhttpasyncclient-java (4.1.4-1)
- HTTP/1.1 compliant asynchronous HTTP agent implementation
- libhttpclient-java (4.5.7-1+deb10u1)
- HTTP/1.1 compliant HTTP agent implementation
- libhttpcore-java (4.4.11-1)
- set of low level HTTP transport components for Java
- libhttpcore-nio-java
- virtueel pakket geboden door libhttpcore-java
- libhttpmime-java (4.5.7-1+deb10u1)
- HTTP/1.1 compliant HTTP agent implementation - MIME extension
- libhttpunit-java (1.7+dfsg-14)
- automated web site testing toolkit
- libhttpunit-java-doc (1.7+dfsg-14)
- documentation for libhttpunit-java
- libhttrack-dev (3.49.2-1)
- Httrack website copier includes and development files
- libhttrack2 (3.49.2-1)
- Httrack website copier library
- libhugetlbfs-bin (2.20-4)
- Tools to ease use of hugetlbfs
- libhugetlbfs-dev (2.20-4)
- Development files to build programs using libhugetlbfs
- libhugetlbfs0 (2.20-4)
- Preload library to back program memory with hugepages
- libhugs-alut
- virtueel pakket geboden door libhugs-alut-bundled
- libhugs-alut-bundled (98.200609.21-5.4+b4)
- A binding for the OpenAL Utility Toolkit
- libhugs-base
- virtueel pakket geboden door libhugs-base-bundled
- libhugs-base-bundled (98.200609.21-5.4+b4)
- Basic libraries
- libhugs-cabal
- virtueel pakket geboden door libhugs-cabal-bundled
- libhugs-cabal-bundled (98.200609.21-5.4+b4)
- A framework for packaging Haskell software
- libhugs-fgl
- virtueel pakket geboden door libhugs-fgl-bundled
- libhugs-fgl-bundled (98.200609.21-5.4+b4)
- Martin Erwig's Functional Graph Library
- libhugs-glut
- virtueel pakket geboden door libhugs-glut-bundled
- libhugs-glut-bundled (98.200609.21-5.4+b4)
- A binding for the OpenGL Utility Toolkit
- libhugs-haskell-src
- virtueel pakket geboden door libhugs-haskell-src-bundled
- libhugs-haskell-src-bundled (98.200609.21-5.4+b4)
- Manipulating Haskell source code
- libhugs-haskell98
- virtueel pakket geboden door libhugs-haskell98-bundled
- libhugs-haskell98-bundled (98.200609.21-5.4+b4)
- Compatibility with Haskell 98
- libhugs-haxml
- virtueel pakket geboden door libhugs-haxml-bundled
- libhugs-haxml-bundled (98.200609.21-5.4+b4)
- Utilities for manipulating XML documents
- libhugs-hgl
- virtueel pakket geboden door libhugs-hgl-bundled
- libhugs-hgl-bundled (98.200609.21-5.4+b4)
- A simple graphics library based on X11 or Win32
- libhugs-hunit
- virtueel pakket geboden door libhugs-hunit-bundled
- libhugs-hunit-bundled (98.200609.21-5.4+b4)
- A unit testing framework for Haskell
- libhugs-mtl
- virtueel pakket geboden door libhugs-mtl-bundled
- libhugs-mtl-bundled (98.200609.21-5.4+b4)
- Monad transformer library
- libhugs-network
- virtueel pakket geboden door libhugs-network-bundled
- libhugs-network-bundled (98.200609.21-5.4+b4)
- Networking-related facilities
- libhugs-openal
- virtueel pakket geboden door libhugs-openal-bundled
- libhugs-openal-bundled (98.200609.21-5.4+b4)
- A binding to the OpenAL cross-platform 3D audio API
- libhugs-opengl
- virtueel pakket geboden door libhugs-opengl-bundled
- libhugs-opengl-bundled (98.200609.21-5.4+b4)
- A binding for the OpenGL graphics system
- libhugs-parsec
- virtueel pakket geboden door libhugs-parsec-bundled
- libhugs-parsec-bundled (98.200609.21-5.4+b4)
- Monadic parser combinators
- libhugs-quickcheck
- virtueel pakket geboden door libhugs-quickcheck-bundled
- libhugs-quickcheck-bundled (98.200609.21-5.4+b4)
- Automatic testing of Haskell programs
- libhugs-stm
- virtueel pakket geboden door libhugs-stm-bundled
- libhugs-stm-bundled (98.200609.21-5.4+b4)
- Software Transactional Memory
- libhugs-time
- virtueel pakket geboden door libhugs-time-bundled
- libhugs-time-bundled (98.200609.21-5.4+b4)
- time library
- libhugs-unix
- virtueel pakket geboden door libhugs-unix-bundled
- libhugs-unix-bundled (98.200609.21-5.4+b4)
- POSIX functionality
- libhugs-x11
- virtueel pakket geboden door libhugs-x11-bundled
- libhugs-x11-bundled (98.200609.21-5.4+b4)
- A binding to the X11 graphics library
- libhugs-xhtml
- virtueel pakket geboden door libhugs-xhtml-bundled
- libhugs-xhtml-bundled (98.200609.21-5.4+b4)
- A Haskell XHTML combinator library
- libhunspell-1.7-0 (1.7.0-2)
- spell checker and morphological analyzer (shared library)
- libhunspell-dev (1.7.0-2)
- spell checker and morphological analyzer (development)
- libhwloc-common (1.11.12-3)
- Hierarchical view of the machine - common files
- libhwloc-contrib-plugins (1.11.12-3+deb10u1) [contrib]
- Hierarchical view of the machine - contrib plugins
- libhwloc-dev (1.11.12-3)
- Hierarchical view of the machine - static libs and headers
- libhwloc-doc (1.11.12-3)
- Hierarchical view of the machine - documentation
- libhwloc-plugins (1.11.12-3)
- Hierarchical view of the machine - plugins
- libhwloc0
- virtueel pakket geboden door libhwloc5
- libhwloc1
- virtueel pakket geboden door libhwloc5
- libhwloc2
- virtueel pakket geboden door libhwloc5
- libhwloc3
- virtueel pakket geboden door libhwloc5
- libhwloc4
- virtueel pakket geboden door libhwloc5
- libhwloc5 (1.11.12-3)
- Hierarchical view of the machine - shared libs
- libhx-dev (3.24-1)
- Development files for libhx
- libhx-doc (3.24-1)
- Documentation files for libhx
- libhx28 (3.24-1)
- C library providing queue, tree, I/O and utility functions
- libhx509-5-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - X509 support library
- libhyena-cil (0.5-4)
- set of utility libraries for the CLI
- libhyena-cil-dev (0.5-4)
- set of utility libraries for the CLI - development files
- libhyperic-sigar-java (1.6.4+dfsg-4)
- System Information Gatherer And Reporter - Java bindings
- libhyperscan-dev (5.1.0-1)
- Development files for the Hyperscan library
- libhyperscan5 (5.1.0-1)
- High-performance regular expression matching library
- libhyphen-dev (2.8.8-7)
- ALTLinux hyphenation library - development files
- libhyphen0 (2.8.8-7)
- ALTLinux hyphenation library - shared library
- libhypre-2.15.1 (2.15.1-5)
- High Performance Matrix Preconditioners - Shared Library
- libhypre-dev (2.15.1-5)
- High Performance Matrix Preconditioners - Development Files
- libhz-dev (0.3.16-5)
- Headers and static libraries for zh-autoconvert
- libhz0 (0.3.16-5)
- Chinese encoding autoconvert library
- libi18n-acceptlanguage-perl (1.04-4)
- module to matches language preference to available languages
- libi18n-charset-perl (1.418-1)
- Perl module for mapping character set names to IANA names
- libi18n-langtags-perl (= 0.43)
- virtueel pakket geboden door perl
- libi2c-dev (4.1-1)
- userspace I2C programming library development files
- libi2c0 (4.1-1)
- userspace I2C programming library
- libi2util-dev (1.6-1)
- Internet2 utility library (development files)
- libib-util (3.0.5.33100.ds4-2)
- Firebird UDF support library
- libibatis-java (2.3.4.726-6)
- iBATIS Data Mapper framework
- libibdm-dev (1.5.7+0.2.gbd7e502-2+b1)
- Development files for the libibdm library
- libibdm1 (1.5.7+0.2.gbd7e502-2+b1)
- InfiniBand network diagnostic library
- libiberty-dev (20190122-1)
- library of utility functions used by GNU programs
- libibmad-dev (2.1.0-1+b1)
- Development files for libibmad
- libibmad5 (2.1.0-1+b1)
- Infiniband Management Datagram (MAD) library
- libibnetdisc-dev (2.1.0-1+b1)
- InfiniBand diagnostics library headers
- libibnetdisc5 (2.1.0-1+b1)
- InfiniBand diagnostics library
- libibtk-dev (0.0.14-12.1)
- Insomnia's Basic ToolKit: Development Libraries and Header Files
- libibtk0 (0.0.14-12.1)
- Insomnia's Basic ToolKit
- libibumad-dev (22.1-1)
- Development files for libibumad
- libibumad3 (22.1-1)
- InfiniBand Userspace Management Datagram (uMAD) library
- libibus-1.0-5 (1.5.19-4+deb10u1)
- Intelligent Input Bus - shared library
- libibus-1.0-dev (1.5.19-4+deb10u1)
- Intelligent Input Bus - development file
- libibverbs-dev (22.1-1)
- Development files for the libibverbs library
- libibverbs1 (22.1-1)
- Library for direct userspace use of RDMA (InfiniBand/iWARP)
- libical-dev (3.0.4-3)
- iCalendar library implementation in C (development)
- libical-doc (3.0.4-3)
- iCalendar library implementation in C (documentation)
- libical-parser-perl (1.21-1)
- module to parse iCalendar files into a data structure
- libical3 (3.0.4-3)
- iCalendar library implementation in C (runtime)
- libical3-dev
- virtueel pakket geboden door libical-dev
- libicap-dev (2.0.3-1)
- ICAP server (RFC 3507) implementation in C++ (development files)
- libicap1 (2.0.3-1)
- ICAP server (RFC 3507) implementation in C++ (library files)
- libicapapi-dev (1:0.5.3-2)
- ICAP API library development files
- libicapapi5 (1:0.5.3-2)
- ICAP API library
- libice-dev (2:1.0.9-2)
- X11 Inter-Client Exchange library (development headers)
- libice-doc (2:1.0.9-2)
- documentation for the X11 ICE protocol and library
- libice6 (2:1.0.9-2)
- X11 Inter-Client Exchange library
- libice6-dbg (2:1.0.9-2)
- X11 Inter-Client Exchange library (debug package)
- libicecc-dev (1.2-1)
- development files for icecc (distributed compiler)
- libicns-dev (0.8.1-3.1)
- libicns development files
- libicns1 (0.8.1-3.1)
- library for manipulation of the Mac OS icns format
- libicon-famfamfam-silk-perl (0.002001003-1)
- module containing the famous FamFamFam Silk icon theme
- libiconv-hook-dev (0.0.20021209-11)
- header files of libiconv-hook
- libiconv-hook1 (0.0.20021209-11)
- extension of iconv for libapache-mod-encoding
- libics-dev (1.6.2-2)
- Image Cytometry Standard file reading and writing (devel)
- libics-doc (1.6.2-2)
- Image Cytometry Standard file reading and writing (doc)
- libics0 (1.6.2-2)
- Image Cytometry Standard file reading and writing
- libics0-dev
- virtueel pakket geboden door libics-dev
- libicsharpcode-nrefactory-cecil5.0-cil (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - Cecil back-end
- libicsharpcode-nrefactory-cil-dev (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - Development files
- libicsharpcode-nrefactory-csharp5.0-cil (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - C# Component
- libicsharpcode-nrefactory-ikvm5.0-cil (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - IKVM back-end
- libicsharpcode-nrefactory-xml5.0-cil (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - XML
- libicsharpcode-nrefactory5.0-cil (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - Core
- libicu-dev (63.1-6+deb10u3)
- Development files for International Components for Unicode
- libicu-le-hb-dev (1.0.3+git180724-3)
- ICU Layout Engine API on top of HarfBuzz shaping library (development)
- libicu-le-hb0 (1.0.3+git180724-3)
- ICU Layout Engine API on top of HarfBuzz shaping library
- libicu4j-4.4-java (4.4.2.2-3)
- Library for Unicode support and internationalization
- libicu4j-java (62.1-2)
- Library for Unicode support and internationalization
- libicu4j-java-doc (62.1-2)
- Library for Unicode support and internationalization - Docs
- libicu63 (63.1-6+deb10u3)
- International Components for Unicode
- libid3-3.8.3-dev (3.8.3-16.2+b1)
- ID3 Tag Library: Development Libraries and Header Files
- libid3-3.8.3v5 (3.8.3-16.2+b1)
- library for manipulating ID3v1 and ID3v2 tags
- libid3-dev
- virtueel pakket geboden door libid3-3.8.3-dev
- libid3-doc (3.8.3-16.2)
- ID3 Tag Library: Documentation
- libid3-tools (3.8.3-16.2+b1)
- ID3 Tag Library: Utilities
- libid3tag0 (0.15.1b-14)
- ID3 tag reading library from the MAD project
- libid3tag0-dev (0.15.1b-14)
- ID3 tag reading library from the MAD project
- libident (0.22-3.1)
- simpele RFC1413 cliënt bibliotheek - runtime
- libident-dev (0.22-3.1)
- simple RFC1413 client library - development
- libidl-2-0 (0.8.14-4+b12)
- library for parsing CORBA IDL files
- libidl-dev (0.8.14-4+b12)
- development files for programs that use libIDL
- libidm-console-framework-java (1.2.0-1)
- IDM Console Framework for the 389 Directory Server Console
- libidn11 (1.33-2.2)
- GNU Libidn library, implementation of IETF IDN specifications
- libidn11-dev (1.33-2.2)
- Development files for GNU Libidn, an IDN library
- libidn11-java (1.33-2.2)
- Java port of the GNU Libidn library, an IDN implementation
- libidn2-0 (2.0.5-1+deb10u1)
- Internationalized domain names (IDNA2008/TR46) library
- libidn2-0-dev (2.0.5-1+deb10u1)
- Internationalized domain names (IDNA2008/TR46) development files [dummy]
- libidn2-0-dev
- virtueel pakket geboden door libidn2-dev
- libidn2-dev (2.0.5-1+deb10u1)
- Internationalized domain names (IDNA2008/TR46) development files
- libidn2-doc (2.0.5-1+deb10u1)
- Internationalized domain names (IDNA2008/TR46) documentation
- libidna-punycode-perl (1.100+dfsg-3)
- module to encode Unicode string in Punycode
- libidw-java (1.6.1-1)
- InfoNode Docking Windows (similar to JInternalFrames)
- libidw-java-doc (1.6.1-1)
- InfoNode Docking Windows (javadoc)
- libidzebra-2.0-0 (2.0.59-1+b3)
- IDZebra libraries
- libidzebra-2.0-dev (2.0.59-1+b3)
- IDZebra development
- libidzebra-2.0-mod-alvis (2.0.59-1+b3)
- IDZebra filter alvis (XSLT filter for XML)
- libidzebra-2.0-mod-dom (2.0.59-1+b3)
- IDZebra filter 'dom' (XML DOM internal document model with XSLT)
- libidzebra-2.0-mod-grs-marc (2.0.59-1+b3)
- IDZebra filter grs.marc (ISO2709 MARC reader)
- libidzebra-2.0-mod-grs-regx (2.0.59-1+b3)
- IDZebra filters grs.regx, grs.tcl
- libidzebra-2.0-mod-grs-xml (2.0.59-1+b3)
- IDZebra filter grs.xml (XML filter)
- libidzebra-2.0-mod-text (2.0.59-1+b3)
- IDZebra filter text
- libidzebra-2.0-modules (2.0.59-1+b3)
- IDZebra modules
- libiec16022-0 (0.2.4-1.2)
- Library to generate 2d ISO/IEC 16022 barcodes (data matrix/semacode)
- libiec16022-dev (0.2.4-1.2)
- Development files for the ISO/IEC 16022 barcodes library
- libiec61883-0 (1.2.0-3)
- partial implementation of IEC 61883 (shared lib)
- libiec61883-dev (1.2.0-3)
- partial implementation of IEC 61883 (devel)
- libieee1284-3 (0.2.11-13)
- cross-platform library for parallel port access
- libieee1284-3-dev (0.2.11-13)
- cross-platform library for parallel port access [development files]
- libifd-cyberjack6 (3.99.5final.sp09-2)
- REINER SCT cyberJack USB chipcard reader user space driver
- libifeffit-perl (2:1.2.11d-10.2+b3) [contrib]
- Perl extensions for IFEFFIT
- libifp-dev (1.0.0.2-5+b2)
- communicate with iRiver iFP audio devices (development files)
- libifp4 (1.0.0.2-5+b2)
- communicate with iRiver iFP audio devices
- libifstat-dev (1.1-8.1+b1)
- Ifstat Development Files
- libigdgmm-dev (18.4.1+ds1-1)
- Intel Graphics Memory Management Library -- development files
- libigdgmm5 (18.4.1+ds1-1)
- Intel Graphics Memory Management Library -- shared library
- libignition-cmake-dev (0.6.1-1)
- Ignition Robotics CMake Library - Development files
- libignition-common (1.1.1-1)
- Collection of useful code used by robotics apps - Shared library
- libignition-common-dev (1.1.1-1)
- Collection of useful code used by robotics apps - Dev files
- libignition-fuel-tools1-1 (1.0.0+dfsg4-5+b1)
- Ignition fuel-tools classes and functions - Shared library
- libignition-fuel-tools1-dev (1.0.0+dfsg4-5+b1)
- Ignition fuel-tools classes and functions - Development files
- libignition-math-dev
- virtueel pakket geboden door libignition-math4-dev, libignition-math2-dev
- libignition-math2 (2.9.0+dfsg1-1)
- Ignition Robotics Math Library - Shared library
- libignition-math2-dev (2.9.0+dfsg1-1)
- Ignition Robotics Math Library - Development files
- libignition-math4 (4.0.0+dfsg1-5)
- Ignition Robotics Math Library - Shared library
- libignition-math4-dev (4.0.0+dfsg1-5)
- Ignition Robotics Math Library - Development files
- libignition-msgs (1.0.0+dfsg1-5+b1)
- Set of message definitions used by robotics apps - Shared library
- libignition-msgs-dev (1.0.0+dfsg1-5+b1)
- Set of message definitions used by robotics apps - Dev files
- libignition-transport-dev (4.0.0+dfsg-4)
- transitional package
- libignition-transport4 (4.0.0+dfsg-4+b2)
- Ignition Robotics Transport Library - Shared library
- libignition-transport4-dev (4.0.0+dfsg-4+b2)
- Ignition Robotics transport Library - Development files
- libigraph-dev
- virtueel pakket geboden door libigraph0-dev
- libigraph0-dev (0.7.1-4)
- library for creating and manipulating graphs - development files
- libigraph0v5 (0.7.1-4)
- library for creating and manipulating graphs
- libiio-dev (0.16-1)
- libiio development files
- libiio-utils (0.16-1)
- Miscellaneous libiio utilities
- libiio0 (0.16-1)
- Library for interfacing with IIO devices
- libiio0-doc (0.16-1)
- libiio documentation
- libij-java (1.52j-1)
- Java library for ImageJ
- libij-java-doc (1.52j-1)
- documentation of libij-java
- libijs-0.35 (0.35-14)
- IJS raster image transport protocol: shared library
- libijs-dev (0.35-14)
- IJS raster image transport protocol: development files
- libijs-doc (0.35-14)
- IJS raster image transport protocol: documentation
- libiksemel-dev (1.4-3+b1)
- C library for the Jabber IM platform - development files
- libiksemel-utils (1.4-3+b1)
- utilities from the iksemel library
- libiksemel3 (1.4-3+b1)
- C library for the Jabber IM platform
- libilmbase-dev (2.2.1-2)
- development files for IlmBase
- libilmbase23 (2.2.1-2)
- several utility libraries from ILM used by OpenEXR
- libima-dbi-perl (0.35-2)
- module for database connection caching and organization
- libimage-base-bundle-perl (1.0.7-3.2)
- set of modules for loading, saving and creating xpm and xbm images
- libimage-base-perl
- virtueel pakket geboden door libimage-base-bundle-perl
- libimage-exif-perl (2.01-1+b7 [amd64, armhf, i386], 2.01-1+b6 [arm64])
- Perl module to extract EXIF information from image files
- libimage-exiftool-perl (11.16-1+deb10u1)
- library and program to read and write meta information in multimedia files
- libimage-geometry-dev (1.13.0+ds-2+b12)
- image_geometry Robot OS package - development
- libimage-geometry0d (1.13.0+ds-2+b12)
- image_geometry Robot OS package - runtime
- libimage-imlib2-perl (2.03-1+b8 [amd64, i386], 2.03-1+b7 [armhf], 2.03-1+b5 [arm64])
- perl interface to the imlib2 imaging library
- libimage-info-perl (1.41-1)
- allows extraction of meta information from image files
- libimage-librsvg-perl (0.07-8+b6 [amd64, armhf, i386], 0.07-8+b5 [arm64])
- Perl binding for the GNOME librsvg SVG renderer library
- libimage-magick-defaultquantum-perl
- virtueel pakket geboden door libimage-magick-q16-perl
- libimage-magick-perl (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- Perl interface to the ImageMagick graphics routines
- libimage-magick-q16-perl (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- Perl interface to the ImageMagick graphics routines -- Q16 version
- libimage-magick-q16hdri-perl (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- Perl interface to the ImageMagick graphics routines -- Q16HDRI version
- libimage-math-constrain-perl (1.02-2)
- Perl module performing math used to constrain image sizes
- libimage-metadata-jpeg-perl (0.153-1)
- Perl extension for showing/modifying JPEG (meta)data
- libimage-sane-perl (0.14-1+b4 [armhf], 0.14-1+b3 [amd64, arm64, i386])
- Perl extension for the SANE (Scanner Access Now Easy) Project
- libimage-seek-perl (0.06-2+b1)
- Perl module to find similar pictures in a library
- libimage-size-perl (3.300-1)
- module for determining image sizes in several common formats
- libimage-transport-dev (1.11.13-3+b1)
- Robot OS image_transport package - development
- libimage-transport0d (1.11.13-3+b1)
- Robot OS image_transport package
- libimage-xbm-perl
- virtueel pakket geboden door libimage-base-bundle-perl
- libimage-xpm-perl
- virtueel pakket geboden door libimage-base-bundle-perl
- libimageclasses1 (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - libimageClasses
- libimagequant-dev (2.12.2-1.1)
- palette quantization library (development files)
- libimagequant0 (2.12.2-1.1)
- palette quantization library
- libimager-perl (1.010+dfsg-1)
- Perl extension for generating 24-bit images
- libimager-qrcode-perl (0.033-1.2+b6 [amd64, armhf, i386], 0.033-1.2+b5 [arm64])
- Generate QR code with Imager using libqrencode
- libimap-admin-perl (1.6.7-2)
- Perl module for administrating IMAP servers
- libimdb-film-perl (0.53-1)
- Perl extension for retrieving movie info from IMDB.com
- libimglib2-java (4.5.0-1)
- multidimensional image processing library in Java
- libimglib2-java-doc (4.5.0-1)
- documentation for ImgLib2
- libimgscalr-java (4.2-1)
- Java Image Scaling Library
- libiml-dev (1.0.4-1+b2)
- Integer Matrix Library, development files
- libiml0 (1.0.4-1+b2)
- Integer Matrix Library, runtime files
- libimlib2 (1.5.1-1)
- image loading, rendering, saving library
- libimlib2-dev (1.5.1-1)
- image loading, rendering, saving library (development files)
- libimobiledevice-dev (1.2.1~git20181030.92c5462-2+deb10u1)
- Development files for libimobiledevice
- libimobiledevice-doc (1.2.1~git20181030.92c5462-2+deb10u1)
- Library for communicating with iPhone and other Apple devices (documentation)
- libimobiledevice-utils (1.2.1~git20181030.92c5462-2+deb10u1)
- Utitilies for communicating with iPhone and other Apple devices
- libimobiledevice6 (1.2.1~git20181030.92c5462-2+deb10u1)
- Library for communicating with iPhone and other Apple devices
- libimport-into-perl (1.002005-1)
- module for importing packages into other packages
- libimporter-perl (0.025-1)
- alternative but compatible interface to modules that export symbols
- libimvirt-perl (0.9.6-4)
- Perl module for detecting several virtualizations
- libindi-data (1.7.5+dfsg-1)
- Instrument-Neutral Device Interface library -- shared data
- libindi-dev (1.7.5+dfsg-1)
- Instrument-Neutral Device Interface library -- development files
- libindi-plugins (1.7.5+dfsg-1)
- Instrument-Neutral Device Interface library -- plugins
- libindialignmentdriver1 (1.7.5+dfsg-1)
- Instrument-Neutral Device Interface library -- alignment driver lib
- libindicator-dev (0.5.0-4)
- panel indicator applet - library development files
- libindicator-tools (0.5.0-4)
- Tools for libindicator
- libindicator3-7 (0.5.0-4)
- panel indicator applet - shared library
- libindicator3-dev (0.5.0-4)
- panel indicator applet - library development files
- libindicator3-tools (0.5.0-4)
- Tools for libindicator
- libindicator7 (0.5.0-4)
- panel indicator applet - shared library
- libindidriver1 (1.7.5+dfsg-1)
- Instrument-Neutral Device Interface library -- driver library
- libindigo-dev (1.2.3-1)
- Organic Chemistry Toolkit (development files)
- libindigo-java (1.2.3-1)
- Organic Chemistry Toolkit (Java package)
- libindigo0d (1.2.3-1)
- Organic Chemistry Toolkit
- libindirect-perl (0.38-1+b1)
- module warning about using the indirect object syntax
- libinfgtk-0.7-0 (0.7.1-1)
- infinote-based collaborative editing (Gtk widgets)
- libinfinity-0.7-0 (0.7.1-1)
- infinote-based collaborative editing
- libinfinity-0.7-dbg (0.7.1-1)
- infinote-based collaborative editing - debugging symbols
- libinfinity-0.7-dev (0.7.1-1)
- infinote-based collaborative editing - development files
- libinfinity-0.7-doc (0.7.1-1)
- infinote-based collaborative editing - documentation
- libinfluxdb-lineprotocol-perl (1.011-1)
- write and read InfluxDB LineProtocol
- libinhomog-dev (0.1.9.2-1)
- static library for kin.backreaction/average scale factor
- libinhomog0 (0.1.9.2-1)
- shared library for kin.backreaction/average scale factor
- libini-config-dev (0.6.1-2)
- Development files for libini_config
- libini-config5 (0.6.1-2)
- INI file parser for C
- libini4j-java (0.5.4-1~deb10u1) [security]
- Java API for handling Windows ini file format (library)
- libini4j-java-doc (0.5.2-SNAPSHOT-5)
- Java API for handling Windows ini file format (documentation)
- libinifiles-ocaml (1.2-3+b4 [armhf], 1.2-3+b3 [amd64, arm64, i386])
- read and write .ini files for OCaml (runtime)
- libinifiles-ocaml-dev (1.2-3+b4 [armhf], 1.2-3+b3 [amd64, arm64, i386])
- read and write .ini files for OCaml
- libinifiles-ocaml-dev-irkg0
- virtueel pakket geboden door libinifiles-ocaml-dev
- libinifiles-ocaml-dev-xe7z1
- virtueel pakket geboden door libinifiles-ocaml-dev
- libinifiles-ocaml-irkg0
- virtueel pakket geboden door libinifiles-ocaml
- libinifiles-ocaml-xe7z1
- virtueel pakket geboden door libinifiles-ocaml
- libinih-dev (42-1)
- simple .INI file parser (development files)
- libinih1 (42-1)
- simple .INI file parser
- libiniparser-dev (4.1-4)
- development files for the iniParser INI file reader/writer
- libiniparser-doc (4.1-4)
- documentation files for the iniParser INI file reader/writer
- libiniparser1 (4.1-4)
- runtime library for the iniParser INI file reader/writer
- libinjeqt-dev (1.1.0-1.1)
- Dependency injection framework for Qt
- libinjeqt1 (1.1.0-1.1)
- Dependency injection framework for Qt
- libinklevel-dev (0.9.3-1)
- development files for libinklevel5
- libinklevel5 (0.9.3-1)
- library for checking the ink level of your local printer
- libinline-c-perl (0.78-1)
- C Language Support for Inline
- libinline-files-perl (0.68-1)
- module to handle multiple virtual files at the end of your code
- libinline-java-perl (0.66-2+b1)
- write Perl classes in Java
- libinline-perl (0.81-1)
- module for producing simple Foreign Function Interfaces
- libinline-python-perl (0.56-1+b1)
- module to write Perl subs and classes in Python
- libinnodb-dbg (1.0.6.6750-1)
- Embedded InnoDB Library (debug files)
- libinnodb-dev (1.0.6.6750-1)
- Embedded InnoDB Library (dev files)
- libinnodb3 (1.0.6.6750-1)
- Embedded InnoDB Library
- libinotify-ocaml (1.0-2+b2 [armhf], 1.0-2+b1 [amd64, arm64, i386])
- OCaml bindings for the inotify API
- libinotify-ocaml-29b37
- virtueel pakket geboden door libinotify-ocaml
- libinotify-ocaml-aehd8
- virtueel pakket geboden door libinotify-ocaml
- libinotify-ocaml-dev (1.0-2+b2 [armhf], 1.0-2+b1 [amd64, arm64, i386])
- OCaml bindings for the inotify API
- libinotify-ocaml-dev-29b37
- virtueel pakket geboden door libinotify-ocaml-dev
- libinotify-ocaml-dev-aehd8
- virtueel pakket geboden door libinotify-ocaml-dev
- libinotifytools-dev
- virtueel pakket geboden door libinotifytools0-dev
- libinotifytools0 (3.14-7)
- utility wrapper around inotify
- libinotifytools0-dev (3.14-7)
- Development library and header files for libinotifytools0
- libinput-bin (1.12.6-2+deb10u1)
- input device management and event handling library - udev quirks
- libinput-dev (1.12.6-2+deb10u1)
- input device management and event handling library - development files
- libinput-pad-dev (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse - dev
- libinput-pad-xtest (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse - xtest
- libinput-pad1 (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse - libs
- libinput-tools (1.12.6-2+deb10u1)
- input device management and event handling library - command line tools
- libinput10 (1.12.6-2+deb10u1)
- input device management and event handling library - shared library
- libinput10-udeb (1.12.6-2+deb10u1)
- input device management and event handling library - shared library
- libinsighttoolkit4-dev (4.12.2-dfsg1-4+b1)
- Image processing toolkit for registration and segmentation - development
- libinsighttoolkit4.12 (4.12.2-dfsg1-4+b1)
- Image processing toolkit for registration and segmentation - runtime
- libinstaparse-clojure (1.4.7-1)
- simple way to build parsers in Clojure
- libinstpatch-1.0-0 (1.0.0-7)
- MIDI instrument editing library
- libinstpatch-dev (1.0.0-7)
- MIDI instrument editing library development files
- libinstpatch-doc (1.0.0-7)
- MIDI instrument editing library documentation
- libint-dev (1.2.1-2)
- Evaluate the integrals in modern atomic and molecular theory (devel)
- libint1 (1.2.1-2)
- Evaluate the integrals in modern atomic and molecular theory
- libint2-2 (2.3.0~beta3-2)
- Computation Chemistry Integral Evaluation Library
- libint2-dev (2.3.0~beta3-2)
- Computation Chemistry Integral Evaluation Library (development files)
- libintellij-annotations-java (17.0.0-1)
- transitional package for libjetbrains-annotations-java
- libintellij-annotations-java-doc (17.0.0-1)
- transitional package for libjetbrains-annotations-java-doc
- libinteractive-markers-dev (1.11.4-1+b1)
- Robot OS interactive_markers package - development files
- libinteractive-markers1d (1.11.4-1+b1)
- Robot OS interactive_markers package
- libinterimap (0.4-1)
- Net::IMAP::InterIMAP library and utils
- libinternals-perl (1.1-2+b6)
- Perl module for write-protecting variables and manipulating refcounts
- libintl-perl (1.26-2)
- Uniforum message translations system compatible i18n library
- libintl-xs-perl (1.26-2+b4)
- Uniforum message translations system compatible i18n library
- libinventor1 (2.1.5-10-21)
- Open Inventor runtime environment
- libinvokebinder-java (1.7-2)
- Java DSL for binding method handles
- libinvokebinder-java-doc (1.7-2)
- Documentation for invokebinder
- libio-aio-perl (4.60-1+b1)
- asynchronous IO module for Perl
- libio-all-lwp-perl (0.14-2)
- Perl module to use HTTP and FTP URLs with IO::All
- libio-all-perl (0.87-1)
- Perl module for unified IO operations
- libio-async-loop-epoll-perl (0.20-1)
- Perl extension for an event loop using epoll
- libio-async-loop-glib-perl (0.21-2)
- Perl extension for an event loop using GLib
- libio-async-loop-mojo-perl (0.05-1)
- Perl module to use IO::Async with Mojolicious
- libio-async-perl (0.72-1)
- modules for asynchronous event-driven programming
- libio-bufferedselect-perl (1.0-2)
- Perl module for line-buffered select interface
- libio-callback-perl (1.12-3)
- emulate file interface for a code reference
- libio-capture-perl (0.05-4)
- Abstract Base Class to build modules to capture output
- libio-captureoutput-perl (1.1104-1)
- module for capturing STDOUT and STDERR from Perl code, subprocesses or XS
- libio-compress-base-perl (= 2.074)
- virtueel pakket geboden door perl
- libio-compress-bzip2-perl (= 2.074)
- virtueel pakket geboden door perl
- libio-compress-lzma-perl (2.084-1)
- modules for reading and writing lzma/xz files/buffers
- libio-compress-perl (2.084-1)
- bundle of IO::Compress modules
- libio-compress-perl (= 2.074)
- virtueel pakket geboden door perl
- libio-compress-zlib-perl (= 2.074)
- virtueel pakket geboden door perl
- libio-digest-perl (0.11-1)
- Perl module to calculate digests while reading or writing
- libio-dirent-perl (0.05-1+b7 [amd64, armhf, i386], 0.05-1+b6 [arm64])
- Perl module for accessing dirent structs returned by readdir
- libio-epoll-perl (0.03-2+b6)
- Perl interface to the Epoll IO Multiplexing subsystem
- libio-event-perl (0.813-2)
- Perl module that ties filehandles for nonblocking IO with object callbacks
- libio-fdpass-perl (1.2-1+b1)
- module to pass a file descriptor over a socket
- libio-file-withpath-perl (0.09-1)
- IO::File extension that keeps the pathname
- libio-handle-util-perl (0.01-2)
- module providing helper functions for IO::Handle
- libio-html-perl (1.001-1)
- open an HTML file with automatic charset detection
- libio-interactive-perl (1.022-1)
- utility module for interactive I/O
- libio-interface-perl (1.09-1+b5)
- socket methods to get/set interface characteristics
- libio-lcdproc-perl (0.037-1)
- Perl extension to connect to a LCD display through lcdproc
- libio-lockedfile-perl (0.23+d030220-4)
- module providing object methods for locking files
- libio-multiplex-perl (1.16-1)
- object-oriented interface to select() for Perl
- (0.40-1)
- module to select a pager and pipe text to it
- libio-pipely-perl (0.005-1)
- portable way to create pipe() or pipe-like handles
- libio-prompt-perl (0.997004-1)
- module to interactively prompt for user input
- libio-prompt-tiny-perl (0.003-1)
- module that prompts for user input with a default option
- libio-prompter-perl (0.004015-1)
- Perl module to prompt for input, read it, clean it, return it
- libio-pty-easy-perl (0.10-1)
- module providing an easy interface to IO::Pty
- libio-pty-perl (1:1.08-1.1+b5)
- Perl module for pseudo tty IO
- libio-sessiondata-perl (1.03-1)
- set of modules for non-blocking I/O
- libio-socket-inet6-perl (2.72-2)
- object interface for AF_INET6 domain sockets
- libio-socket-ip-perl (0.39-1)
- module for using IPv4 and IPv6 sockets in a protocol-independent way
- libio-socket-ip-perl (= 0.39)
- virtueel pakket geboden door perl-base
- libio-socket-multicast-perl (1.12-2+b5 [amd64, armhf, i386], 1.12-2+b4 [arm64])
- module for sending and receiving multicast messages
- libio-socket-portstate-perl (0.03-1)
- IO::Socket::PortState - checking the status of a port
- libio-socket-socks-perl (0.74-1)
- extension to IO::Socket providing SOCKS proxy
- libio-socket-ssl-perl (2.060-3)
- Perl module implementing object oriented interface to SSL sockets
- libio-socket-timeout-perl (0.32-1)
- IO::Socket with read/write timeout
- libio-stream-perl (2.0.3-1)
- module that provides non-blocking I/O streams based on EV
- libio-string-perl (1.08-3)
- Emulate IO::File interface for in-core strings
- libio-stringy-perl (2.111-3)
- modules for I/O on in-core objects (strings/arrays)
- libio-stty-perl (0.03-2)
- module to change/print terminal (PTY) line settings
- libio-tee-perl (0.65-1)
- module for multiplexing output to multiple output handles
- libio-tiecombine-perl (1.005-1)
- Perl module to collect output via any kind of tied variable
- libio-tty-perl
- virtueel pakket geboden door libio-pty-perl
- libio-zlib-perl (= 1.10)
- virtueel pakket geboden door perl
- libiodbc2 (3.52.9-2.1)
- iODBC Driver Manager
- libiodbc2-dev (3.52.9-2.1)
- iODBC Driver Manager (development files)
- libip4tc-dev (1.8.2-4)
- Development files for libip4tc
- libip4tc0 (1.8.2-4)
- netfilter libip4tc library
- libip6tc-dev (1.8.2-4)
- Development files for libip6tc
- libip6tc0 (1.8.2-4)
- netfilter libip6tc library
- libipa-hbac-dev (1.16.3-3.2+deb10u2) [security]
- FreeIPA HBAC Evaluator library -- development files
- libipa-hbac0 (1.16.3-3.2+deb10u2) [security]
- FreeIPA HBAC Evaluator library
- libipathverbs1
- virtueel pakket geboden door ibverbs-providers
- libipc-filter-perl (0.004-2)
- module to filter data through an external process
- libipc-pubsub-perl (0.29-2)
- Interprocess Publish/Subscribe channels
- libipc-run-perl (20180523.0-1)
- Perl module for running processes
- libipc-run-safehandles-perl (0.04-2)
- Use IPC::Run and IPC::Run3 safely
- libipc-run3-perl (0.048-1)
- run a subprocess with input/ouput redirection
- libipc-shareable-perl (0.61-2)
- module to access IPC shared memory segments through perl
- libipc-sharedcache-perl (1.3-9)
- module to manage a cache in SysV IPC shared memory
- libipc-sharelite-perl (0.17-4+b3)
- Perl module that provides a simple interface to shared memory
- libipc-signal-perl (1.00-7)
- utility functions dealing with signals for Perl
- libipc-system-simple-perl (1.25-4)
- Perl module to run commands simply, with detailed diagnostics
- libipe-dev (7.2.9-1)
- Ipe library used by ipelets (developer files)
- libipe7.2.9 (7.2.9-1)
- Ipe library used by ipelets
- libiperf-dev (3.6-2+deb10u1) [security]
- Internet Protocol bandwidth measuring tool (development files)
- libiperf0 (3.6-2+deb10u1) [security]
- Internet Protocol bandwidth measuring tool (runtime files)
- libipmiconsole-dev (1.6.3-1.1)
- GNU IPMI - ipmiconsole development package
- libipmiconsole2 (1.6.3-1.1)
- GNU IPMI - Serial-over-Lan library
- libipmidetect-dev (1.6.3-1.1)
- GNU IPMI - ipmidetect development package
- libipmidetect0 (1.6.3-1.1)
- GNU IPMI - IPMI node detection library
- libipmimonitoring-dev (1.6.3-1.1)
- GNU IPMI - ipmimonitoring development package
- libipmimonitoring6 (1.6.3-1.1)
- GNU IPMI - Sensor monitoring library
- libipsec-mb-dev (0.52-2)
- Development files for Intel(R) Multi-Buffer Crypto for IPSec library
- libipsec-mb0 (0.52-2)
- Intel(R) Multi-Buffer Crypto for IPSec library
- libipset-dev (6.38-1.2)
- development files for IP sets
- libipset11 (6.38-1.2)
- library for IP sets
- libipt-dev (2.0-2)
- Intel Processor Trace Decoder Library -- development files
- libipt2 (2.0-2)
- Intel Processor Trace Decoder Library
- libiptables-chainmgr-perl (1.6-1)
- Perl extension for manipulating iptables policies
- libiptables-parse-perl (1.6-1)
- Perl extension for parsing iptables firewall rulesets
- libiptc-dev (1.8.2-4)
- Development files for libiptc
- libiptc0 (1.8.2-4)
- netfilter libiptc library
- libiptcdata
- virtueel pakket geboden door libiptcdata0
- libiptcdata-bin (1.0.5-2.1)
- Library to parse IPTC metadata (programs)
- libiptcdata-dev
- virtueel pakket geboden door libiptcdata0-dev
- libiptcdata-doc (1.0.5-2.1)
- Library to parse IPTC metadata (documentation)
- libiptcdata0 (1.0.5-2.1)
- Library to parse IPTC metadata
- libiptcdata0-dbg (1.0.5-2.1)
- Library to parse IPTC metadata (debug files)
- libiptcdata0-dev (1.0.5-2.1)
- Library to parse IPTC metadata (development files)
- libipx-dev (10.3~svn296373-10)
- Development files for libipx
- libipx2 (10.3~svn296373-10)
- FreeBSD IPX address conversion support library
- libirc-formatting-html-perl (0.29-1)
- Perl module for converting between HTML and IRC formatting
- libirc-utils-perl (0.12-1)
- collection of common utilities for IRC-related tasks
- libircclient-dev (1.9-1)
- development files for libircclient
- libircclient1 (1.9-1)
- C library to create IRC clients
- libirclib-java (1.10-3)
- Java implementation of the IRC protocol
- libirclib-java-doc (1.10-3)
- Java implementation of the IRC protocol (javadoc files)
- libiri-perl (0.009-1)
- Handle Internationalized Resource Identifiers in Perl
- libirman-dev (0.5.2-2)
- Library, headers and test tools for the Irman infrared hardware
- libirman0 (0.5.2-2)
- Shared library to access the libirman hardware
- libirrlicht-dev (1.8.4+dfsg1-1.1)
- High performance realtime 3D engine development library
- libirrlicht-doc (1.8.4+dfsg1-1.1)
- High performance realtime 3D engine (API documentation)
- libirrlicht1.8 (1.8.4+dfsg1-1.1)
- High performance realtime 3D engine
- libirrlicht1.8-dbg (1.8.4+dfsg1-1.1)
- debugging symbols for irrlicht
- libirs-export161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Exported IRS Shared Library
- libirs-export161-udeb (1:9.11.5.P4+dfsg-5.1+deb10u7)
- Exported IRS library for debian-installer
- libirs161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- DNS Shared Library used by BIND
- libirstlm-dev (6.00.05-2)
- IRST Language Modeling Toolkit - development files
- libirstlm1 (6.00.05-2)
- IRST Language Modeling Toolkit - runtime library
- libisajet758-3-dev (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo generator for proton/electron reactions
- libisajet758-3-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo generator for proton / electron reactions
- libisal-dev (2.25.0-1)
- Intel(R) Intelligent Storage Acceleration Library - devel files
- libisal2 (2.25.0-1)
- Intel(R) Intelligent Storage Acceleration Library - shared library
- libisc-export1100 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Exported ISC Shared Library
- libisc-export1100-udeb (1:9.11.5.P4+dfsg-5.1+deb10u7)
- Exported ISC library for debian-installer
- libisc1100 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- ISC gedeelde bibliotheek door BIND gebruikt
- libisccc-export161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Command Channel Library used by BIND
- libisccc-export161-udeb (1:9.11.5.P4+dfsg-5.1+deb10u7)
- Command Channel Library used by BIND
- libisccc161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Command Channel Library used by BIND
- libisccfg-export163 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Exported ISC CFG Shared Library
- libisccfg-export163-udeb (1:9.11.5.P4+dfsg-5.1+deb10u7)
- Exported ISC CFG library for debian-installer
- libisccfg163 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Config File Handling Library used by BIND
- libiscsi-bin (1.18.0-2)
- iSCSI client shared library - utilities
- libiscsi-dev (1.18.0-2)
- iSCSI client library development files
- libiscsi7 (1.18.0-2)
- iSCSI client shared library
- libiscwt-java (5.3.20100629-4)
- abstractions and Java implementations for rendering PDF
- libiscwt-java-doc (5.3.20100629-4)
- Javadoc API description for isCWT
- libisds-dev (0.10.7-1+b1)
- Czech Data Boxes access library (development files)
- libisds5 (0.10.7-1+b1)
- Czech Data Boxes access library (shared library)
- libisfreetype-java (5.3.20100629-3)
- Java wrapper for FreeType font handling library
- libisfreetype-java-doc (5.3.20100629-3)
- Javadoc API description for isFreeType
- libisl-dev (0.20-2)
- manipulating sets and relations of integer points bounded by linear constraints
- libisl19 (0.20-2)
- manipulating sets and relations of integer points bounded by linear constraints
- libismrmrd-dev (1.4.0-1)
- development files for ISMRMRD
- libismrmrd-doc (1.4.0-1)
- documentation for ISMRMRD
- libismrmrd1.3 (1.4.0-1)
- ISMRM Raw Data format (ISMRMRD)
- libisnativec-java (5.3.20100629+fix-2)
- helper routines to access native code from Java
- libisnativec-java-doc (5.3.20100629+fix-2)
- Javadoc API description for isNativeC
- libisns-dev (0.97-3)
- Internet Storage Name Service - development files
- libisns-nocrypto0-udeb (0.97-3)
- Internet Storage Name Service - shared libraries
- libisns0 (0.97-3)
- Internet Storage Name Service - shared libraries
- libiso9660-11 (2.0.0-2)
- library to work with ISO9660 filesystems
- libiso9660-dev (2.0.0-2)
- library to work with ISO9660 filesystems (development files)
- libisoburn-dev (1.5.0-1)
- development package for libisoburn
- libisoburn-doc (1.5.0-1)
- documentation package for libisoburn
- libisoburn1 (1.5.0-1)
- library to handle creation and inspection of ISO-9660 file systems
- libisocodes-dev (1.2.2-2)
- access of iso-codes data and translations - development files
- libisocodes1 (1.2.2-2)
- access of iso-codes data and translations
- libisofs-dev (1.5.0-1)
- development package for libisofs
- libisofs-doc (1.5.0-1)
- background documentation for libisofs library
- libisofs6 (1.5.0-1)
- library to create ISO 9660 images
- libisoparser-java (1.1.22-1)
- Generic parser and writer for ISO 14496 based files
- libisorelax-java (20041111-12)
- Interface for applications to support RELAX Core
- libisorelax-java-doc (20041111-12)
- Interface for applications to support RELAX Core - documentation
- libisospec++-dev (1.9.1-5)
- Isotopic fine structure calculator (C++ development files)
- libisospec++-doc (1.9.1-5)
- Isotopic fine structure calculator (C++ API documentation)
- libisospec++1 (1.9.1-5)
- Isotopic fine structure calculator (C++ runtime)
- libisrt-java (4.8.20100629-3)
- common runtime library by intarsys
- libisrt-java-doc (4.8.20100629-3)
- common runtime library by intarsys (documentation)
- libistack-commons-java (3.0.6-3)
- Common code for some Glassfish projects
- libiterator-perl (0.03+ds1-1)
- Perl implementation of iterators
- libiterator-util-perl (0.02+ds1-1)
- Useful functions for creating and manipulating iterator objects
- libitext-java (2.1.7-12)
- Java Library to create and manipulate PDF on the fly
- libitext-rtf-java (2.1.7-12)
- Java Library to create and manipulate RTF files on the fly
- libitext-rups-java (2.1.7-12)
- graphical tool for Reading and Updating PDF Syntax (RUPS)
- libitext1-java (1.4-7)
- Java Library to generate PDF on the Fly
- libitext5-java (5.5.13-1+deb10u1) [security]
- Java Library to create and manipulate PDF on the fly
- libitext5-java-doc (5.5.13-1+deb10u1) [security]
- Java Library to create and manipulate PDF on the fly (documentation)
- libitl-dev (0.8.0-2)
- Islamic tools & libraries project - development files
- libitl-gobject-dev (0.3-1)
- GObject bindings for libitl - development files
- libitl-gobject0 (0.3-1)
- GObject bindings for libitl - shared library
- libitl0 (0.8.0-2)
- Islamic tools & libraries project - shared library
- libitm1 (8.3.0-6)
- GNU Transactional Memory Library
- libitm1-alpha-cross (8.3.0-2cross2)
- GNU Transactional Memory Library
- libitm1-amd64-cross (8.3.0-2cross1)
- GNU Transactional Memory Library
- libitm1-arm64-cross (8.3.0-2cross1)
- GNU Transactional Memory Library
- libitm1-dbg (8.3.0-6)
- GNU Transactional Memory Library (debug symbols)
- libitm1-i386-cross (8.3.0-2cross1)
- GNU Transactional Memory Library
- libitm1-ppc64-cross (8.3.0-2cross2)
- GNU Transactional Memory Library
- libitm1-ppc64el-cross (8.3.0-2cross1)
- GNU Transactional Memory Library
- libitm1-s390x-cross (8.3.0-2cross1)
- GNU Transactional Memory Library
- libitm1-sh4-cross (8.3.0-2cross2)
- GNU Transactional Memory Library
- libitm1-sparc64-cross (8.3.0-2cross2)
- GNU Transactional Memory Library
- libitm1-x32-cross (8.3.0-2cross2)
- GNU Transactional Memory Library
- libitpp-dev (4.3.1-9)
- C++ library of signal processing and communication routines: Headers
- libitpp-doc (4.3.1-9)
- C++ library of signal processing and communication routines: Documentation
- libitpp8v5 (4.3.1-9)
- C++ library of signal processing and communication routines
- libitpp8v5-dbg (4.3.1-9)
- C++ library of signal processing and communication routines: Debug symbols
- libitsol-dev (1.0.0-3+b1)
- ITerative SOLvers - devel
- libitsol1 (1.0.0-3+b1)
- ITerative SOLvers - runtime
- libiv-unidraw1 (1.2.11a2-4)
- Application Frameworks layered on Unidraw
- libiv1 (1.2.11a2-4)
- C++ GUI library with Motif Look and Feel
- libivykis-dev (0.42.3-1)
- Development libraries, header files and docs for libivykis
- libivykis0 (0.42.3-1)
- Asynchronous I/O readiness notification library
- libivykis0-dbg (0.42.3-1)
- Asynchronous I/O readiness notification library (debug symbols)
- libiw-dev (30~pre9-13)
- Wireless tools - development files
- libiw30 (30~pre9-13)
- Wireless tools - library
- libiw30-udeb (30~pre9-13)
- Tools for manipulating Linux Wireless Extensions
- libixion-0.14-0 (0.14.1-4)
- general purpose formula parser & interpreter library
- libixion-dev (0.14.1-4)
- general purpose formula parser & interpreter library -- development
- libixion-doc (0.14.1-4)
- general purpose formula parser & interpreter library -- documentation
- libixml10 (1:1.8.4-2)
- Portable SDK for UPnP Devices, version 1.8 (ixml shared library)
- libixp (0.6~20121202+hg148-2)
- transitional dummy package for libixp-dev
- libixp
- virtueel pakket geboden door libixp-dev
- libixp-dev (0.6~20121202+hg148-2+b1)
- simple 9P client-/server-library (development)
- libixp0 (0.6~20121202+hg148-2+b1)
- simple 9P client-/server-library
- libj2ssh-java (0.2.9-5)
- Java library for the SSH protocol
- libj2ssh-java-doc (0.2.9-5)
- Java library for the SSH protocol - documentation and examples
- libjabsorb-java (1.3-4) [non-free]
- Java to Javascript object request broker
- libjack-0.116
- virtueel pakket geboden door libjack-jackd2-0, libjack0
- libjack-0.125
- virtueel pakket geboden door libjack-jackd2-0, libjack0
- libjack-dev (1:0.125.0-3)
- JACK Audio Connection Kit (development files)
- libjack-dev
- virtueel pakket geboden door libjack-jackd2-dev
- libjack-dev-session
- virtueel pakket geboden door libjack-dev, libjack-jackd2-dev
- libjack-jackd2-0 (1.9.12~dfsg-2)
- JACK Audio Connection Kit (libraries)
- libjack-jackd2-dev (1.9.12~dfsg-2)
- JACK Audio Connection Kit (development files)
- libjack0 (1:0.125.0-3)
- JACK Audio Connection Kit (libraries)
- libjack0.100.0-dev
- virtueel pakket geboden door libjack-dev, libjack-jackd2-dev
- libjackrabbit-java (2.18.0+r2.14.6-1)
- content repository implementation (JCR API)
- libjackson-json-java (1.9.13-2~deb10u1)
- streaming fast powerful standard conformant json processor in java
- libjackson-json-java-doc (1.9.13-2~deb10u1)
- standard conformant json processor in java - API documentation
- libjackson2-annotations-java (2.9.8-1)
- fast and powerful JSON library for Java -- annotations
- libjackson2-annotations-java-doc (2.9.8-1)
- Documentation for Jackson-annotations
- libjackson2-core-java (2.9.8-3)
- fast and powerful JSON library for Java -- core library
- libjackson2-core-java-doc (2.9.8-3)
- Documentation for Jackson-core
- libjackson2-databind-java (2.9.8-3+deb10u5) [security]
- fast and powerful JSON library for Java -- data binding
- libjackson2-databind-java-doc (2.9.8-3+deb10u5) [security]
- Documentation for jackson-databind
- libjackson2-dataformat-cbor (2.7.8-3)
- Jackson data format module for RfC7049 Concise Binary Object Representation
- libjackson2-dataformat-cbor-doc (2.7.8-3)
- Documentation for jackson-dataformat-cbor
- libjackson2-dataformat-smile (2.7.8-3)
- fast and powerful JSON library for Java -- Smile dataformat
- libjackson2-dataformat-smile-doc (2.7.8-3)
- Documentation for Jackson-dataformat-smile
- libjackson2-dataformat-xml-java (2.9.8-1)
- fast and powerful JSON library for Java -- XML dataformat
- libjackson2-dataformat-xml-java-doc (2.9.8-1)
- Documentation for Jackson-dataformat-XML
- libjackson2-dataformat-yaml (2.8.11-1)
- fast and powerful JSON library for Java -- YAML dataformat
- libjackson2-dataformat-yaml-doc (2.8.11-1)
- Documentation for jackson-dataformat-yaml
- libjackson2-datatype-joda-java (2.9.8-1)
- fast and powerful JSON library for Java -- Joda datatypes
- libjackson2-datatype-joda-java-doc (2.9.8-1)
- Documentation for jackson-datatype-joda
- libjackson2-jaxrs-providers-java (2.9.8-1)
- Jackson JAX-RS providers
- libjackson2-jr-java (2.9.7-1)
- JSON library for Java -- standalone data-binding module
- libjackson2-jr-java-doc (2.9.7-1)
- Documentation for libjackson2-jr-java
- libjackson2-module-jaxb-annotations-java (2.8.10-4)
- Fast and powerful JSON library for Java -- JAXB annotations
- libjacoco-java (0.8.3-1)
- free code coverage library for Java
- libjacoco-java-doc (0.8.3-1)
- free code coverage library for Java - documentations
- libjakarta-poi-java
- virtueel pakket geboden door libapache-poi-java
- libjakarta-poi-java-doc
- virtueel pakket geboden door libapache-poi-java-doc
- libjalali-dev (0.4.1-2+b1)
- development files of a library to display Jalali calendar
- libjalali0 (0.4.1-2+b1)
- library to display Jalali calendar
- libjam-java (0.1.git20180106.740247a+dfsg-1)
- Java applications look and behave like native applications
- libjam-java-doc (0.1.git20180106.740247a+dfsg-1)
- Java applications look and behave like native applications (documentation)
- libjama-dev (1.2.4-2)
- C++ Linear Algebra Package
- libjama-java (1.0.3-1)
- Basic linear algebra library for Java
- libjama-java-doc (1.0.3-1)
- Basic linear algebra library for Java (documentation)
- libjameica-datasource-java (2.8.1+dfsg-3)
- OR mapper for Jameica - Java library
- libjameica-datasource-java-doc (2.8.1+dfsg-3)
- Documentation for OR mapper for Jameica - Java library
- libjameica-util-java (2.8-2)
- utility classes for Jameica - Java library
- libjameica-util-java-doc (2.8-2)
- Documentation for utility classes for Jameica - Java library
- libjamon-java (2.7-7)
- Java API for easy monitoring production applications
- libjanino-java (2.7.0-2)
- runtime compiler for Java expressions - library
- libjanino-java-doc (2.7.0-2)
- runtime compiler for Java expressions - documentation
- libjansi-java (1.17.1-1)
- Java library for generating and interpreting ANSI escape sequences
- libjansi-native-java (1.8-1)
- Java library for ANSI escape sequences - native component
- libjansson-dev (2.12-1)
- C library for encoding, decoding and manipulating JSON data (dev)
- libjansson-doc (2.12-1)
- C library for encoding, decoding and manipulating JSON data (doc)
- libjansson4 (2.12-1)
- C library for encoding, decoding and manipulating JSON data
- libjargs-java (1.0.0-4)
- Command-line argument parsing for Java
- libjargs-java-doc (1.0.0-4)
- Command-line argument parsing for Java - documentation
- libjarjar-java (1.4+svn142-10)
- repackage third-party jars
- libjarjar-maven-plugin-java (1.9-8)
- Maven plugin to repackage third-party jars
- libjas-java (2.5.4408-1)
- Java object-oriented type-safe Algebra System
- libjas-plotter-java (2.2.6+dfsg1-4)
- JAS(2) Plotter graphic library
- libjasypt-java (1.9.2-1)
- java library for simple high-level encryption
- libjasypt-java-doc (1.9.2-1)
- Documentation - java library for simple high-level encryption
- libjatl-java (0.2.3-1)
- JATL - Java Anti-Template Language
- libjaudiotagger-java (2.0.3-3)
- library for editing tags like ID3 in audio files such as MP3s
- libjaula-dev (1.4.0-5)
- JSON parser/writer library for C++ (development files)
- libjaula-doc (1.4.0-5)
- JSON parser/writer library for C++ (documentation)
- libjaula1 (1.4.0-5)
- JSON parser/writer library for C++
- libjava-allocation-instrumenter-java (3.1.0-2)
- JVM agent tracking memory allocations
- libjava-allocation-instrumenter-java-doc (3.1.0-2)
- JVM agent tracking memory allocations (documentation)
- libjava-classpath-clojure (0.2.3-1)
- examine the Java classpath from Clojure programs
- libjava-gnome-java (4.1.3-8)
- Java-gnome language bindings project
- libjava-gnome-jni (4.1.3-8)
- Java-gnome language bindings project JNI layer
- libjava-jdbc-clojure (0.7.0-2)
- Clojure interface to sql databases via jdbc
- libjava-jmx-clojure (0.3.4-1)
- produce and consume JMX beans from Clojure
- libjava-string-similarity-java (0.24-2)
- library of different string similarity and distance measures
- libjava-xmlbuilder-java (0.4-2)
- XML Builder Java library for creating XML documents
- libjava-xmlbuilder-java-doc (0.4-2)
- Documentation for XML Builder Java library for creating XML documents
- libjava3d-java (1.5.2+dfsg-16)
- Java 3D API (java library)
- libjava3d-java-doc (1.5.2+dfsg-16)
- Documentation for the Java3D API
- libjava3d-jni (1.5.2+dfsg-16)
- Java3D API (java jni library)
- libjavacc-maven-plugin-java (2.6-4)
- maven plugin which uses JavaCC to process JavaCC grammar files
- libjavaewah-java (0.7.9-1)
- Compressed variant of the Java bitset class
- libjavaewah-java-doc (0.7.9-1)
- Compressed variant of the Java bitset class (documentation)
- libjavafxsvg-java (1.2.1-2)
- CenterDevice JavaFxSVG library
- libjavaparser-java (1.0.11-1)
- Java library for parsing Java 7
- libjavaparser-java-doc (1.0.11-1)
- Java library for parsing Java 7 (documentation)
- libjavapoet-java (1.11.1-1)
- Java API for generating .java source files
- libjavascript-beautifier-perl (0.25-1+deb10u1)
- Perl module to beautify JavaScript code
- libjavascript-minifier-perl (1.14-1)
- Perl extension for minifying JavaScript code
- libjavascript-minifier-xs-perl (0.11-1+b5)
- XS based JavaScript minifier
- libjavascript-packer-perl (2.04-1)
- module to compact JavaScript code
- libjavascript-rpc-perl (0.10-1.3)
- Perl module to process Remote procedure calls from JavaScript
- libjavascriptcoregtk-4.0-18 (2.38.6-0+deb10u1) [security]
- JavaScript engine library from WebKitGTK
- libjavascriptcoregtk-4.0-bin (2.38.6-0+deb10u1) [security]
- JavaScript engine library from WebKitGTK - command-line interpreter
- libjavascriptcoregtk-4.0-dev (2.38.6-0+deb10u1) [security]
- JavaScript engine library from WebKitGTK - development files
- libjavassist-java (1:3.23.1-1)
- library for editing bytecodes in Java
- libjavassist-java-doc (1:3.23.1-1)
- library for editing bytecodes in Java -- documentation
- libjavatuples-java (1.2-1)
- javatuples
- libjavawriter-java (2.5.1-1)
- Java API for generating .java source files
- libjavawriter-java-doc (2.5.1-1)
- Documentation for JavaWriter
- libjawn-java (0.11.1+really0.6.0-1)
- Scala json parser
- libjaxb-api-java (2.3.1-1)
- Java Architecture for XML Binding API
- libjaxb-java (2.3.0.1-8)
- JAXB Reference Implementation
- libjaxb-java-doc (2.3.0.1-8)
- JAXB Reference Implementation -- documentation
- libjaxe-java (3.5-11)
- JAva Xml Editor - library
- libjaxe-java-doc (3.5-11)
- JAva Xml Editor - Library documentation
- libjaxen-java (1.1.6-4)
- Java XPath engine
- libjaxen-java-doc (1.1.6-4)
- Java XPath engine - documentation
- libjaxp1.3-java (1.3.05-5)
- Java XML parser and transformer APIs (DOM, SAX, JAXP, TrAX)
- libjaxrpc-api-java (1.1.2-2)
- Java API for XML based RPC (JAX-RPC)
- libjaxrs-api-java (2.1.2-2)
- Java API for RESTful Services (JAX-RS)
- libjaxws-api-java (2.3.0-1)
- Java API for XML-Based Web Services
- libjaxws-java (2.3.0.2-1)
- JAX-WS Reference Implementation (Library)
- libjaylink-dev (0.1.0-1)
- library for interacting with J-Link programmers (development files)
- libjaylink0 (0.1.0-1)
- library for interacting with J-Link programmers
- libjazzy-java (0.5.2-1)
- spell checker java library
- libjbcrypt-java (0.4-2)
- Java implementation of OpenBSD's Blowfish hashing
- libjbig-dev (2.1-3.1+b2)
- JBIGkit development files
- libjbig0 (2.1-3.1+b2)
- JBIGkit libraries
- libjbig2dec-dev
- virtueel pakket geboden door libjbig2dec0-dev
- libjbig2dec0 (0.16-1+deb10u1)
- JBIG2 decoder library - shared libraries
- libjbig2dec0-dev (0.16-1+deb10u1)
- JBIG2 decoder library - development files
- libjbigi-jni (0.9.38-3.1)
- Invisible Internet Project (I2P) - libjbigi library
- libjboss-bridger-java (1.5-1)
- Java Bridge Method Maker
- libjboss-classfilewriter-java (1.2.4-1)
- bytecode writer that creates .class files at runtime
- libjboss-classfilewriter-java-doc (1.2.4-1)
- Documentation for JBoss Class File Writer
- libjboss-jdeparser2-java (2.0.2-2)
- Java source code generating library
- libjboss-jdeparser2-java-doc (2.0.2-2)
- Documentation for libjboss-jdeparser2-java
- libjboss-logging-java (3.3.2-1)
- JBoss Logging Framework
- libjboss-logging-java-doc (3.3.2-1)
- Documentation for the JBoss Logging Framework
- libjboss-logging-tools-java (2.1.0-2)
- create internationalized logger messages and exceptions
- libjboss-logging-tools-java-doc (2.1.0-2)
- Documentation for JBoss Logging Tools
- libjboss-logmanager-java (2.1.7-1)
- implementation of java.util.logging.LogManager
- libjboss-logmanager-java-doc (2.1.7-1)
- Documentation for JBoss Log Manager
- libjboss-modules-java (1.9.0-1)
- Modular Classloading System
- libjboss-modules-java-doc (1.9.0-1)
- Documentation for JBoss Modules
- libjboss-threads-java (2.3.3-1)
- JBoss Threads
- libjboss-xnio-java (3.7.0-1)
- simplified low-level I/O layer for NIO
- libjboss-xnio-java-doc (3.7.0-1)
- Documentation for jboss-xnio
- libjbzip2-java (0.9.1-5)
- bzip2 compression/decompression library
- libjcalendar-java (1.3.3-3)
- Java date chooser bean for graphically picking a date
- libjcalendar-java-doc (1.3.3-3)
- Java date chooser bean for picking a date (documentation)
- libjcdf-java (1.2.3+dfsg-1)
- Java library to read files in the NASA Common Data Format
- libjcdf-java-doc (1.2.3+dfsg-1)
- Library to read files in the NASA Common Data Format (Javadoc)
- libjchart2d-java (3.2.2+dfsg2-2)
- Java library for precise 2D charting visualizations
- libjchart2d-java-doc (3.2.2+dfsg2-2)
- Java library for precise 2D charting visualizations -- docs
- libjcharts-java (0.7.5-5)
- java based charts library
- libjcharts-java-doc (0.7.5-5)
- java based charts library (API docs)
- libjcifs-java (1.3.19-2)
- Java library for the CIFS/SMB networking protocol
- libjcifs-java-doc (1.3.19-2)
- Documentation for libjcifs-java (CIFS/SMB library for Java)
- libjcip-annotations-java (20060626-5)
- Java Concurrency In Practice annotations library
- libjcip-annotations-java-doc (20060626-5)
- Java Concurrency In Practice annotations library documentation
- libjcm-java (1.0-1)
- Java Components for Mathematics
- libjcm-java-doc (1.0-1)
- Documentation for Java Components for Mathematics
- libjcode-perl (2.13-4)
- Perl library for Japanese character code conversion
- libjcode-pm-perl (2.06-1)
- Perl extension interface to convert Japanese text
- libjcodings-java (1.0.42-1)
- Java-based codings helper classes for Joni and JRuby
- libjcommander-java (1.71-3)
- Java framework for parsing command line parameters
- libjcommander-java-doc (1.71-3)
- Java framework for parsing command line parameters (documentation)
- libjcommon-java (1.0.23-1)
- General Purpose library for Java
- libjcommon-java-doc (1.0.23-1)
- General Purpose library for Java - documentation
- libjconv-bin (2.8-7+b1)
- charset conversion library - binaries
- libjconv-dev (2.8-7+b1)
- charset conversion library - development
- libjconv2 (2.8-7+b1)
- charset conversion library
- libjcsp-java (1.1-rc4-2)
- Java library providing Communicating Sequential Processes (CSP) features
- libjcsp-java-doc (1.1-rc4-2)
- Documentation for libjcsp-java
- libjctools-java (2.0.2-1)
- Java Concurrency Tools for the JVM
- libjdeb-java (1.6-3)
- utility to construct Debian packages from Ant or Maven
- libjdepend-java (2.9.1-1)
- tool to measure design quality of java class and source
- libjdependency-java (1.4-1)
- Java library analyzing class level dependencies
- libjdns-dbg (2.0.3-1+b2)
- Simple DNS queries library - debugging symbols
- libjdns-dev (2.0.3-1+b2)
- Simple DNS queries library - development files
- libjdns2 (2.0.3-1+b2)
- Simple DNS queries library
- libjdo-api-java (3.1-3)
- Implementation of JSR 243: Java Data Objects 3.1
- libjdom1-java (1.1.3-2)
- lightweight and fast library using XML
- libjdom1-java-doc (1.1.3-2)
- lightweight and fast library using XML - documentation
- libjdom2-intellij-java (2.0.6+git20180529-2)
- lightweight and fast XML DOM library (IntelliJ version)
- libjdom2-intellij-java-doc (2.0.6+git20180529-2)
- documentation for IntelliJ version of JDOM2 library
- libjdom2-java (2.0.6-1)
- lightweight and fast library using XML
- libjdom2-java-doc (2.0.6-1)
- lightweight and fast library using XML - documentation
- libje-perl (0.066-1)
- Pure-Perl ECMAScript (JavaScript) Engine
- libjebl2-java (0.1+git20180418.653eb83-1)
- Java Evolutionary Biology Library
- libjebl2-java-doc (0.1+git20180418.653eb83-1)
- Java Evolutionary Biology Library (documentation)
- libjellyfish-2.0-2 (2.2.10-2)
- count k-mers in DNA sequences (dynamic library of jellyfish)
- libjellyfish-2.0-dev (2.2.10-2)
- count k-mers in DNA sequences (development files of jellyfish)
- libjellyfish-perl (2.2.10-2)
- count k-mers in DNA sequences (Perl bindings of jellyfish)
- libjemalloc-dev (5.1.0-3)
- development files and documentation for jemalloc
- libjemalloc2 (5.1.0-3)
- general-purpose scalable concurrent malloc(3) implementation
- libjemmy2-java (2.3.1.1-3)
- Java library for automated Java GUI testing
- libjemmy2-java-doc (2.3.1.1-3)
- Java library for automated Java GUI testing (documentation)
- libjempbox-java (1:1.8.16-2)
- XMP Compatible Java Library
- libjempbox-java-doc (1:1.8.16-2)
- XMP Compatible Java Library (documentation)
- libjenkins-htmlunit-core-js-java (2.6-hudson-1-1)
- Jenkins branch of the HtmlUnit Core JS Interpreter
- libjenkins-json-java (2.4-jenkins-3-5)
- Library for transforming Java objects between XML and JSON
- libjenkins-json-java-doc (2.4-jenkins-3-5)
- Documentation for libjenkins-json-java
- libjenkins-trilead-ssh2-java (217-jenkins-8-1)
- Trilead SSH2 implementation for Java (Jenkins variant)
- libjenkins-trilead-ssh2-java-doc (217-jenkins-8-1)
- Documentation for libjenkins-trilead-ssh2-java
- libjerasure-dev (2.0.0+2017.04.10.git.de1739cc84-1)
- forward error correction erasure channel library - development files
- libjerasure2 (2.0.0+2017.04.10.git.de1739cc84-1)
- forward error correction erasure channel library - shared library
- libjericho-html-java (3.2-2)
- Java based library for HTML Parsing
- libjeromq-java (0.3.6-1)
- Java implementation of the ZeroMQ messaging library
- libjersey1-atom-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (atom module)
- libjersey1-client-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (client module)
- libjersey1-core-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (core library)
- libjersey1-fastinfoset-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (fastinfoset module)
- libjersey1-guice-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (guice module)
- libjersey1-json-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (json module)
- libjersey1-server-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (server module)
- libjersey1-servlet-java (1.19.3-6+deb10u1) [security]
- RESTful Web Services in Java (servlet module)
- libjetbrains-annotations-java (17.0.0-1)
- annotations used for code inspection support and code documentation
- libjetbrains-annotations-java-doc (17.0.0-1)
- documentation for libjetbrains-annotations-java
- libjets3t-java (0.8.1+dfsg-4)
- Java interface to Amazon S3 and CloudFront services
- libjets3t-java-doc (0.8.1+dfsg-4)
- documentation for libjets3t-java
- libjettison-java (1.5.3-1~deb10u1) [security]
- collection of StAX parsers and writers for JSON
- (9.4.50-4+deb10u2) [security]
- Java servlet engine and webserver -- extra libraries
- libjetty9-java (9.4.50-4+deb10u2) [security]
- Java servlet engine and webserver -- core libraries
- libjeuclid-core-java (3.1.9-5)
- Complete MathML rendering solution (java classes)
- libjeuclid-fop-java (3.1.9-5)
- Complete MathML rendering solution (fop plugin)
- libjexcelapi-java (2.6.12-3)
- Java API to read, write and modify Excel spreadsheets
- libjexcelapi-java-doc (2.6.12-3)
- Java API to read, write and modify Excel spreadsheets - documentation
- libjffi-java (1.2.7-11)
- Java Foreign Function Interface
- libjffi-jni (1.2.7-11)
- Java Foreign Function Interface (JNI library)
- libjformatstring-java (0.10~20131207-2)
- Java format string compile-time checker
- libjfreechart-java (1.0.19-2)
- Chart library for Java
- libjfreechart-java-doc (1.0.19-2)
- Chart library for Java - documentation
- libjfreechart-swt-java (1.0.19-2)
- Chart library for Java (SWT support)
- libjfreereport-java
- virtueel pakket geboden door libpentaho-reporting-flow-engine-java
- libjfreereport-java-doc
- virtueel pakket geboden door libpentaho-reporting-flow-engine-java-doc
- libjfugue-java (4.0.3-4)
- Java API for music programming
- libjgit-ant-java (3.7.1-6)
- Java implementation of GIT version control (Ant interface)
- libjgit-java (3.7.1-6)
- Java implementation of GIT version control
- libjgit-java-doc (3.7.1-6)
- Java implementation of GIT version control (documentation)
- libjglobus-axisg-java (2.1.0-8)
- Globus Java - Apache AXIS support
- libjglobus-gram-java (2.1.0-8)
- Globus Java - Grid Resource Allocation and Management (GRAM)
- libjglobus-gridftp-java (2.1.0-8)
- Globus Java - GridFTP
- libjglobus-gss-java (2.1.0-8)
- Globus Java - GSS-API implementation for SSL with proxies
- libjglobus-io-java (2.1.0-8)
- Globus Java - IO
- libjglobus-jsse-java (2.1.0-8)
- Globus Java - SSL support
- libjglobus-myproxy-java (2.1.0-8)
- Globus Java - MyProxy
- libjglobus-parent-java (2.1.0-8)
- Globus Java - parent pom file
- libjglobus-ssl-proxies-java (2.1.0-8)
- Globus Java - SSL and proxy certificate support
- libjgoodies-animation-java (1.4.3-2)
- Time-based real-time animations in Java
- libjgoodies-binding-java (2.13.0-2)
- Swing Data Binding Framework
- libjgoodies-common-java (1.8.1-2)
- JGoodies Common
- libjgoodies-common-java-doc (1.8.1-2)
- JGoodies Common library (documentation)
- libjgoodies-forms-java (1.9.0-3)
- Framework to lay out and implement elegant Swing panels
- libjgoodies-forms-java-doc (1.9.0-3)
- Documentation for libjgoodies-forms-java
- libjgoodies-looks-java (2.7.0-3)
- library with Swing look&feel implementations
- libjgoodies-looks-java-doc (2.7.0-3)
- library with Swing look&feel implementations (documentation)
- libjgraph-java (5.12.4.2+dfsg-5)
- JFC/Swing graph component for Java
- libjgraph-java-doc (5.12.4.2+dfsg-5)
- JFC/Swing graph component for Java (documentation)
- libjgrapht-java
- virtueel pakket geboden door libjgrapht0.8-java
- libjgrapht0.6-java (0.6.0-11)
- mathematical graph theory library for Java
- libjgrapht0.6-java-doc (0.6.0-11)
- javadoc-generated API of libjgrapht-java
- libjgrapht0.6-java-link
- virtueel pakket geboden door libjgrapht0.6-java
- libjgrapht0.8-java (0.8.3-5)
- mathematical graph theory library for Java
- libjgrapht0.8-java-doc (0.8.3-5)
- javadoc-generated API for libjgrapht0.8-java
- libjgraphx-java (2.1.0.7-2)
- Java Swing Diagramming Library
- libjgraphx-java-doc (2.1.0.7-2)
- Java Swing Diagramming Library - API documentation and manual
- libjgromacs-java (1.0-1)
- library for molecular dynamics trajectory analysis
- libjgromacs-java-doc (1.0-1)
- library for molecular dynamics trajectory analysis (documentation)
- libjgroups-java (2.12.2.Final-5)
- Toolkit for Reliable Multicast Communication
- libjheatchart-java (0.6-1)
- Heat map charting library for Java
- libjheatchart-java-doc (0.6-1)
- Heat map charting library for Java (documentation)
- libjhighlight-java (1.0-3)
- Small embeddable Java library for syntax highlighting
- libjhlabs-filters-java (2.0.235-3)
- Java Image Processing Filters
- libjibx-java (1.2.6-2)
- Framework for binding XML data to Java objects (symlink)
- libjibx1.2-java (1.2.6-2)
- Framework for binding XML data to Java objects
- libjibx1.2-java-doc (1.2.6-2)
- Framework for binding XML data to Java objects (documentation)
- libjiconfont-font-awesome-java (4.7.0.0-1)
- jIconFont - Font Awesome
- libjiconfont-java (1.0.0-1)
- API to provide icons generated by any icon font
- libjiconfont-swing-java (1.0.1-1)
- jIconFont - Swing support
- libjide-oss-java (3.7.4+dfsg-1)
- extensible Swing component library for Java
- libjide-oss-java-doc (3.7.4+dfsg-1)
- extensible Swing component library for Java -- documentation
- libjifty-dbi-perl (0.78-2)
- object-relational persistence framework for Jifty
- libjim-dev (0.77+dfsg0-3)
- small-footprint implementation of Tcl - development files
- libjim0.77 (0.77+dfsg0-3)
- small-footprint implementation of Tcl - shared library
- libjimfs-java (1.1-5)
- In-memory file system implementing the java.nio.file APIs
- libjimfs-java-doc (1.1-5)
- Documentation for libjimfs-java
- libjing-java (20151127+dfsg-3)
- RELAX NG validator - library
- libjinput-java (20100502+dfsg-10)
- Java Game Controller API
- libjinput-java-doc (20100502+dfsg-10)
- Java Game Controller API (javadoc)
- libjinput-jni (20100502+dfsg-10)
- Java Game Controller API (jni)
- libjira-client-automated-perl (1.70-1)
- JIRA REST Client for automated scripts
- libjira-client-perl (0.45-1)
- Perl interface to JIRA's API
- libjira-rest-perl (0.019-1)
- thin wrapper around Jira's REST APIs
- libjitescript-java (0.4.1-3)
- Java API for generating JVM bytecode
- libjiu-java (0.14.3-4)
- library to load, analyze, process and save pixel images
- libjiu-java-doc (0.14.3-4)
- API documentation for jiu java library for pixel images
- libjlapack-java (0.8~dfsg-5)
- LAPACK numerical subroutines translated from their Fortran 77 source
- libjlatexmath-fop-java (1.0.7-3)
- Implementation of LaTeX math mode wrote in Java (fop plugin)
- libjlatexmath-java (1.0.7-3)
- Implementation of LaTeX math mode wrote in Java
- libjlayer-java (1.0.1-2)
- library to decode, convert and play MP3 files
- libjlayer-java-doc (1.0.1-2)
- library to decode, convert and play MP3 files - documentation
- libjlha-java (0.0.20050504-10)
- LHA compress/decompress library for Java
- libjlha-java-doc-ja (0.0.20050504-10)
- Japanese documentation for libjlha-java, the LHA library for Java
- libjlibeps-java (0.1.ds3-3)
- Java library to create EPS images
- libjlibeps-java-doc (0.1.ds3-3)
- API documentation for jlibeps Java library to create EPS images
- libjline-java (1.0-2)
- Java library for handling console input
- libjline-java-doc (1.0-2)
- Java library for handling console input - documentation
- libjline2-java (2.14.6-3)
- console input handling in Java
- libjloda-java (0.0+git20180523.cbaf6d1-1)
- Java library of data structures and algorithms for bioinformatics
- libjmac-java (1.74-6)
- Open Source Java Monkey's Audio Decoder/Encoder/JavaSound SPI
- libjmagick6-java (6.6.9~20130201-svn99-4)
- Java interface to ImageMagick
- libjmagick6-jni (6.6.9~20130201-svn99-4+b1)
- Java interface to ImageMagick (native library)
- libjmdns-java (3.5.5-1)
- Java implementation of multi-cast DNS (Apple Rendezvous)
- libjmdns-java-doc (3.5.5-1)
- Documentation for Java implementation of multi-cast DNS
- libjmock-java (1.2.0-5)
- Java library for testing code with mock objects
- libjmock-java-doc (1.2.0-5)
- Java library for testing code with mock objects - documentation
- libjmock2-java (2.8.4-1)
- Java library for testing code with mock objects
- libjmock2-java-doc (2.8.4-1)
- Documentation for jmock2
- libjmol-java (14.6.4+2016.11.05+dfsg1-4)
- Java library for molecular structures
- libjmol-java-doc (14.6.4+2016.11.05+dfsg1-4)
- API documentation for libjmol-java
- libjmxetric-java (1.0.6-1)
- JMXetric Ganglia metric transmission API
- libjna-java (4.5.2-1)
- Dynamic access of native libraries from Java without JNI
- libjna-java-doc (4.5.2-1)
- Dynamic access of native libraries from Java without JNI (documentation)
- libjna-jni (4.5.2-1)
- Dynamic access of native libraries from Java without JNI (native libs)
- libjna-platform-java (4.5.2-1)
- Dynamic access of native libraries from Java without JNI (platform extension)
- libjnlp-servlet-java (20120126-4)
- simple and convenient packaging format for JNLP applications
- libjnr-constants-java (0.9.9-2)
- platform constants for Java
- libjnr-constants-java-doc (0.9.9-2)
- platform constants for Java - API documentation
- libjnr-enxio-java (0.16-2)
- Java extended native cross-platform I/O library
- libjnr-enxio-java-doc (0.16-2)
- Java extended native cross-platform I/O library (documentation)
- libjnr-ffi-java (2.1.7-1)
- Java library for loading native libraries without writing JNI code
- libjnr-ffi-java-doc (2.1.7-1)
- Documentation for libjnr-ffi-java
- libjnr-netdb-java (1.1.6-1)
- Lookup TCP and UDP services from java
- libjnr-netdb-java-doc (1.1.6-1)
- Documentation for jnr-netdb
- libjnr-posix-java (3.0.45-2)
- basic POSIX-like functions for Java
- libjnr-posix-java-doc (3.0.45-2)
- Java JNR-POSIX library API documentation
- libjnr-unixsocket-java (0.18-3)
- Java access to native libraries for unix sockets
- libjnr-unixsocket-java-doc (0.18-3)
- Java access to native libraries for unix sockets (documentation)
- libjnr-x86asm-java (1.0.2-5)
- Pure java x86 and x86_64 assembler
- libjoda-convert-java (1.9.2-1)
- Joda Convert - Java API to convert Objects to and from Strings
- libjoda-convert-java-doc (1.9.2-1)
- Documentation for Joda Convert
- libjoda-time-java (2.10.1-1)
- Java date and time API
- libjoda-time-java-doc (2.10.1-1)
- Java date and time API (developer documentation)
- libjodconverter-java (2.2.2-10)
- Office formats converter - Library
- libjodconverter-java-doc (2.2.2-10)
- Office formats converter - Library documentation
- libjodd-java (3.8.6-1)
- Java utility library and set of frameworks
- libjogl2-java (2.3.2+dfsg-9)
- Java bindings for OpenGL API
- libjogl2-java-doc (2.3.2+dfsg-9)
- Documentation for the Java bindings for OpenGL
- libjogl2-jni (2.3.2+dfsg-9)
- Java bindings for OpenGL API (JNI lib)
- libjogl2-toolkits (2.3.2+dfsg-9)
- Toolkits to play with JOGL2
- libjoint-state-listener0d (1.13.6-7)
- Robot OS robot_state_publisher listener library
- libjopendocument-java (1.3repack-1)
- pure Java library for OASIS Open Document files manipulation
- libjoptsimple-java (5.0.4-3)
- Command line parsing java library
- libjoptsimple-java-doc (5.0.4-3)
- Command line parsing java library - Documentation
- libjorbis-java (0.0.17-2)
- Pure Java Ogg Vorbis Decoder
- libjortho-freeplane-java
- virtueel pakket geboden door freeplane
- libjose-dev (10-2)
- Javascript Object Signing and Encryption (José) - development files
- libjose0 (10-2)
- Javascript Object Signing and Encryption (José) - library
- libjosql-java (2.2+dfsg-1)
- library to apply SQL-like syntax to Java objects
- libjpa-2.1-spec-java (2.1.0.v201304241213-1)
- JPA Spec 2.1 OSGi Bundle
- libjpathwatch-java (0.95-4)
- Java library that monitors directories for changes
- libjpathwatch-java-doc (0.95-4)
- Java library that monitors directories for changes (documentation)
- libjpathwatch-java-jni (0.95-4)
- Java library that monitors directories for changes (JNI)
- libjpedal-jbig2-java (20100117-1.1)
- library for accession of large images
- libjpeg-dev (1:1.5.2-2+deb10u1)
- Development files for the JPEG library [dummy package]
- libjpeg-dev
- virtueel pakket geboden door libjpeg62-turbo-dev
- libjpeg-progs
- virtueel pakket geboden door libjpeg-turbo-progs
- libjpeg-turbo-progs (1:1.5.2-2+deb10u1)
- Programs for manipulating JPEG files
- libjpeg62 (= 1:1.5.2-2+deb10u1)
- virtueel pakket geboden door libjpeg62-turbo
- libjpeg62-turbo (1:1.5.2-2+deb10u1)
- libjpeg-turbo JPEG runtime library
- libjpeg62-turbo-dev (1:1.5.2-2+deb10u1)
- Development files for the libjpeg-turbo JPEG library
- libjpf-java (1.5.1+dfsg-4)
- Java Plugin Framework: plug-in infrastructure library for Java projects
- libjpfcodegen-java (0.4+dfsg1-1)
- tool for generating classes from JPF plug-ins
- libjpgalleg4-dev (2:4.4.2-13)
- development files for the JPG loading addon for Allegro 4
- libjpgalleg4.4 (2:4.4.2-13)
- JPG loading addon for Allegro 4
- libjq-dev (1.5+dfsg-2+b1)
- lightweight and flexible command-line JSON processor - development files
- libjq1 (1.5+dfsg-2+b1)
- lightweight and flexible command-line JSON processor - shared library
- libjreen-dbg (1.2.0-2)
- powerful Jabber/XMPP library (Qt4 build) - debugging symbols
- libjreen-dev (1.2.0-2)
- powerful Jabber/XMPP library (Qt4 build) - development files
- libjreen-qt5-1 (1.2.0-2)
- powerful Jabber/XMPP library implemented in Qt5/C++
- libjreen-qt5-dbg (1.2.0-2)
- powerful Jabber/XMPP library (Qt5 build) - debugging symbols
- libjreen-qt5-dev (1.2.0-2)
- powerful Jabber/XMPP library (Qt5 build) - development files
- libjreen1 (1.2.0-2)
- powerful Jabber/XMPP library implemented in Qt4/C++
- libjrosetta-java (1.0.4-4)
- JRosetta - Advanced graphical console engine
- libjruby-joni-java (2.1.26-1)
- Java port of Oniguruma regexp library
- libjs-angular-file-upload (12.0.4+dfsg1-2)
- lightweight angular directive to upload files
- libjs-angular-gettext (2.3.8-2)
- gettext utilities for angular.js
- libjs-angular-schema-form (0.8.13-1)
- form generator from a JSON schema
- libjs-angularjs (1.5.10-1)
- lets you write client-side web applications as if you had a smarter browser
- libjs-angularjs-smart-table (1.4.13-1)
- table module for angular js
- libjs-animate.css (3.5.2~dfsg1-3)
- cross-browser library of CSS animations
- libjs-another-json
- virtueel pakket geboden door node-matrix-js-sdk
- libjs-arbiter (1.0-3)
- Lightweight publish/subscribe library for client-side JavaScript
- libjs-asciimathml (2.0.2-2)
- Library to render high quality mathematical formulas in a browser
- libjs-async (0.8.0-3)
- functions and patterns for asynchronous code - web browsers
- libjs-autolink (1.0.2+dfsg2-3)
- JavaScript methods converting text to links
- libjs-autonumeric (1.9.12-1)
- jQuery plugin that automatically formats currency and numbers
- libjs-autoprefixer (8.6.5-2)
- add vendor prefixes to CSS rules - browser bundle
- libjs-autosize (4.0.2~dfsg1-3)
- script to automatically adjust textarea height to fit text - NodeJS
- libjs-backbone (1.3.3~dfsg-5)
- some Backbone for JavaScript applications - browser library
- libjs-backbone-deep-model (0.10.4-3)
- improved support for models with nested attributes
- libjs-backbone.stickit (0.7.0-2)
- Backbone data binding plugin that binds Model attributes to View elements
- libjs-bignumber (8.1.1+ds-1)
- Arbitrary-precision decimal and non-decimal arithmetic (client)
- libjs-bootbox (4.4.0~dfsg-1)
- flexible dialog boxes for Bootstrap
- libjs-bootstrap (3.4.1+dfsg-1)
- HTML, CSS and JS framework
- libjs-bootstrap-tour (0.12.0+dfsg-1)
- Build product tours (JavaScript library)
- libjs-bootstrap4 (4.3.1+dfsg2-1)
- HTML, CSS and JS framework
- libjs-bootswatch (3.3.7+dfsg2-1)
- themes for Twitter Bootstrap
- libjs-bowser (0.7.3-2)
- JavaScript browser detection library
- libjs-browser-request (0.3.3-2)
- browser library compatible with the node-request package
- libjs-build-tools-ocaml-dev (113.33.04-2+b2 [armhf], 113.33.04-2+b1 [amd64, arm64, i386])
- collection of tools to help building Jane Street Packages
- libjs-build-tools-ocaml-dev-3d121
- virtueel pakket geboden door libjs-build-tools-ocaml-dev
- libjs-build-tools-ocaml-dev-qkdo8
- virtueel pakket geboden door libjs-build-tools-ocaml-dev
- libjs-c3 (0.4.11+dfsg-2)
- D3-based reusable chart library
- libjs-chai (4.2.0+ds-3)
- assertion library for the browser
- libjs-chart.js (2.7.3+dfsg-5)
- Simple HTML5 charts using the canvas element (Browser)
- libjs-chartkick.js (2.1.0-2)
- create beautiful JavaScript charts with minimal code
- libjs-chosen (1.8.7+dfsg-1)
- select box enhancer for jQuery and Prototype
- libjs-class.js (1.0.0+dfsg-2)
- Class Based Inheritance for JavaScript
- libjs-class.js-doc (1.0.0+dfsg-2)
- Class Based Inheritance for JavaScript (Documentation)
- libjs-cocktail (0.5.7-3)
- implementation of Backbone mixins
- libjs-codemirror (5.43.0-1+deb10u1)
- JavaScript editor interface for code-like content
- libjs-coffeescript (1.12.8~dfsg-4)
- client-side interpreter for the CoffeeScript language
- libjs-cropper (1.2.2-1)
- JavaScript image cropper UI
- libjs-cryptojs (3.1.2+dfsg-2+deb10u1) [security]
- collection of cryptographic algorithms implemented in JavaScript
- libjs-cssom (0.3.0-1)
- CSS parser written in pure JavaScript
- libjs-cssrelpreload (2.1.0+dfsg-1)
- JavaScript to load CSS asynchronously
- libjs-d3 (3.5.17-2)
- JavaScript visualization library for HTML and SVG
- libjs-d3-format (1:1.0.2-3.1)
- Formatting numbers for human consumption - browser library
- libjs-d3-tip (0.7.1-1)
- Tooltips for d3.js visualizations
- libjs-dateformat (3.0.0-1)
- JavaScript Date Format - browser module
- libjs-debug (3.1.0-2)
- small debugging utility
- libjs-debugger (0.5-4)
- a console logger for debugging JavaScript
- libjs-diff-match-patch (20121121-2)
- diff/match/patch algorithms implemented in JavaScript
- libjs-dojo-core (1.14.2+dfsg1-1+deb10u3) [security]
- modular JavaScript toolkit
- libjs-dojo-dijit (1.14.2+dfsg1-1+deb10u3) [security]
- modular JavaScript toolkit - Dijit
- libjs-dojo-dojox (1.14.2+dfsg1-1+deb10u3) [security]
- modular JavaScript toolkit - DojoX
- libjs-dropzone (5.5.0+dfsg-2)
- JavasScript library providing Dropzone
- libjs-edit-area (0.8.2-1)
- a free javascript editor for source code
- libjs-elycharts (2.1.5+ds-3)
- Javascript library to generate static and interactive charts
- libjs-emojify (1.1.0+dfsg-2)
- JavaScript library converting Emoji keywords to images
- libjs-emojione (2.2.6-2)
- Open source emoji set (javascript part)
- libjs-eonasdan-bootstrap-datetimepicker (4.17.47-3)
- Date/time picker widget based on twitter bootstrap
- libjs-es-module-loader-0.17 (0.17.11+dfsg-1)
- Polyfill and low-level API for the WhatWG loader spec
- libjs-es5-shim (4.5.12-1)
- ECMAScript 5 compatibility shims for old JavaScript engines (library)
- libjs-es6-promise (4.2.5-2)
- Lightweight async code library for JavaScript
- libjs-es6-shim (0.35.4+ds-2)
- ECMAScript 6 shims for legacy JavaScript engines (library)
- libjs-eventemitter2 (0.4.13-2)
- Event emitter compatible with browsers
- libjs-events (1.0.2-2)
- Node EventEmitter
- libjs-excanvas (0.r3-4)
- HTML5 Canvas for Internet Explorer
- libjs-expect.js (0.3.1+dfsg-1)
- behavior driven test assertions - JavaScript library
- libjs-extjs (3.4.0+dfsg1-1)
- cross-browser JavaScript library
- libjs-extjs-doc (3.4.0+dfsg1-1)
- cross-browser JavaScript library (docs)
- libjs-favico.js (0.3.10~dfsg1-3)
- make use of your favicon with badges, images or videos
- libjs-fetch (3.0.0-1)
- window.fetch JavaScript polyfill
- libjs-flot (0.8.3+dfsg-1)
- transitional dummy package
- libjs-flotr (0.2.1~r301-1)
- plotting library for the Prototype Framework
- libjs-fuzzaldrin-plus (0.5.0+dfsg-1)
- fuzzy search / highlight for programmer's text editor (browser)
- libjs-getobject (0.1.0-2+deb10u1)
- set and get deep objects easily - library for browsers
- libjs-gettext.js (0.5.4-1)
- Lightweight yet complete GNU gettext port
- libjs-gordon (0~git20101011-3)
- Open source flash runtime written in pure javascript
- libjs-graphael (0.5+dfsg-1)
- charts for raphael
- libjs-handlebars (3:4.1.0-1+deb10u3)
- let you build semantic templates effectively with no frustration
- libjs-handlebars.runtime (3:4.1.0-1+deb10u3)
- runtime for handlebars semantic templates library
- libjs-headjs (1.0.3+dfsg.1-1)
- javascript libraryt for resource loading
- libjs-highlight (9.12.0+dfsg1-4+deb10u1)
- Transitional package for libjs-hightlight.js
- libjs-highlight
- virtueel pakket geboden door libjs-highlight.js
- libjs-highlight.js (9.12.0+dfsg1-4+deb10u1)
- JavaScript library for syntax highlighting
- libjs-hooker (0.2.3-1)
- Useful javascript debugging library usable in a browser
- libjs-html5shiv (= 3.7.3+dfsg-2)
- virtueel pakket geboden door node-html5shiv
- libjs-htmlparser (1.7.5+ds1-1)
- forgiving HTML/XML/RSS Parser in Javascript
- libjs-husl (6.0.1+dfsg-2)
- Human-friendly HSL - Javascript
- libjs-i18next (1.7.1-1)
- easy way to translate a website on clientside
- libjs-img.srcset (2.0.0~20131003~dfsg-2)
- fast JavaScript polyfill for img srcset
- libjs-impress (0.5.3-1)
- JavaScript library to make animated presentations
- libjs-inherits (2.0.3-1)
- Exposes inherits function from Node.js environment
- libjs-ipaddr (0.1.3-1)
- IPv4 and IPv6 addresses manipulation - JavaScript library
- libjs-is-typedarray (1.0.0-2)
- JavaScript library checking if object is TypedArray
- libjs-iscroll (5.2.0+dfsg1-1)
- High performance, small footprint JavaScript scroller
- libjs-jac (1.3.4+dfsg-2)
- JavaScript Jabber Client Library
- libjs-jed (1.1.1-1)
- Gettext Style i18n for Modern JavaScript Apps - JavaScript library
- libjs-jquery (3.3.1~dfsg-3+deb10u1)
- JavaScript library for dynamic web applications
- libjs-jquery-areyousure (1.9.0-2)
- jQuery plugin to alert users of unsaved changes
- libjs-jquery-atwho (1.5.4+dfsg.1-2)
- library to autocomplete references in text fields
- libjs-jquery-backstretch (2.1.17-1)
- jQuery background image plugin
- libjs-jquery-blockui (2.70-1)
- simulate synchronous behaviour using AJAX
- libjs-jquery-caret.js (0.3.1+dfsg.1-2)
- library to query input caret position
- libjs-jquery-center (1.1.0+dfsg1-2)
- jQuery plugin that centralizes DOM element
- libjs-jquery-colorbox (1.6.4-1)
- jQuery customizable lightbox
- libjs-jquery-colorpicker (1.2.17-1)
- full-featured colorpicker for jQuery UI
- libjs-jquery-cookie (12-1.1)
- jQuery cookie plugin
- libjs-jquery-coolfieldset (1.0.1-2)
- jQuery Plugin for creating collapsible fieldset
- libjs-jquery-countdown (12-1.1)
- jQuery Countdown
- libjs-jquery-datatables (1.10.19+dfsg-1+deb10u1) [security]
- jQuery plug-in that makes nice tables from different data sources
- libjs-jquery-datatables-extensions (0.0+20150910+dfsg-2)
- extensions for the jQuery plug-in for tables from different data sources
- libjs-jquery-easing (12-1.1)
- jQuery Easing Plugin
- libjs-jquery-event-drag (12-1.1)
- jQuery Event Drag
- libjs-jquery-event-drop (12-1.1)
- jQuery Event Drop
- libjs-jquery-fancybox (12-1.1)
- fancy lightbox alternative
- libjs-jquery-file-upload (9.25.0-1)
- file upload widget for jQuery
- (1.0.3-1)
- fixing header row of HTML table
- libjs-jquery-flot (0.8.3+dfsg-1)
- plotting library for jQuery
- libjs-jquery-flot-axislabels (2.0.1+git20141122-2)
- provides flot with the ability to label axes
- libjs-jquery-flot-docs (0.8.3+dfsg-1)
- Documentation for Flot
- libjs-jquery-form (12-1.1)
- jQuery Form Plugin
- libjs-jquery-fullscreen (12-1.1)
- jQuery FullScreen Plugin
- libjs-jquery-fullscreen-plugin (0.5.0+dfsg-1)
- plugin for showing any DOM element on a page in fullscreen mode
- libjs-jquery-galleriffic (12-1.1)
- jQuery Galleriffic plugin
- libjs-jquery-geo (1.0~b1+ds1-2)
- JavaScript mapping API
- libjs-jquery-geo-doc (1.0~b1+ds1-2)
- JavaScript mapping API - documentation
- libjs-jquery-gitgraph (0.0~git20170511.0.5fcc26b+dfsg-3)
- convert git log --graph to image with HTML5 canvas
- libjs-jquery-history (12-1.1)
- jQuery history plugin
- libjs-jquery-hotkeys (0~20130707+git2d51e3a9+dfsg-2)
- easily add and remove handlers for keyboard events anywhere in your code
- libjs-jquery-i18n (1.1.2+dfsg1-2)
- jquery plugin for doing client-side translations
- libjs-jquery-i18n-properties (1.1.0-1)
- lightweight jQuery internationalization plugin
- libjs-jquery-idletimer (1.0.1-3)
- jquery plugin to monitor user activity with a page
- libjs-jquery-isonscreen (1.2.0-1)
- detects if an element is visible within the users current view pane
- libjs-jquery-jfeed (12-1.1)
- jQuery RSS/Atom feed parser plugin
- libjs-jquery-jgrowl (1.2.13+dfsg-1)
- notification system for jquery
- libjs-jquery-jstree (3.3.7+dfsg1-1)
- jquery plugin providing interactive trees
- libjs-jquery-jush (12-1.1)
- jQuery Syntax Highlighter
- libjs-jquery-lazyload (1.7.2-1)
- Lazy Load Plugin for jQuery
- libjs-jquery-livequery (12-1.1)
- jQuery Live Query
- libjs-jquery-markitup (1.1.15-1)
- jQuery based universal markup editor
- libjs-jquery-meiomask (12-1.1)
- jQuery mask plugin
- libjs-jquery-metadata (12-1.1)
- jQuery plugin for parsing metadata from elements
- libjs-jquery-migrate-1 (1.4.1-1)
- Migrate older jQuery code to jQuery 1.9+
- libjs-jquery-minicolors (2.2.6+dfsg-3)
- tiny color picker built on jQuery
- libjs-jquery-mobile (1.4.5+dfsg-1)
- Touch-Optimized Web Framework for Smartphones & Tablets
- libjs-jquery-mousewheel (1:3.1.13-2)
- jQuery plugin that adds cross-browser mouse wheel support
- libjs-jquery-nicescroll (3.6.6-1)
- jquery plugin, for nice scrollbars
- libjs-jquery-opacityrollover (12-1.1)
- jQuery Opacity Rollover plugin
- libjs-jquery-placeholder (2.3.1-2)
- jquery plugin providing html5 placeholders
- libjs-jquery-reflection (1.1-1)
- jQuery plugin to add reflection effects to images in webpages
- libjs-jquery-resize (12-1.1)
- jQuery resize
- libjs-jquery-resize-doc (12-1.1)
- jQuery resize (doc)
- libjs-jquery-scrollto (2.1.2+dfsg-5)
- scroll the page to a defined place with a nice effect
- libjs-jquery-selectize.js (0.12.6+dfsg-1)
- Extensible jQuery-based custom select UI control
- (1.5.0-2)
- visually-simple menu inspired by the Mac OS X Finder tree
- libjs-jquery-slides (12-1.1)
- Simple slideshow plugin for jQuery
- libjs-jquery-slimscroll (1.3.6+dfsg-1)
- jquery plugin to transform any div into a scrollable area
- libjs-jquery-stupidtable (1.1.3+dfsg-1)
- jQuery table sorting plugin
- libjs-jquery-tablesorter (1:2.31.1+dfsg1-1)
- jQuery flexible client-side table sorting plugin
- libjs-jquery-textchange (0.2.3-1)
- detects text changes for input and textarea elements
- libjs-jquery-textcomplete (1.7.3+dfsg-1)
- implement auto-complete support for textareas (browserified)
- libjs-jquery-throttle-debounce (1.1+dfsg.1-1)
- library of rate-limit wrappers for functions
- libjs-jquery-timeago (1.5.4-1)
- updates fuzzy timestamps
- libjs-jquery-timepicker (1.2-1)
- timepicker addon for jQuery UI datepicker
- libjs-jquery-tipsy (12-1.1)
- jQuery Facebook-style tooltip plugin
- libjs-jquery-tmpl (1.0.0~beta+dfsg-2)
- original official jQuery Templates plugin
- libjs-jquery-treetable (12-1.1)
- jQuery treeTable Plugin
- libjs-jquery-typeahead (2.10.6+dfsg1-1)
- Type-ahead autocompletion plugin for JQuery
- libjs-jquery-ui (1.12.1+dfsg-5+deb10u1) [security]
- JavaScript UI library for dynamic web applications
- libjs-jquery-ui-docs (1.12.1+dfsg-5+deb10u1) [security]
- Documentation for JQuery-UI
- libjs-jquery-ui-theme-base (1.12.1+dfsg-1)
- Base theme for jQuery UI
- libjs-jquery-ui-theme-black-tie (1.12.1+dfsg-1)
- Black Tie theme for jQuery UI
- libjs-jquery-ui-theme-blitzer (1.12.1+dfsg-1)
- Blitzer theme for jQuery UI
- libjs-jquery-ui-theme-cupertino (1.12.1+dfsg-1)
- Cupertino theme for jQuery UI
- libjs-jquery-ui-theme-dark-hive (1.12.1+dfsg-1)
- Dark Hive theme for jQuery UI
- libjs-jquery-ui-theme-dot-luv (1.12.1+dfsg-1)
- Dot Luv theme for jQuery UI
- libjs-jquery-ui-theme-eggplant (1.12.1+dfsg-1)
- Eggplant theme for jQuery UI
- libjs-jquery-ui-theme-excite-bike (1.12.1+dfsg-1)
- Excite Bike theme for jQuery UI
- libjs-jquery-ui-theme-flick (1.12.1+dfsg-1)
- Flick theme for jQuery UI
- libjs-jquery-ui-theme-hot-sneaks (1.12.1+dfsg-1)
- Hot Sneaks theme for jQuery UI
- libjs-jquery-ui-theme-humanity (1.12.1+dfsg-1)
- Humanity theme for jQuery UI
- libjs-jquery-ui-theme-le-frog (1.12.1+dfsg-1)
- Le Frog theme for jQuery UI
- libjs-jquery-ui-theme-mint-choc (1.12.1+dfsg-1)
- Mint Choc theme for jQuery UI
- libjs-jquery-ui-theme-overcast (1.12.1+dfsg-1)
- Overcast theme for jQuery UI
- libjs-jquery-ui-theme-pepper-grinder (1.12.1+dfsg-1)
- Pepper Grinder theme for jQuery UI
- libjs-jquery-ui-theme-redmond (1.12.1+dfsg-1)
- Redmond theme for jQuery UI
- libjs-jquery-ui-theme-smoothness (1.12.1+dfsg-1)
- Smoothness theme for jQuery UI
- libjs-jquery-ui-theme-south-street (1.12.1+dfsg-1)
- South Street theme for jQuery UI
- libjs-jquery-ui-theme-start (1.12.1+dfsg-1)
- Start theme for jQuery UI
- libjs-jquery-ui-theme-sunny (1.12.1+dfsg-1)
- Sunny theme for jQuery UI
- libjs-jquery-ui-theme-swanky-purse (1.12.1+dfsg-1)
- Swanky Purse theme for jQuery UI
- libjs-jquery-ui-theme-trontastic (1.12.1+dfsg-1)
- Trontastic theme for jQuery UI
- libjs-jquery-ui-theme-ui-darkness (1.12.1+dfsg-1)
- UI Darkness theme for jQuery UI
- libjs-jquery-ui-theme-ui-lightness (1.12.1+dfsg-1)
- UI Lightness theme for jQuery UI
- libjs-jquery-ui-theme-vader (1.12.1+dfsg-1)
- Vader theme for jQuery UI
- libjs-jquery-ui-touch-punch (0.0~git20141218.2.4bc0091+dfsg1-2)
- duck punch for adding touch events to jQuery UI
- libjs-jquery-watermark (3.1.4-1)
- watermark plugin for jQuery
- libjs-jquery.quicksearch (2.0.4-1)
- plug-in for filtering large data sets with user input
- libjs-jsencrypt (2.3.0+dfsg2-1)
- RSA Encryption in JavaScript
- libjs-jshash (2.2-4)
- calculate secure hash algorithms in JavaScript
- libjs-json (0~20180723-2)
- JSON encoders/decoders implemented in JavaScript
- libjs-json-editor (1.3.2+ds-1)
- JSON Schema based editor
- libjs-jsonld (1.5.3-1)
- JSON-LD processor - browser library
- libjs-jsrender (1.0~pre21-1)
- JavaScript template rendering system
- libjs-jssip (0.6.34-5)
- JavaScript implementation of a WebRTC SIP video phone
- libjs-jssip-bundle (0.6.34-5)
- JavaScript implementation of a WebRTC SIP video phone
- libjs-jstimezonedetect (1.0.6-2)
- Finds the zone info key representing the timezone setting
- libjs-jstorage (0.3.1-1)
- store data locally with JavaScript
- libjs-jsxc (3.0.0+dfsg3-2)
- JavaScript XMPP Client
- libjs-knowl (0~20160130-1)
- JavaScript library for transclusion of supplementary information
- libjs-languages4translatewiki (0.1.3-1)
- Javascript globalization and localization for browser use
- libjs-leaflet (1.4.0~dfsg-5)
- mobile-friendly interactive maps - browser library
- libjs-leaflet-geometryutil (0.4.0-1)
- Leaflet utility functions on geometries
- libjs-leaflet-image (0.4.0~dfsg-1)
- image export for Leaflet - browser library
- libjs-leaflet-markercluster
- virtueel pakket geboden door libjs-leaflet.markercluster
- libjs-leaflet.markercluster (1.4.1~dfsg-3)
- marker clustering functionality for Leaflet - browser library
- libjs-less (1.6.3~dfsg-3)
- LESS CSS meta-language - Javascript library
- libjs-less-elements (0.0~git20130115.0.191754c-2)
- set of basic mixins for the LESS CSS pre-processor
- libjs-loadcss
- virtueel pakket geboden door libjs-cssrelpreload
- libjs-lodash (4.17.11+dfsg-2+deb10u1)
- Lo-dash is a Javascript utility library
- libjs-lrdragndrop (1.0.2-2)
- drag and drop module for Angularjs
- libjs-lunr (2.3.5~dfsg-7)
- simple full-text search - web browsers
- libjs-magic-search (0.2.5-1)
- AngularJS widget that provides a UI for faceted and text search
- libjs-markdown-it (8.4.2-2)
- javascript markdown parser
- libjs-markdown-it-html5-embed (1.0.0+ds-2)
- Markdown-it plugin for embedding audio/video in the HTML5 way
- libjs-markdown-it-sub (1.0.0-2)
- javascript markdown parser subscript plugin
- libjs-markdown-it-sup (1.0.0-2)
- javascript markdown parser superscript plugin
- libjs-marked (0.5.1+dfsg-1)
- Full-featured markdown parser and compiler
- libjs-mathjax (2.7.4+dfsg-1)
- JavaScript display engine for LaTeX and MathML
- libjs-mathjax-doc (2.7+20171212-1)
- JavaScript display engine for LaTeX and MathML (documentation)
- libjs-matrix-js-sdk (0.9.2-1)
- JavaScript Client-Server SDK for the Matrix protocol
- libjs-mediaelement (2.15.1+dfsg-1)
- HTML5 <audio> or <video> player with Flash and Silverlight shims
- libjs-merge (1.2.0-2)
- JavaScript utility for merging multiple objects into one.
- libjs-mgrs (0.0.3-3)
- Javascript for converting between WGS84 lat/lng and MGRS coordinates
- libjs-microplugin.js (0.0.3+dfsg-1)
- Lightweight plugin / dependency system for libraries
- libjs-mochikit (1.4.2-4)
- JavaScript library inspired by Python
- libjs-modernizr (2.6.2+ds1-3)
- JavaScript library to detect HTML5 and CSS3 features in the user's browser
- libjs-modestmaps (3.3.6+ds2-1)
- display and interaction library for tile-based maps - Javascript
- libjs-moment (2.24.0+ds-1+deb10u1) [security]
- Work with dates in JavaScript (library)
- libjs-moment-timezone (0.5.23+dfsg1-1)
- Parse and display dates in any timezone
- libjs-mootools (1.4.5~debian1-2.1)
- compact JavaScript framework
- libjs-mousetrap (1.6.0+dfsg1-2)
- library for handling keyboard shortcuts in Javascript
- libjs-mustache (2.3.2-1)
- Mustache rendering engine for Javascript
- libjs-n3 (1.0.4-1)
- fast asynchronous streaming RDF for JavaScript - browser library
- libjs-node-forge (0.8.1~dfsg-1+deb10u1)
- JavaScript implementation of TLS and more - browser library
- libjs-normalize.css (= 8.0.1-3)
- virtueel pakket geboden door node-normalize.css
- libjs-objectpath (1.2.1-2)
- parses js object paths using both dot and bracket notation
- libjs-openlayers (2.13.1+ds2-6)
- JavaScript library for displaying map data in web browsers
- libjs-perfect-scrollbar (1.4.0-2)
- Minimalistic but perfect custom scrollbar plugin (development)
- libjs-pie (1.0.0+dfsg-2)
- CSS3 box decoration properties for Internet Explorer
- libjs-pie-doc (1.0.0+dfsg-2)
- CSS3 box decoration properties for Internet Explorer (Documentation)
- libjs-polymaps (2.5.1+ds1-1)
- JavaScript library for image- and vector-tiled maps
- libjs-popper.js (1.14.6+ds2-1)
- Javascript library to position poppers in web applications
- libjs-prefix-free (1.0.10+repack-2)
- manage CSS3 browser prefixes client-side
- libjs-prettify (2015.12.04+dfsg-1.1)
- syntax highlighting of source code snippets in an html page
- libjs-proj4 (2.3.17+ds-1)
- JavaScript library to transform point coordinates systems
- libjs-protoaculous (5)
- Compressed library containing both prototype and scriptaculous
- libjs-prototype (1.7.1-3)
- JavaScript Framework for dynamic web applications
- libjs-punycode (1.3.2-2)
- punycode converter library in javascript
- libjs-q (1.5.1-1)
- JavaScript library for promises (CommonJS/Promises/A,B,D)
- libjs-queue-async (1.0.7-1)
- asynchronous helper library for JavaScript
- libjs-qunit (1.22.0-1)
- JavaScript Unit Testing framework
- libjs-rainbow (1.1.8+ds1-1)
- code syntax highlighting library written in Javascript
- libjs-raphael (2.1.0-1)
- JavaScript library to work with vector graphics
- libjs-rdf-canonize (1.0.2-1)
- RDF Dataset Normalization Algorithm - browser library
- libjs-regenerate (1.4.0-1)
- Unicode-aware regular expression generator (JavaScript library)
- libjs-require-css (0.1.0-2)
- requiring and optimization with almond support
- libjs-requirejs (2.3.6-1)
- JavaScript file and module loader
- libjs-requirejs-text (2.0.12-1)
- loader plugin for loading text resources
- libjs-reqwest (0.6.0-1)
- javascript wrapper for asynchronous HTTP requests
- libjs-rickshaw (1.5.1.dfsg-2)
- JavaScript toolkit for interactive time series graph
- libjs-rtcninja (0.6.2-2)
- JavaScript abstraction layer for WebRTC API
- libjs-rtcpeerconnection-shim (1.2.15-1)
- RTCPeerConnection API implemented ontop of ORTC
- libjs-rx (4.1.0+dfsg1-1)
- Reactive Extensions for JavaScript
- libjs-s5 (1.1.dfsg.2-8)
- simple HTML-based presentation system - template content
- libjs-sax (1.2.4-2)
- event-based streaming XML parser - JavaScript library
- libjs-sciencejs (1.9.3-4)
- JavaScript library for scientific and statistical computing
- libjs-scriptaculous (1.9.0-2)
- JavaScript library for dynamic web applications
- libjs-sdp (2.9.0-6)
- SDP parsing and serialization utilities
- libjs-sdp-transform (1.4.0-2)
- JavaScript parser/writer for Session Description Protocol
- libjs-should (8.4.0~dfsg-3)
- behavior driven test assertions library - browser module
- libjs-sifter.js (0.5.3+dfsg-1)
- Library for textually searching arrays and hashes of objects
- libjs-simile-timeline (2.3.0+dfsg1-4)
- JavaScript library for web-based interactive timelines
- libjs-simple-fmt (0.1.0+20130419-4)
- maximally minimal string formatting library (JavaScript lib)
- libjs-simple-is (0.2.0+20130421-3)
- maximally minimal type-testing library (JavaScript lib)
- libjs-simplemde (1.11.2+dfsg2-2)
- JavaScript library providing a simple Markdown editor
- libjs-sink-test (1.0.2-2)
- Asynchronous JavaScript Unit Testing Framework
- libjs-sizzle (1.10.18-1)
- Pure-JavaScript CSS selector engine
- libjs-skeleton (2.0.4-1)
- Minimalistic CSS boilerplate framework
- libjs-slick (1.8.0-1)
- responsive carousel jQuery plugin
- libjs-slimbox (2.04-1)
- Slimbox is a visual clone of the popular Lightbox
- libjs-sockjs (0.3.4+dfsg-2)
- WebSocket emulation - Javascript client
- libjs-soundmanager2 (2.97a.20150601+dfsg2-2)
- cross-platform audio player API
- libjs-source-map (0.7.0++dfsg2+really.0.6.1-1)
- Mozilla source map generator and consumer - JavaScript library
- libjs-spectre (0.5.3-1)
- lightweight, responsive and modern CSS framework
- libjs-spectre-docs (0.5.3-1)
- lightweight, responsive and modern CSS framework - documentation
- libjs-sphinxdoc (1.8.4-1)
- JavaScript support for Sphinx documentation
- libjs-spin.js (1.2.8+dfsg2-1)
- animated CSS3 loading spinner
- libjs-sprintf-js (1.1.2+ds1-1)
- JavaScript sprintf implementation
- libjs-stringmap (0.2.2+20130926-3)
- fast and robust stringmap (JavaScript lib)
- libjs-stringset (0.2.1+20130926-3)
- fast and robust stringset (JavaScript lib)
- libjs-strophe (1.2.14+dfsg-4)
- Library for writing XMPP clients
- libjs-term.js (0.0.7-1)
- full xterm clone written in javascript
- libjs-text-encoding (0.7.0-1)
- Polyfill for the Encoding Living Standard's API (JavaScript lib)
- libjs-three (80+dfsg2-2)
- lightweight 3D graphics library
- libjs-tinycon (0.6.5+ds-1)
- Manipulate the favicon
- libjs-traverse (0.6.6-1.1)
- recursively traverse objects in Javascript
- libjs-turbolinks (= 5.1.1+dfsg-3)
- virtueel pakket geboden door node-turbolinks
- libjs-tv4 (1.2.7+dfsg1-2)
- tiny validator for JSON schema v4
- (1.3.1+dfsg1-4)
- add datepicker picker to field or to any other element
- (1.0.0+dfsg1-2)
- wizard using a formatted tabbable structure
- libjs-typeahead.js (0.11.1~dfsg1-3)
- fast and fully-featured autocomplete library
- libjs-typedarray-to-buffer (3.0.3-3)
- JavaScript utility converting TypedArray to buffer without copy
- libjs-uglify (2.8.29-6)
- UglifyJS in library form
- libjs-uglify-js (3.4.9-5)
- JavaScript parser, mangler/compressor and beautifier - browser library
- libjs-underscore (1.9.1~dfsg-1+deb10u1)
- JavaScript's functional programming helper library
- libjs-underscore.string (3.3.4+dfsg-2)
- String manipulation extensions - browser library
- libjs-unorm (1.4.1-1)
- Common JS Unicode Normalizer (client/browser)
- libjs-util (0.10.3-2)
- NodeJS/JavaScript util module
- libjs-vue (2.5.17+dfsg-1)
- Core library of the Vue JavaScript framework, browser build
- libjs-wax (5.0.1+ds2-1)
- web maps visualization library
- libjs-webcomponentsjs-custom-element-v0 (1.0.1-1)
- CustomElements polyfill from the webcomponentsjs project
- libjs-webrtc-adapter (7.2.1~ds-1)
- shim to insulate apps from WebRTC quirks - browser library
- libjs-websocket (1.0.28-3)
- WebSocket implementation for NodeJS, standalone API for browser
- (20060529-1)
- creates a common interface to use of the XML objects provided by IE and Mozilla
- libjs-yamm3 (1.1.0+dfsg1-2)
- Yet another megamenu for Bootstrap 3 from Twitter
- libjs-zeparser (0.0.7+dfsg-2)
- Javascript library for parsing Javascript code
- libjsamp-java (1.3.5-1)
- Java Simple Application Messaging Protocol library
- libjsamp-java-doc (1.3.5-1)
- Java Simple Application Messaging Protocol library docs
- libjsap-java (2.1-3)
- Java Simple Argument Parser
- libjsap-java-doc (2.1-3)
- Java Simple Argument Parser (documentation)
- libjsch-agent-proxy-java (0.0.8-2)
- Proxy to ssh-agent and Pageant in Java
- libjsch-java (0.1.55-1)
- Java implementation of the SSH2 protocol
- libjsch-java-doc (0.1.55-1)
- Java implementation of the SSH2 protocol - documentation
- libjsf-api-java (2.2.8-6)
- JavaServer Faces 2.2 Java EE web framework - API
- libjsf-java-doc (2.2.8-6)
- Documentation for libjsf-api-java
- libjsilver-aosp-java (6.0.1+r55-1)
- Pure-Java implementation of Clearsilver
- libjsmpp-java (2.1.2-4)
- SMPP API for sending SMS from Java
- libjson-any-perl (1.39-1)
- wrapper class for the various JSON classes
- libjson-c-dev (0.12.1+ds-2+deb10u1)
- JSON manipulation library - development files
- libjson-c-doc (0.12.1+ds-2+deb10u1)
- JSON manipulation library - documentation files
- libjson-c3 (0.12.1+ds-2+deb10u1)
- JSON manipulation library - shared library
- libjson-c3-udeb (0.12.1+ds-2+deb10u1)
- JSON manipulation library - shared library (udeb)
- libjson-glib-1.0-0 (1.4.4-2)
- GLib JSON manipulation library
- libjson-glib-1.0-common (1.4.4-2)
- GLib JSON manipulation library (common files)
- libjson-glib-dev (1.4.4-2)
- GLib JSON manipulation library (development files)
- libjson-glib-doc (1.4.4-2)
- GLib JSON manipulation library (documentation)
- libjson-java (2.4-3)
- library for transforming Java objects and XML to JSON and back again
- libjson-maybexs-perl (1.004000-1)
- interface to the best available JSON module
- libjson-multivalueordered-perl (0.005-1)
- module to handle JSON like {"a":1, "a":2}
- libjson-perl (4.02000-1)
- module for manipulating JSON-formatted data
- libjson-pointer-perl (0.07-1)
- Perl implementation of JSON Pointer (RFC6901)
- libjson-pp-perl (4.02000-1)
- module for manipulating JSON-formatted data (Pure Perl)
- libjson-pp-perl (= 2.97001)
- virtueel pakket geboden door perl
- libjson-rpc-perl (1.06-2)
- Perl implementation of JSON-RPC 1.1 protocol
- libjson-simple-doc (2.3.0-1)
- documentation for libjson-simple-java
- libjson-simple-java (2.3.0-1)
- Simple, lightweight and efficient JSON toolkit for Java
- libjson-smart-java (2.2-2+deb10u1) [security]
- JSON Small and Fast Parser
- libjson-types-perl (0.05-1)
- variable type utility for JSON encoding
- libjson-validator-perl (3.06+dfsg-1)
- module to validate data against a JSON schema
- libjson-webtoken-perl (0.10-2)
- JSON Web Token (JWT) implementation
- libjson-xs-perl (3.040-1+b1)
- module for manipulating JSON-formatted data (C/XS-accelerated)
- libjson4s-java (3.5.1+really3.2.10-1)
- Single AST to be used by other scala json libraries
- libjsonb-api-java (1.0-1)
- Java API for JSON Binding (JSON-B)
- libjsoncpp-dev (1.7.4-3)
- library for reading and writing JSON for C++ (devel files)
- libjsoncpp-doc (1.7.4-3)
- API documentation for libjsoncpp-dev
- libjsoncpp1 (1.7.4-3)
- library for reading and writing JSON for C++
- libjsonm-ocaml (1.0.1-2)
- Non-blocking streaming JSON codec for OCaml (plugins)
- libjsonm-ocaml-4yy70
- virtueel pakket geboden door libjsonm-ocaml
- libjsonm-ocaml-dev (1.0.1-2)
- Non-blocking streaming JSON codec for OCaml
- libjsonm-ocaml-dev-4yy70
- virtueel pakket geboden door libjsonm-ocaml-dev
- libjsonm-ocaml-dev-kihf1
- virtueel pakket geboden door libjsonm-ocaml-dev
- libjsonm-ocaml-doc (1.0.1-2)
- Non-blocking streaming JSON codec for OCaml (documentation)
- libjsonm-ocaml-kihf1
- virtueel pakket geboden door libjsonm-ocaml
- libjsonp-java (1.0.4-1)
- Java API for JSON Processing
- libjsonp-java-doc (1.0.4-1)
- Java API for JSON Processing (documentation)
- libjsonparser-dev (1.1.0-1)
- Very low footprint JSON parser written in portable ANSI C - development headers
- libjsonparser1.1 (1.1.0-1)
- Very low footprint JSON parser written in portable ANSI C
- libjsonpath-java (2.0.0-5)
- Jayway JsonPath - XPath like expressions for JSON
- libjsonrpc-glib-1.0-1 (3.30.1-2)
- JSON-RPC library for GLib
- libjsonrpc-glib-1.0-dev (3.30.1-2)
- JSON-RPC library for GLib - development files
- libjsonrpc-glib-doc (3.30.1-2)
- JSON-RPC library for GLib - documentation
- libjsonrpccpp-client0 (0.7.0-1+b3)
- library implementing json-rpc C++ clients
- libjsonrpccpp-client0-dbg (0.7.0-1+b3)
- debugging symbols for libjsonrpccpp-client0
- libjsonrpccpp-common0 (0.7.0-1+b3)
- common functionality for server and client library
- libjsonrpccpp-common0-dbg (0.7.0-1+b3)
- debugging symbols for libjsonrpccpp-common0
- libjsonrpccpp-dev (0.7.0-1+b3)
- development files for JSON-RPC C++ framework
- libjsonrpccpp-server0 (0.7.0-1+b3)
- library implementing json-rpc C++ servers
- libjsonrpccpp-server0-dbg (0.7.0-1+b3)
- debugging symbols for libjsonrpccpp-server0
- libjsonrpccpp-stub0 (0.7.0-1+b3)
- library for stub generation of libjsonrpccpp based applications
- libjsonrpccpp-stub0-dbg (0.7.0-1+b3)
- debugging symbols for libjsonrpccpp-stub0
- libjsonrpccpp-tools (0.7.0-1+b3)
- stub generator for libjsonrpccpp based applications
- libjsoup-java (1.10.2-2)
- Java HTML parser that makes sense of real-world HTML soup
- libjsoup-java-doc (1.10.2-2)
- Documentation for jsoup HTML Parser
- libjsp-api-java (2.3.4-2+deb10u1)
- JavaServer Pages API
- libjspeex-java (0.9.7-4)
- Java Implementation of Speex
- libjsr166y-java (1.7.0-2)
- Parallel computation framework for Java
- libjsr166y-java-doc (1.7.0-2)
- Documentation for libjsr166y-java
- libjsr305-java (0.1~+svn49-11)
- Java library that provides annotations for software defect detection
- libjsr311-api-java (1.1.1-1)
- JSR 311, JAX-RS, Java API for RESTful Web Services
- libjsr311-api-java-doc (1.1.1-1)
- JSR 311, JAX-RS, Java API for RESTful Web Services - documentation
- libjss-java (4.5.1-1)
- Network Security Services for Java
- libjssc-java (2.8.0-1)
- library for working with serial ports from Java
- libjssc-java-doc (2.8.0-1)
- library for working with serial ports from Java - doc
- libjstun-java (0.7.3+dfsg-2)
- Java-based STUN implementation
- libjstun-java-doc (0.7.3+dfsg-2)
- Java-based STUN implementation (documentation)
- libjswingreader-java (0.3-2)
- RSS NewsFeed reader for Java
- libjsyntaxpane-java (0.9.6~r156-7)
- Java EditorPane with support for Syntax Highlighting
- libjsyntaxpane-java-doc (0.9.6~r156-7)
- Java EditorPane with support for Syntax Highlighting (javadoc files)
- libjt400-java (9.4-1)
- Java database (JDBC) driver for IBM DB2
- libjtds-java (1.2.5+dfsg-4)
- JDBC 3.0 driver for Microsoft SQL Server(tm) and Sybase(tm)
- libjte-dev (1.21-1)
- Jigdo Template Export - development
- libjte1 (1.21-1)
- Jigdo Template Export - runtime library
- libjtharness-java (6.0-b15-1~deb10u1)
- General purpose test harness for Java
- libjthread-dbg (1.3.1-3+b2)
- JThread cross-platform threading library debugging symbols
- libjthread-dev (1.3.1-3+b2)
- JThread cross-platform library headers
- libjthread-doc (1.3.1-3)
- JThread cross-platform threading library documentation
- libjthread1.3.1 (1.3.1-3+b2)
- JThread cross-platform threading library
- libjtidy-java (7+svn20110807-5)
- JTidy HTML syntax checker and pretty printer
- libjtidy-java-doc (7+svn20110807-5)
- JTidy HTML syntax checker and pretty printer (documentation)
- libjts-java (1.16.0+ds-1)
- JTS Topology Suite
- libjts-java-doc (1.16.0+ds-1)
- Documentation for the JTS Topology Suite
- libjtype-java (0.1.3-4)
- Helper library for the Java 5 Type
- libjuce-doc (5.4.1+really5.4.1~repack-3)
- Jules' Utility Class Extensions (documentation)
- libjudy-dev (1.0.5-5)
- C library for creating and accessing dynamic arrays (dev package)
- libjudydebian1 (1.0.5-5)
- C library for creating and accessing dynamic arrays
- libjug-java (3.1.5-1)
- Pure java UUID generator
- libjulia-dev (1.0.3+dfsg-4)
- high-performance programming language for technical computing (development)
- libjulia1 (1.0.3+dfsg-4)
- high-performance programming language for technical computing (runtime library)
- libjuman
- virtueel pakket geboden door libjuman4
- libjuman-dev (7.0-3.4)
- Header files of JUMAN
- libjuman-perl (7.0-3.4)
- Perl binding of JUMAN
- libjuman4 (7.0-3.4)
- Library of JUMAN
- libjung-free-java (2.0.1+dfsg-1)
- Java Universal Network/Graph Framework
- libjung-free-java-doc (2.0.1+dfsg-1)
- Java Universal Network/Graph Framework (documentation)
- libjuniversalchardet-java (1.0.3-1)
- Encoding detector library (Java port of the Mozilla library)
- libjuniversalchardet-java-doc (1.0.3-1)
- Documentation for juniversalchardet
- libjunixsocket-java (2.0.4-1)
- Unix Domain Sockets in Java
- libjunixsocket-jni (2.0.4-1)
- Unix Domain Sockets in Java (JNI library)
- libjutils-java (20100502+dfsg-4)
- Common utilities for Java Game Technology Group projects
- libjutils-java-doc (20100502+dfsg-4)
- Common utilities for Java Game Technology Group projects (javadoc)
- libjvyamlb-java (0.2.5-2)
- pure Java YAML loader and dumper
- libjws-api-java (1.1-1)
- Java EE Web Services Metadata API (JSR 181)
- libjwt-dev (1.10.1-1+deb10u1) [security]
- C library to handle JWT (JSON Web Token) - development
- libjwt-gnutls-dev (1.10.1-1+deb10u1) [security]
- Development files for libjwt - GnuTLS flavour
- libjwt-gnutls0 (1.10.1-1+deb10u1) [security]
- C library to handle JWT (JSON Web Token) - GnuTLS flavour
- libjwt0 (1.10.1-1+deb10u1) [security]
- C library to handle JWT (JSON Web Token)
- libjxgrabkey-java (0.3.2-10)
- X11 hotkey API for java
- libjxgrabkey-jni (0.3.2-10)
- X11 hotkey API for java (jni backend)
- libjxp-java (1.6.1-6)
- Java template engine/script processor
- libjxr-dbg (1.1-6+b1)
- JPEG-XR lib - debug files
- libjxr-dev (1.1-6+b1)
- JPEG-XR lib - dev files
- libjxr-tools (1.1-6+b1)
- JPEG-XR lib - command line apps
- libjxr0 (1.1-6+b1)
- JPEG-XR lib - libraries
- libjzlib-java (1.1.3-2)
- Java Zlib implementation
- libk3b-dev (18.08.1-1)
- KDE CD/DVD burning application library - development files
- libk3b7 (18.08.1-1)
- KDE CD/DVD burning application library - runtime files
- (18.08.1-1)
- KDE CD/DVD burning application library - extra decoders
- libk5crypto3 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - Crypto Library
- libkabc4 (4:4.14.10-11)
- library for handling address book data
- libkaccounts-dev (4:17.08.3-1)
- System to administer web accounts - development files
- libkaccounts1 (4:17.08.3-1)
- System to administer web accounts - shared library
- libkactivities-dev (4:4.13.3-2)
- development files for the KDE Activities libraries
- libkactivities6 (4:4.13.3-2)
- Activities library
- libkadm5clnt-mit11 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - Administration Clients
- libkadm5clnt7-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - kadmin client library
- libkadm5srv-mit11 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - KDC and Admin Server
- libkadm5srv8-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Libraries for Heimdal Kerberos
- libkadu (4.1-1.1+b1)
- Gadu-Gadu/XMPP client for X11
- libkafs0-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - KAFS support library
- libkakasi2 (2.3.6-4)
- Library version of KAKASI
- libkakasi2-dev (2.3.6-4)
- Header files and static libraries for library version of KAKASI
- libkal-dev (0.9.0-2)
- library for converting dates between various calendar systems
- libkalarmcal2 (4:4.14.10-11)
- library for handling kalarm calendar data
- libkarma-cil (0.1.2-4)
- Rio Karma access library [CLI runtime library]
- libkarma-cil-dev (0.1.2-4)
- Rio Karma access library [CLI library development files]
- libkarma-dev (0.1.2-4)
- Rio Karma access library [development files]
- libkarma0 (0.1.2-4)
- Rio Karma access library [runtime files]
- libkashmir-dev (0.0~git20150805.0.2f3913f+dfsg3-1)
- general purpose library to complement stdlib
- libkasten3controllers3 (5:0.25.5-1)
- controllers Kasten library for Okteta
- libkasten3core3 (5:0.25.5-1)
- core Kasten library for Okteta
- libkasten3gui3 (5:0.25.5-1)
- gui Kasten library for Okteta
- libkasten3okteta1controllers1abi1 (5:0.25.5-1)
- kastencontrollers library for Okteta
- libkasten3okteta1core1 (5:0.25.5-1)
- kastencore library for Okteta
- libkasten3okteta1gui1 (5:0.25.5-1)
- kastengui library for Okteta
- libkate-dev (0.4.1-9)
- Codec for karaoke and text encapsulation (dev)
- libkate-tools (0.4.1-9)
- Utilities for mangling kate Ogg files
- libkate1 (0.4.1-9)
- Codec for karaoke and text encapsulation
- libkatepartinterfaces4 (4:4.14.3-5)
- library for the embeddable text editor component
- libkavorka-perl (0.039-3)
- function signatures with the lure of the animal
- libkaz-dev (1.21-2)
- Kazlib's reusable data structure development tools
- libkaz1 (1.21-2)
- Kazlib's reusable data structure modules
- libkblog4 (4:4.14.10-11)
- client-side support library for web application remote blogging APIs
- libkcal4 (4:4.14.10-11)
- library for handling calendar data
- libkcalcore4 (4:4.14.10-11)
- library for handling calendar data
- libkcalutils4 (4:4.14.10-11)
- library with utility functions for the handling of calendar data
- libkcapi-dev (1.1.3-1)
- Development files for Linux Kernel Crypto API
- libkcapi-doc (1.1.3-1)
- Documentation for Linux Kernel Crypto API
- libkcapi1 (1.1.3-1)
- Linux Kernel Crypto API User Space Interface Library
- libkchart-dev (2.6.1-1)
- library for creating business charts (development files)
- libkchart2 (2.6.1-1)
- library for creating business charts (shared library)
- libkchart2-l10n (2.6.1-1)
- library for creating business charts (translation)
- libkcmutils4 (4:4.14.38-3)
- utility classes for using KCM modules
- libkdb-data (3.1.0-5)
- data files for KDb
- libkdb3-4 (3.1.0-5+b2)
- database connectivity and creation framework -- shared library
- libkdb3-dev (3.1.0-5+b2)
- development files for KDb
- libkdb3-driver-mysql (3.1.0-5+b2)
- MySQL driver for KDb
- libkdb3-driver-postgresql (3.1.0-5+b2)
- PostgreSQL driver for KDb
- libkdb3-driver-sqlite (3.1.0-5+b2)
- SQLite driver for KDb
- libkdb5-9 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - Kerberos database
- libkdc2-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - KDC support library
- libkde3support4 (4:4.14.38-3)
- KDE 3 Support Library for the KDE 4 Platform
- libkdeclarative5 (4:4.14.38-3)
- declarative library for plasma
- libkdecorations2-5v5 (4:5.14.5-1)
- library to create window decorations
- libkdecorations2-dev (4:5.14.5-1)
- library to create window decorations - development files
- libkdecorations2private6 (4:5.14.5-1)
- library to create window decorations - private library
- libkdecore5 (4:4.14.38-3)
- KDE Platform Core Library
- libkdesu5 (4:4.14.38-3)
- Console-mode Authentication Library for the KDE Platform
- libkdeui5 (4:4.14.38-3)
- KDE Platform User Interface Library
- libkdewebkit5 (4:4.14.38-3)
- KDE WebKit Library
- libkdf5-2 (2.9.3+dfsg-2)
- hdf5 access to data in the INSDC Sequence Read Archives
- libkdf5-dev (2.9.3+dfsg-2)
- hdf5 access to data in the INSDC Sequence Read Archives (devel)
- libkdgcommons-java (1.0.15-1)
- utility library for web programming
- libkdl-parser-dev (1.13.1-1+b1)
- Development files for ROS kdl_parser library
- libkdl-parser1d (1.13.1-1+b1)
- ROS kdl_parser library
- libkdnssd4 (4:4.14.38-3)
- DNS-SD Protocol Library for the KDE Platform
- libkdtree++-dev (0.7.1+git20101123-4)
- C++ template container implementation of kd-tree sorting
- libkeduvocdocument-dev (4:17.08.3-1)
- development files for KEduVocDocument
- libkeduvocdocument5 (4:17.08.3-1)
- library for reading and writing vocabulary files
- libkeepalive0 (0.3-2)
- enable tcp keepalive support in programs using shared libraries
- libkemoticons4 (4:4.14.38-3)
- utility classes to deal with emoticon themes
- libkernlib1-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core library of basic functions (development)
- libkernlib1-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core library of basic functions
- libkeybinder-3.0-0 (0.3.2-1)
- registers global key bindings for applications - Gtk+3
- libkeybinder-3.0-dev (0.3.2-1)
- registers global key bindings for applications - Gtk+3 - development headers
- libkeybinder-dev (0.3.1-1)
- registers global key bindings for applications - development headers
- libkeybinder0 (0.3.1-1)
- registers global key bindings for applications
- libkeyutils-dev (1.6-6)
- Linux Key Management Utilities (development)
- libkeyutils1 (1.6-6)
- Linux Key Management Utilities (library)
- libkeyword-simple-perl (0.04-1+b1)
- Perl module to define new keywords in pure Perl
- libkf5activities-dev (5.54.0-1)
- development files for kactivities.
- libkf5activities-doc (5.54.0-1)
- Library to organize the user work in separate activities (documentation)
- libkf5activities5 (5.54.0-1)
- Library to organize the user work in separate activities.
- libkf5activitiesstats-dev (5.54.0-1)
- development files for kactivities-stats.
- libkf5activitiesstats-doc (5.54.0-1)
- usage data collected by the activities system
- libkf5activitiesstats1 (5.54.0-1)
- usage data collected by the activities system
- libkf5akonadi-data (4:18.08.3-7~deb10u1)
- Akonadi arch independent data
- libkf5akonadi-dev (4:18.08.3-7~deb10u1)
- development files for the KDE Development Platform PIM libraries
- libkf5akonadi-dev-bin (4:18.08.3-7~deb10u1)
- Additional development binaries for the Akonadi KDE PIM libraries
- libkf5akonadiagentbase5 (4:18.08.3-7~deb10u1)
- Akonadi agent base library
- libkf5akonadicalendar-data (4:18.08.3-1)
- data files for akonadi-calendar
- libkf5akonadicalendar-dev (4:18.08.3-1)
- akonadi-calendar - development files
- libkf5akonadicalendar5abi1 (4:18.08.3-1)
- library providing calendar helpers for Akonadi items
- libkf5akonadicontact-dev (4:18.08.3-1)
- Akonadi contacts access library - development files
- libkf5akonadicontact5 (4:18.08.3-1)
- Akonadi contacts access library
- libkf5akonadicore5abi2 (4:18.08.3-7~deb10u1)
- Akonadi core library
- libkf5akonadimime-dev (4:18.08.3-1)
- Akonadi MIME handling library - development files
- libkf5akonadimime5 (4:18.08.3-1)
- Akonadi MIME handling library
- libkf5akonadinotes-dev (4:18.08.3-1)
- Akonadi notes access library - development files
- libkf5akonadinotes5 (4:18.08.3-1)
- Akonadi notes access library
- libkf5akonadiprivate5abi2 (4:18.08.3-7~deb10u1)
- libraries for the Akonadi PIM storage service
- libkf5akonadisearch-bin (4:18.08.3-1)
- Akonadi search library - runtime binaries
- libkf5akonadisearch-data (4:18.08.3-1)
- Akonadi search library - data files
- libkf5akonadisearch-dev (4:18.08.3-1)
- Akonadi search library - development files
- libkf5akonadisearch-plugins (4:18.08.3-1)
- Akonadi search library - runtime plugins
- libkf5akonadisearchcore5 (4:18.08.3-1)
- Akonadi search core library
- libkf5akonadisearchdebug5 (4:18.08.3-1)
- Akonadi search debug library
- libkf5akonadisearchpim5 (4:18.08.3-1)
- Akonadi search library
- libkf5akonadisearchxapian5 (4:18.08.3-1)
- Akonadi search xapian library
- libkf5akonadiserver-dev (4:18.08.3-7~deb10u1)
- development files for the Akonadi PIM storage service
- libkf5akonadisocialutils-dev (4:18.08.3-2)
- KDE PIM library - transitional package
- libkf5akonadiwidgets5abi1 (4:18.08.3-7~deb10u1)
- Akonadi widgets library
- libkf5akonadixml5 (4:18.08.3-7~deb10u1)
- Akonadi XML helper library
- libkf5alarmcalendar-data (4:18.08.3-2)
- library for handling kalarm calendar data - arch independent files
- libkf5alarmcalendar-dev (4:18.08.3-2)
- library for handling kalarm calendar data - development files
- libkf5alarmcalendar5abi1 (4:18.08.3-2)
- library for handling kalarm calendar data
- libkf5archive-dev (5.54.0-1)
- development files for karchive
- libkf5archive-doc (5.54.0-1)
- Qt 5 addon providing access to numerous types of archives (documentation)
- libkf5archive5 (5.54.0-1)
- Qt 5 addon providing access to numerous types of archives
- libkf5attica-dev (5.54.0-1)
- development files for libkf5attica5
- libkf5attica-doc (5.54.0-1)
- Qt library that implements the Open Collaboration Services API (documentation)
- libkf5attica5 (5.54.0-1)
- Qt library that implements the Open Collaboration Services API
- libkf5auth-data (5.54.0-2)
- Abstraction to system policy and authentication features
- libkf5auth-dev (5.54.0-2)
- Abstraction to system policy and authentication features
- libkf5auth-dev-bin (5.54.0-2)
- Abstraction to system policy and authentication features
- libkf5auth-doc (5.54.0-2)
- Abstraction to system policy and authentication features (documentation)
- libkf5auth5 (5.54.0-2)
- Abstraction to system policy and authentication features
- libkf5baloo-doc (5.54.0-1)
- framework for searching and managing metadata core lib (documentation)
- libkf5baloo5 (5.54.0-1)
- framework for searching and managing metadata core lib.
- libkf5balooengine5 (5.54.0-1)
- framework for searching and managing metadata plugins
- libkf5baloowidgets-bin (4:18.08.1-1)
- Wigets for use with Baloo - binaries
- libkf5baloowidgets-dev (4:18.08.1-1)
- Development headers for developing applications with Baloo
- libkf5baloowidgets5 (4:18.08.1-1)
- Wigets for use with Baloo
- libkf5blog-dev (4:18.08.3-2)
- kblog - development files
- libkf5blog5 (4:18.08.3-2)
- client-side support library for web application remote blogging APIs
- libkf5bluezqt-data (5.54.0-1)
- data files for bluez-qt
- libkf5bluezqt-dev (5.54.0-1)
- development files for bluez-qt
- libkf5bluezqt-doc (5.54.0-1)
- documentation files for bluez-qt
- libkf5bluezqt6 (5.54.0-1)
- Qt wrapper for bluez
- libkf5bookmarks-data (5.54.0-1)
- Qt library with support for bookmarks and the XBEL format.
- libkf5bookmarks-dev (5.54.0-1)
- Qt library with support for bookmarks and the XBEL format.
- libkf5bookmarks-doc (5.54.0-1)
- Qt library with support for bookmarks and the XBEL format (documentation)
- libkf5bookmarks5 (5.54.0-1)
- Qt library with support for bookmarks and the XBEL format.
- libkf5calendarcore-dev (4:18.08.3-1)
- development files for kcalcore
- libkf5calendarcore5abi2 (4:18.08.3-1)
- calendar access framework
- libkf5calendarevents5 (5.54.0-1)
- provides integration of QML and KDE frameworks -- calendarevents
- libkf5calendarsupport-data (4:18.08.3-1)
- KDE PIM Calendar support - data files
- libkf5calendarsupport-dev (4:18.08.3-1)
- KDE PIM Calendar support - devel files
- libkf5calendarsupport5abi1 (4:18.08.3-1)
- KDE PIM Calendar support - library
- libkf5calendarutils-dev (4:18.08.3-2)
- kcalutils - development files
- libkf5calendarutils5 (4:18.08.3-2)
- library with utility functions for the handling of calendar data
- libkf5cddb-dev (4:17.08.3-3)
- CDDB library for KDE Platform (development)
- libkf5cddb5 (4:17.08.3-3)
- CDDB library for KDE Platform (runtime)
- libkf5cddbwidgets5 (4:17.08.3-3)
- CDDB library for KDE Platform (widgets)
- libkf5codecs-data (5.54.0-1)
- collection of methods to manipulate strings
- libkf5codecs-dev (5.54.0-1)
- development files for kcodecs
- libkf5codecs-doc (5.54.0-1)
- collection of methods to manipulate strings (documentation)
- libkf5codecs5 (5.54.0-1)
- collection of methods to manipulate strings
- libkf5compactdisc-dev (4:17.08.3-2)
- CD drive library for KDE Platform (development)
- libkf5compactdisc5 (4:17.08.3-2)
- CD drive library for KDE Platform (runtime)
- libkf5completion-data (5.54.0-1)
- Widgets with advanced auto-completion features.
- libkf5completion-dev (5.54.0-1)
- development files for kcompletion
- libkf5completion-doc (5.54.0-1)
- Widgets with advanced auto-completion features (documentation)
- libkf5completion5 (5.54.0-1)
- Widgets with advanced auto-completion features.
- libkf5config-bin (5.54.0-1+deb10u1)
- configuration settings framework for Qt
- libkf5config-data (5.54.0-1+deb10u1)
- configuration settings framework for Qt
- libkf5config-dev (5.54.0-1+deb10u1)
- configuration settings framework for Qt
- libkf5config-dev-bin (5.54.0-1+deb10u1)
- configuration settings framework for Qt -- binary package
- libkf5config-doc (5.54.0-1+deb10u1)
- configuration settings framework for Qt (documentation)
- libkf5configcore5 (5.54.0-1+deb10u1)
- configuration settings framework for Qt
- libkf5configgui5 (5.54.0-1+deb10u1)
- configuration settings framework for Qt
- libkf5configwidgets-data (5.54.0-1)
- Extra widgets for easier configuration support.
- libkf5configwidgets-dev (5.54.0-1)
- development files for kconfigwidgets
- libkf5configwidgets-doc (5.54.0-1)
- Extra widgets for easier configuration support (documentation)
- libkf5configwidgets5 (5.54.0-1)
- Extra widgets for easier configuration support.
- libkf5contacteditor-dev (4:18.08.3-1)
- Akonadi contacts editor library - development files
- libkf5contacteditor5 (4:18.08.3-1)
- Akonadi contact editor library
- libkf5contacts-data (4:18.08.3-1)
- data files for kcontacts
- libkf5contacts-dev (4:18.08.3-1)
- development files for kcontacts
- libkf5contacts5 (4:18.08.3-1)
- address book API for KDE frameworks
- libkf5coreaddons-data (5.54.0-1)
- KDE Frameworks 5 addons to QtCore - data files
- libkf5coreaddons-dev (5.54.0-1)
- KDE Frameworks 5 addons to QtCore - development files
- libkf5coreaddons-dev-bin (5.54.0-1)
- KDE Frameworks 5 addons to QtCore - development files
- libkf5coreaddons-doc (5.54.0-1)
- KDE Frameworks 5 addons to QtCore (documentation)
- libkf5coreaddons5 (5.54.0-1)
- KDE Frameworks 5 addons to QtCore
- libkf5crash-dev (5.54.0-1)
- Support for application crash analysis and bug report from apps
- libkf5crash-doc (5.54.0-1)
- Support for application crash analysis and bug report from apps (documentation)
- libkf5crash5 (5.54.0-1)
- Support for application crash analysis and bug report from apps
- libkf5dbusaddons-bin (5.54.0-1)
- class library for qtdbus
- libkf5dbusaddons-data (5.54.0-1)
- class library for qtdbus
- libkf5dbusaddons-dev (5.54.0-1)
- development files for dbusaddons
- libkf5dbusaddons-doc (5.54.0-1)
- class library for qtdbus (documentation)
- libkf5dbusaddons5 (5.54.0-1)
- class library for qtdbus
- libkf5declarative-data (5.54.0-1)
- provides integration of QML and KDE frameworks
- libkf5declarative-dev (5.54.0-1)
- development files for kdeclarative
- libkf5declarative-doc (5.54.0-1)
- provides integration of QML and KDE frameworks (documentation)
- libkf5declarative5 (5.54.0-1)
- provides integration of QML and KDE frameworks
- libkf5dnssd-data (5.54.0-1)
- Abstraction to system DNSSD features.
- libkf5dnssd-dev (5.54.0-1)
- development files for kdnssd
- libkf5dnssd-doc (5.54.0-1)
- Abstraction to system DNSSD features (documentation)
- libkf5dnssd5 (5.54.0-1)
- Abstraction to system DNSSD features.
- libkf5doctools-dev (5.54.0-1)
- Development files for kdoctools5
- libkf5doctools5 (5.54.0-1)
- Tools to generate documentation in various formats from DocBook
- libkf5emoticons-bin (5.54.0-1)
- Support for emoticons and emoticons themes.
- libkf5emoticons-data (5.54.0-1)
- Support for emoticons and emoticons themes.
- libkf5emoticons-dev (5.54.0-1)
- Support for emoticons and emoticons themes.
- libkf5emoticons-doc (5.54.0-1)
- Support for emoticons and emoticons themes (documentation)
- libkf5emoticons5 (5.54.0-1)
- Support for emoticons and emoticons themes.
- libkf5eventviews-data (4:18.08.3-1)
- KDE PIM event handling - data files
- libkf5eventviews-dev (4:18.08.3-1)
- KDE PIM event handling - devel files
- libkf5eventviews5abi1 (4:18.08.3-1)
- KDE PIM event handling - library
- libkf5filemetadata-bin (5.54.0-1)
- library for extracting file metadata
- libkf5filemetadata-data (5.54.0-1)
- library for extracting file metadata
- libkf5filemetadata-dev (5.54.0-1)
- library for extracting file metadata
- libkf5filemetadata-doc (5.54.0-1)
- library for extracting file metadata (documentation)
- libkf5filemetadata3 (5.54.0-1)
- library for extracting file metadata
- libkf5followupreminder-dev (4:18.08.3-2)
- follow up reminder library, devel files
- libkf5followupreminder5 (4:18.08.3-2)
- follow up reminder library
- libkf5gapi-data (18.08.3-2)
- Google API library for KDE -- transitional
- libkf5globalaccel-bin (5.54.0-1)
- Configurable global shortcut support.
- libkf5globalaccel-data (5.54.0-1)
- Configurable global shortcut support.
- libkf5globalaccel-dev (5.54.0-1)
- development files for kglobalaccel
- libkf5globalaccel-doc (5.54.0-1)
- Configurable global shortcut support (documentation)
- libkf5globalaccel5 (5.54.0-1)
- Configurable global shortcut support.
- libkf5globalaccelprivate5 (5.54.0-1)
- Configurable global shortcut support - private runtime library
- libkf5grantleetheme-dev (18.08.3-1)
- KDE PIM grantlee theme support - devel files
- libkf5grantleetheme-plugins (18.08.3-1)
- KDE PIM grantlee theme support - plugins
- libkf5grantleetheme5 (18.08.3-1)
- KDE PIM grantlee theme support - library
- libkf5gravatar-data (4:18.08.3-1)
- KDE PIM gravatar library - data files
- libkf5gravatar-dev (4:18.08.3-1)
- KDE PIM gravatar library, devel files
- libkf5gravatar5abi2 (4:18.08.3-1)
- KDE PIM gravatar library
- libkf5guiaddons-dev (5.54.0-1)
- development headers for the kguiaddons framework
- libkf5guiaddons-doc (5.54.0-1)
- additional addons for QtGui (documentation)
- libkf5guiaddons5 (5.54.0-1)
- additional addons for QtGui
- libkf5holidays-data (1:5.54.0-1)
- holidays calculation library
- libkf5holidays-dev (1:5.54.0-1)
- holidays calculation library - development files
- libkf5holidays-doc (1:5.54.0-1)
- holidays calculation library (documentation)
- libkf5holidays5 (1:5.54.0-1)
- holidays calculation library
- libkf5i18n-data (5.54.0-1)
- Advanced internationalization framework.
- libkf5i18n-dev (5.54.0-1)
- Advanced internationalization framework.
- libkf5i18n-doc (5.54.0-1)
- Advanced internationalization framework (documentation)
- libkf5i18n5 (5.54.0-1)
- Advanced internationalization framework.
- libkf5iconthemes-bin (5.54.0-1)
- Support for icon themes
- libkf5iconthemes-data (5.54.0-1)
- Support for icon themes.
- libkf5iconthemes-dev (5.54.0-1)
- development files for kiconthemes
- libkf5iconthemes-doc (5.54.0-1)
- Support for icon themes (documentation)
- libkf5iconthemes5 (5.54.0-1)
- Support for icon themes.
- libkf5identitymanagement-dev (18.08.3-2)
- library for managing user identities - development files
- libkf5identitymanagement5 (18.08.3-2)
- library for managing user identities
- libkf5idletime-dev (5.54.0-1)
- development headers for the kidletime library
- libkf5idletime-doc (5.54.0-1)
- library to provide information about idle time (documentation)
- libkf5idletime5 (5.54.0-1)
- library to provide information about idle time
- libkf5imap-data (18.08.3-1)
- library for handling IMAP data - arch independent files
- libkf5imap-dev (18.08.3-1)
- library for handling IMAP data - development files
- libkf5imap5 (18.08.3-1)
- library for handling IMAP data
- libkf5incidenceeditor-bin (18.08.3-3)
- KDE PIM incidence editor
- libkf5incidenceeditor-data (18.08.3-3)
- KDE PIM incidence editor - shared data
- libkf5incidenceeditor-dev (18.08.3-3)
- KDE PIM incidence editor - devel files
- libkf5incidenceeditor5abi1 (18.08.3-3)
- KDE PIM incidence editor - library
- libkf5itemmodels-dev (5.54.0-1)
- additional item/view models for Qt Itemview
- libkf5itemmodels-doc (5.54.0-1)
- additional item/view models for Qt Itemview (documentation)
- libkf5itemmodels5 (5.54.0-1)
- additional item/view models for Qt Itemview
- libkf5itemviews-data (5.54.0-1)
- Qt library with additional widgets for ItemModels
- libkf5itemviews-dev (5.54.0-1)
- Qt library with additional widgets for ItemModels
- libkf5itemviews-doc (5.54.0-1)
- Qt library with additional widgets for ItemModels (documentation)
- libkf5itemviews5 (5.54.0-1)
- Qt library with additional widgets for ItemModels
- libkf5jobwidgets-data (5.54.0-1)
- Widgets for tracking KJob instances
- libkf5jobwidgets-dev (5.54.0-1)
- Widgets for tracking KJob instances
- libkf5jobwidgets-doc (5.54.0-1)
- Widgets for tracking KJob instances (documentation)
- libkf5jobwidgets5 (5.54.0-1)
- Widgets for tracking KJob instances
- libkf5js5 (5.54.0-1)
- Support for JS scripting in Qt applications
- libkf5jsapi5 (5.54.0-1)
- Support for JS scripting in Qt applications
- libkf5jsembed-data (5.54.0-1)
- Embedded JS library for Qt
- libkf5jsembed-dev (5.54.0-1)
- Embedded JS library for Qt
- libkf5jsembed5 (5.54.0-1)
- Embedded JS library for Qt
- libkf5kaddressbookgrantlee-dev (4:18.08.3-2)
- KAddressbook Grantlee support - devel files
- libkf5kaddressbookgrantlee5 (4:18.08.3-2)
- KAddressbook Grantlee support
- libkf5kaddressbookimportexport-dev (4:18.08.3-2)
- Addressbook import export library, devel files
- libkf5kaddressbookimportexport5 (4:18.08.3-2)
- Addressbook import export library
- libkf5kcmutils-data (5.54.0-1)
- Extra APIs to write KConfig modules.
- libkf5kcmutils-dev (5.54.0-1)
- Extra APIs to write KConfig modules.
- libkf5kcmutils-doc (5.54.0-1)
- Extra APIs to write KConfig modules (documentation)
- libkf5kcmutils5 (5.54.0-1)
- Extra APIs to write KConfig modules.
- libkf5kdcraw-dev (18.08.0-1)
- RAW picture decoding library -- development files
- libkf5kdcraw5 (18.08.0-1)
- RAW picture decoding library
- libkf5kdegames-dev (4:18.04.0-1)
- development files for the KDE games library
- libkf5kdegames7 (4:18.04.0-1)
- shared library for KDE games
- libkf5kdegamesprivate1 (4:18.04.0-1)
- private part of shared library for KDE games
- libkf5kdelibs4support-data (5.54.0-1)
- Porting aid from KDELibs4.
- libkf5kdelibs4support-dev (5.54.0-1)
- development files for kde4support
- libkf5kdelibs4support5 (5.54.0-1)
- Porting aid from KDELibs4.
- libkf5kdelibs4support5-bin (5.54.0-1)
- Porting aid from KDELibs4.
- libkf5kdepimdbusinterfaces-dev (4:18.08.3-2)
- KDE PIM D-Bus interfaces library, devel files
- libkf5kdepimdbusinterfaces5 (4:18.08.3-2)
- KDE PIM D-Bus interfaces library
- libkf5kexiv2-15.0.0 (17.08.3-1)
- Qt like interface for the libexiv2 library
- libkf5kexiv2-dev (17.08.3-1)
- Qt-like interface for the libexiv2 library -- development files
- libkf5kgeomap-data (17.08.3-1)
- World-Map Library interface for KDE - data files
- libkf5kgeomap-dev (17.08.3-1)
- World-Map Library interface for KDE - development files
- libkf5kgeomap10.0.0 (17.08.3-1)
- World-Map Library interface for KDE - shared library
- libkf5khtml-bin (5.54.0-1)
- HTML widget and component
- libkf5khtml-data (5.54.0-1)
- HTML widget and component
- libkf5khtml-dev (5.54.0-1)
- HTML widget and component
- libkf5khtml5 (5.54.0-1)
- HTML widget and component
- libkf5kio-dev (5.54.1-1)
- resource and network access abstraction (development files)
- libkf5kio-doc (5.54.1-1)
- resource and network access abstraction (documentation)
- libkf5kiocore5 (5.54.1-1)
- resource and network access abstraction (KIO core library)
- libkf5kiofilewidgets5 (5.54.1-1)
- resource and network access abstraction (KIO file widgets library)
- libkf5kiogui5 (5.54.1-1)
- resource and network access abstraction (KIO gui library)
- libkf5kiontlm5 (5.54.1-1)
- resource and network access abstraction (KIO NTLM library)
- libkf5kiowidgets5 (5.54.1-1)
- resource and network access abstraction (KIO widgets library)
- libkf5kipi-data (4:17.08.3-1)
- KDE Image Plugin Interface library -- data files
- libkf5kipi-dev (4:17.08.3-1)
- KDE Image Plugin Interface library -- development files
- libkf5kipi32.0.0 (4:17.08.3-1)
- KDE Image Plugin Interface library
- libkf5kirigami2-5 (5.54.0-1)
- set of QtQuick components targeted for mobile use
- libkf5kirigami2-doc (5.54.0-1)
- set of QtQuick components targeted for mobile use (documentation)
- libkf5kjs-dev (5.54.0-1)
- Support for JS scripting in Qt applications
- libkf5kmahjongglib-dev (4:17.08.3-1)
- development files for the KDE kmahjongg library
- libkf5kmahjongglib5 (4:17.08.3-1)
- shared library for kmahjongg and kshisen
- libkf5kmanagesieve5 (4:18.08.3-2+deb10u1) [security]
- Sieve remote script management support for kdepim, library
- libkf5konq-dev (4:18.12.0-1)
- development files for the Konqueror libraries
- libkf5konq6 (4:18.12.0-1)
- core libraries for Konqueror
- libkf5kontactinterface-data (18.08.3-1)
- Kontact interface library - data files
- libkf5kontactinterface-dev (18.08.3-1)
- Kontact interface library - development files
- libkf5kontactinterface5 (18.08.3-1)
- Kontact interface library
- libkf5krosscore5 (5.54.0-1)
- Multi-language application scripting.
- libkf5krossui5 (5.54.0-1)
- Multi-language application scripting.
- libkf5ksieve-data (4:18.08.3-2+deb10u1) [security]
- Sieve mail filtering language support for kdepim, data files
- libkf5ksieve-dev (4:18.08.3-2+deb10u1) [security]
- Sieve mail filtering language support for kdepim, development files
- libkf5ksieve5 (4:18.08.3-2+deb10u1) [security]
- Sieve mail filtering language support for kdepim, library
- libkf5ksieveui5 (4:18.08.3-2+deb10u1) [security]
- Sieve mail filtering language support for kdepim, GUI library
- libkf5ldap-data (18.08.3-1)
- Arch independent data forlibrary for accessing LDAP
- libkf5ldap-dev (18.08.3-1)
- library for accessing LDAP - development files
- libkf5ldap-doc (18.08.3-1)
- library for accessing LDAP (documentation)
- libkf5ldap5abi1 (18.08.3-1)
- library for accessing LDAP
- libkf5libkdepim-data (4:18.08.3-2)
- KDE PIM library - data files
- libkf5libkdepim-dev (4:18.08.3-2)
- KDE PIM library - development files
- libkf5libkdepim-plugins (4:18.08.3-2)
- KDE PIM library - plugins
- libkf5libkdepim5 (4:18.08.3-2)
- KDE PIM library
- libkf5libkdepimakonadi5 (4:18.08.3-2)
- KDE PIM Akonadi library
- libkf5libkleo-data (4:18.08.3-2)
- KDE PIM cryptographic library, data files
- libkf5libkleo-dev (4:18.08.3-2)
- KDE PIM cryptographic library, devel files
- libkf5libkleo5 (4:18.08.3-2)
- KDE PIM cryptographic library
- libkf5mailcommon-data (4:18.08.3-2)
- KDE PIM email utility library, shared data
- libkf5mailcommon-dev (4:18.08.3-2)
- KDE PIM email utility library, devel files
- libkf5mailcommon-plugins (4:18.08.3-2)
- KDE PIM email utility library, plugins
- libkf5mailcommon5abi2 (4:18.08.3-2)
- KDE PIM email utility library
- libkf5mailimporter-dev (4:18.08.3-1)
- KDE PIM Mail importer library, devel files
- libkf5mailimporter5 (4:18.08.3-1)
- KDE PIM Mail importer library
- libkf5mailimporterakonadi5 (4:18.08.3-1)
- KDE PIM Mail importer Akonadi library
- libkf5mailtransport-data (18.08.3-2)
- mail transport service library - data files
- libkf5mailtransport-dev (18.08.3-2)
- mail transport service library - development files
- libkf5mailtransport5 (18.08.3-2)
- mail transport service library
- libkf5mailtransportakonadi5 (18.08.3-2)
- mail transport service library for akonadi
- libkf5mbox-dev (18.08.3-1)
- library for handling mbox mailboxes - development files
- libkf5mbox5 (18.08.3-1)
- library for handling mbox mailboxes
- libkf5mediaplayer-data (5.54.0-1)
- Plugin interface for media player features.
- libkf5mediaplayer-dev (5.54.0-1)
- Plugin interface for media player features.
- libkf5mediaplayer5 (5.54.0-1)
- Plugin interface for media player features.
- libkf5mediawiki-dev (5.37.0-1)
- libmediawiki is a KDE C++ interface for "MediaWiki"
- libkf5mediawiki5 (5.37.0-1)
- libmediawiki is a KDE C++ interface for "MediaWiki"
- libkf5messagecomposer-dev (4:18.08.3-2)
- KDE PIM messaging library, composer devel files
- libkf5messagecomposer5abi1 (4:18.08.3-2)
- KDE PIM messaging library, composer library
- libkf5messagecore-dev (4:18.08.3-2)
- KDE PIM messaging library, core devel files
- libkf5messagecore5abi1 (4:18.08.3-2)
- KDE PIM messaging library, core library
- libkf5messagelist-dev (4:18.08.3-2)
- KDE PIM messaging library, message list devel files
- libkf5messagelist5abi1 (4:18.08.3-2)
- KDE PIM messaging library, message list library
- libkf5messageviewer-dev (4:18.08.3-2)
- KDE PIM messaging library, message viewer devel files
- libkf5messageviewer5abi1 (4:18.08.3-2)
- KDE PIM messaging library, message viewer library
- libkf5mime-data (18.08.3-1)
- Arch independent data for library for handling MIME data
- libkf5mime-dev (18.08.3-1)
- library for handling MIME data - development files
- libkf5mime5abi1 (18.08.3-1)
- library for handling MIME data
- libkf5mimetreeparser-dev (4:18.08.3-2)
- KDE PIM Mime Tree parser library - devel files
- libkf5mimetreeparser5abi1 (4:18.08.3-2)
- KDE PIM Mime Tree parser library
- libkf5modemmanagerqt-doc (5.54.0-1)
- Qt wrapper library for ModemManager (documentation)
- libkf5modemmanagerqt6 (5.54.0-1)
- Qt wrapper library for ModemManager
- libkf5networkmanagerqt-dev (5.54.0-1)
- Qt wrapper for NetworkManager - devel files.
- libkf5networkmanagerqt-doc (5.54.0-1)
- Qt wrapper for NetworkManager - library (documentation)
- libkf5networkmanagerqt6 (5.54.0-1)
- Qt wrapper for NetworkManager - library
- libkf5newstuff-data (5.54.0-2)
- Support for downloading application assets from the network.
- libkf5newstuff-dev (5.54.0-2)
- development files for knewstuff
- libkf5newstuff-doc (5.54.0-2)
- Support for downloading application assets from the network (documentation)
- libkf5newstuff5 (5.54.0-2)
- Support for downloading application assets from the network.
- libkf5newstuffcore5 (5.54.0-2)
- Support for downloading application assets from the network.
- libkf5notifications-data (5.54.0-1)
- Framework for desktop notifications
- libkf5notifications-dev (5.54.0-1)
- Framework for desktop notifications
- libkf5notifications-doc (5.54.0-1)
- Framework for desktop notifications
- libkf5notifications5 (5.54.0-1)
- Framework for desktop notifications
- libkf5notifyconfig-data (5.54.0-1)
- Configuration system for KNotify.
- libkf5notifyconfig-dev (5.54.0-1)
- development files for knotifyconfig
- libkf5notifyconfig-doc (5.54.0-1)
- Configuration system for KNotify (documentation)
- libkf5notifyconfig5 (5.54.0-1)
- Configuration system for KNotify.
- libkf5package-data (5.54.0-1)
- non-binary asset management framework
- libkf5package-dev (5.54.0-1)
- development files for kpackage
- libkf5package-doc (5.54.0-1)
- non-binary asset management framework (documentation)
- libkf5package5 (5.54.0-1)
- non-binary asset management framework
- libkf5parts-data (5.54.0-1)
- Document centric plugin system.
- libkf5parts-dev (5.54.0-1)
- development files for kparts
- libkf5parts-doc (5.54.0-1)
- Document centric plugin system (documentation)
- libkf5parts-plugins (5.54.0-1)
- Document centric plugin system.
- libkf5parts5 (5.54.0-1)
- Document centric plugin system.
- libkf5people-data (5.54.0-1)
- data files for kpeople
- libkf5people-dev (5.54.0-1)
- development files for kpeople
- libkf5people-doc (5.54.0-1)
- framework providing unified access to contacts aggregated by person (documentation)
- libkf5people5 (5.54.0-1)
- framework providing unified access to contacts aggregated by person
- libkf5peoplebackend5 (5.54.0-1)
- framework providing unified access to contacts aggregated by person
- libkf5peoplewidgets5 (5.54.0-1)
- framework providing unified access to contacts aggregated by person
- libkf5pimcommon-data (4:18.08.3-2)
- Common library for KDE PIM - data files
- libkf5pimcommon-dev (4:18.08.3-2)
- Common library for KDE PIM - devel files
- libkf5pimcommon-plugins (4:18.08.3-2)
- Common library for KDE PIM - plugins
- libkf5pimcommon5abi2 (4:18.08.3-2)
- Common library for KDE PIM
- libkf5pimcommonakonadi5abi1 (4:18.08.3-2)
- Common library for KDE PIM Akonadi
- libkf5pimtextedit-data (18.08.3-1)
- libkf5pimtextedit - arch independent files
- libkf5pimtextedit-dev (18.08.3-1)
- libkf5pimtextedit - development files
- libkf5pimtextedit-plugins (18.08.3-1)
- library that provides a textedit with PIM-specific features - plugins
- libkf5pimtextedit5abi2 (18.08.3-1)
- library that provides a textedit with PIM-specific features
- libkf5plasma-dev (5.54.0-1)
- development files for plasma-framework
- libkf5plasma-doc (5.54.0-1)
- Plasma Runtime components (documentation)
- libkf5plasma5 (5.54.0-1)
- Plasma Runtime components
- libkf5plasmaquick5 (5.54.0-1)
- Plasma Runtime components
- libkf5plotting-dev (5.54.0-1)
- development files for kplotting
- libkf5plotting-doc (5.54.0-1)
- KPlotting provides classes to do plotting (documentation)
- libkf5plotting5 (5.54.0-1)
- KPlotting provides classes to do plotting.
- libkf5prison-dev (5.54.0-1+b2)
- barcode API for Qt - development files
- libkf5prison-doc (5.54.0-1)
- barcode API for Qt (documentation)
- libkf5prison5 (5.54.0-1+b2)
- barcode API for Qt
- libkf5pty-data (5.54.0-1)
- Pty abstraction.
- libkf5pty-dev (5.54.0-1)
- development files for kpty
- libkf5pty-doc (5.54.0-1)
- Pty abstraction (documentation)
- libkf5pty5 (5.54.0-1)
- Pty abstraction.
- libkf5purpose-bin (5.54.0-1)
- abstraction to provide and leverage actions of a specific kind, runtime
- libkf5purpose-dev (5.54.0-1)
- abstraction to provide and leverage actions of a specific kind, devel files
- libkf5purpose5 (5.54.0-1)
- library for abstractions to get the developer's purposes fulfilled
- libkf5qqc2desktopstyle-dev (5.54.0-1)
- Qt Quick Controls 2: Desktop Style
- libkf5quickaddons5 (5.54.0-1)
- provides integration of QML and KDE frameworks -- quickaddons
- libkf5runner-dev (5.54.0-1)
- development files for krunner
- libkf5runner-doc (5.54.0-1)
- Used to write plugins loaded at runtime called "Runners" (documentation)
- libkf5runner5 (5.54.0-1)
- Used to write plugins loaded at runtime called "Runners".
- libkf5sane-data (18.12.1-1)
- scanner library (data files)
- libkf5sane-dev (18.12.1-1)
- scanner library development headers
- libkf5sane5 (18.12.1-1)
- scanner library (runtime)
- libkf5screen-bin (4:5.14.5-1)
- library for screen management - helpers
- libkf5screen-dev (4:5.14.5-1)
- library for screen management - development files
- libkf5screen7 (4:5.14.5-1)
- library for screen management - shared library
- libkf5sendlater-dev (4:18.08.3-2)
- send later library, devel files
- libkf5sendlater5 (4:18.08.3-2)
- send later library
- libkf5service-bin (5.54.0-1)
- Advanced plugin and service introspection
- libkf5service-data (5.54.0-1)
- Advanced plugin and service introspection
- libkf5service-dev (5.54.0-1)
- development files for kservice
- libkf5service-doc (5.54.0-1)
- Advanced plugin and service introspection (documentation)
- libkf5service5 (5.54.0-1)
- Advanced plugin and service introspection
- libkf5solid-bin (5.54.0-1)
- Qt library to query and control hardware
- libkf5solid-dev (5.54.0-1)
- Qt library to query and control hardware
- libkf5solid-doc (5.54.0-1)
- Qt library to query and control hardware (documentation)
- libkf5solid5 (5.54.0-1)
- Qt library to query and control hardware
- libkf5solid5-data (5.54.0-1)
- Qt library to query and control hardware
- libkf5sonnet-dev (5.54.0-1)
- spell checking library for Qt, devel files
- libkf5sonnet-dev-bin (5.54.0-1)
- spell checking library for Qt, devel binaries
- libkf5sonnet-doc (5.54.0-1)
- spell checking library for Qt (documentation)
- libkf5sonnet5-data (5.54.0-1)
- spell checking library for Qt, data files
- libkf5sonnetcore5 (5.54.0-1)
- spell checking library for Qt, core lib
- libkf5sonnetui5 (5.54.0-1)
- spell checking library for Qt, ui lib
- libkf5style-dev (5.54.0-1)
- KF5 cross-framework integration plugins - KStyle
- libkf5style5 (5.54.0-1)
- KF5 cross-framework integration plugins - KStyle
- libkf5su-bin (5.54.0-1)
- runtime files for kdesu
- libkf5su-data (5.54.0-1)
- translation files for kdesu
- libkf5su-dev (5.54.0-1)
- development files for kdesu
- libkf5su-doc (5.54.0-1)
- runtime files for kdesu (documentation)
- libkf5su5 (5.54.0-1)
- Integration with su for elevated privileges.
- libkf5syndication-dev (18.08.3-1)
- parser library for RSS and Atom feeds - development files
- libkf5syndication5abi1 (18.08.3-1)
- parser library for RSS and Atom feeds
- libkf5syntaxhighlighting-data (5.54.0-1)
- Syntax highlighting Engine - translations
- libkf5syntaxhighlighting-dev (5.54.0-1)
- Syntax highlighting Engine
- libkf5syntaxhighlighting-doc (5.54.0-1)
- Syntax highlighting Engine (documentation)
- libkf5syntaxhighlighting-tools (5.54.0-1)
- Syntax highlighting Engine
- libkf5syntaxhighlighting5 (5.54.0-1)
- Syntax highlighting Engine
- libkf5sysguard-bin (4:5.14.5-1)
- library for monitoring your system - shared library
- libkf5sysguard-data (4:5.14.5-1)
- library for monitoring your system - shared library
- libkf5sysguard-dev (4:5.14.5-1)
- library for monitoring your system - development files
- libkf5sysguard5 (4:5.14.5-1)
- transitional dummy package
- libkf5sysguard5-data (4:5.14.5-1)
- transitional dummy package
- libkf5templateparser-dev (4:18.08.3-2)
- KDE PIM messaging library, template parser devel files
- libkf5templateparser5 (4:18.08.3-2)
- KMail template parser library
- libkf5texteditor-bin (5.54.0-1)
- provide advanced plain text editing services (binaries)
- libkf5texteditor-dev (5.54.0-1)
- provide advanced plain text editing services
- libkf5texteditor-doc (5.54.0-1)
- provide advanced plain text editing services (documentation)
- libkf5texteditor5 (5.54.0-1)
- provide advanced plain text editing services
- libkf5textwidgets-data (5.54.0-1)
- Advanced text editing widgets.
- libkf5textwidgets-dev (5.54.0-1)
- development files for ktextwidgets
- libkf5textwidgets-doc (5.54.0-1)
- Advanced text editing widgets (documentation)
- libkf5textwidgets5 (5.54.0-1)
- Advanced text editing widgets.
- libkf5threadweaver-dev (5.54.0-1)
- ThreadWeaver library to help multithreaded programming in Qt
- libkf5threadweaver-doc (5.54.0-1)
- ThreadWeaver library to help multithreaded programming in Qt
- libkf5threadweaver5 (5.54.0-1)
- ThreadWeaver library to help multithreaded programming in Qt
- libkf5tnef-dev (4:18.08.3-1)
- library for handling TNEF data - development files
- libkf5tnef5 (4:18.08.3-1)
- library for handling TNEF data
- libkf5torrent6 (2.1-2)
- KTorrent library for C++ / Qt 5 / KDE Frameworks
- libkf5unitconversion-data (5.54.0-1)
- Support for unit conversion.
- libkf5unitconversion-dev (5.54.0-1)
- development files for kunitconversion
- libkf5unitconversion-doc (5.54.0-1)
- Support for unit conversion (documentation)
- libkf5unitconversion5 (5.54.0-1)
- Support for unit conversion.
- libkf5wallet-bin (5.54.0-1)
- Secure and unified container for user passwords.
- libkf5wallet-data (5.54.0-1)
- Secure and unified container for user passwords.
- libkf5wallet-dev (5.54.0-1)
- development files for kwallet-framework
- libkf5wallet-doc (5.54.0-1)
- Secure and unified container for user passwords.
- libkf5wallet5 (5.54.0-1)
- Secure and unified container for user passwords.
- libkf5wayland-dev (4:5.54.0-1)
- development files for kwayland
- libkf5wayland-doc (4:5.54.0-1)
- Qt library wrapper for Wayland libraries (documentation)
- libkf5waylandclient5 (4:5.54.0-1)
- Qt library wrapper for Wayland libraries
- libkf5waylandserver5 (4:5.54.0-1)
- Qt library wrapper for Wayland libraries
- libkf5webengineviewer-dev (4:18.08.3-2)
- KDE's Qt Web Engine Viewer - devel files
- libkf5webengineviewer5abi1 (4:18.08.3-2)
- KDE's Qt Web Engine Viewer
- libkf5webkit-dev (5.54.0-1)
- development files for kdewebkit
- libkf5webkit5 (5.54.0-1)
- KDE Integration for QtWebKit.
- libkf5widgetsaddons-data (5.54.0-1)
- add-on widgets and classes for applications that use the Qt Widgets module
- libkf5widgetsaddons-dev (5.54.0-1)
- development files for kwidgetsaddons
- libkf5widgetsaddons-doc (5.54.0-1)
- add-on widgets and classes for applications that use the Qt Widgets module (documentation)
- libkf5widgetsaddons5 (5.54.0-1)
- add-on widgets and classes for applications that use the Qt Widgets module
- libkf5windowsystem-data (5.54.0-1)
- Convenience access to certain properties and features of the window manager
- libkf5windowsystem-dev (5.54.0-1)
- development files for kwindowsystem
- libkf5windowsystem-doc (5.54.0-1)
- Convenience access to certain properties and features of the window manager
- libkf5windowsystem5 (5.54.0-1)
- Convenience access to certain properties and features of the window manager
- libkf5xmlgui-bin (5.54.0-1)
- User configurable main windows.
- libkf5xmlgui-data (5.54.0-1)
- User configurable main windows.
- libkf5xmlgui-dev (5.54.0-1)
- User configurable main windows.
- libkf5xmlgui-doc (5.54.0-1)
- User configurable main windows (documentation)
- libkf5xmlgui5 (5.54.0-1)
- User configurable main windows.
- libkf5xmlrpcclient-data (5.54.0-1)
- data files for kxmlrpcclient
- libkf5xmlrpcclient-dev (5.54.0-1)
- development files for kxmlrpcclient
- libkf5xmlrpcclient-doc (5.54.0-1)
- framework providing an xml rpc client library (documentation)
- libkf5xmlrpcclient5 (5.54.0-1)
- framework providing an xml rpc client library
- libkfile4 (4:4.14.38-3)
- File Selection Dialog Library for KDE Platform
- libkfilemetadata-dev (4:4.14.3-2+b1)
- library for extracting file metadata -- development files
- libkfilemetadata4 (4:4.14.3-2+b1)
- library for extracting file metadata
- libkfontinst5 (4:5.14.5.1-1)
- Tools and widgets for the desktop library
- libkfontinstui5 (4:5.14.5.1-1)
- Tools and widgets for the desktop library
- libkgantt-dev (2.6.1-1)
- library for creating Gantt diagrams (development files)
- libkgantt2 (2.6.1-1)
- library for creating Gantt diagrams (shared library)
- libkgantt2-l10n (2.6.1-1)
- library for creating Gantt diagrams (translations)
- libkholidays4 (4:4.14.10-11)
- holidays calculation library
- libkhtml5 (4:4.14.38-3)
- KHTML Web Content Rendering Engine
- libkibi-dbg (0.1.1-2)
- library for byte prefixes (debugging symbols)
- libkibi-dev (0.1.1-2)
- library for byte prefixes (development files)
- libkibi0 (0.1.1-2)
- library for byte prefixes
- libkickpass-dev (0.2.0-1)
- library used by kickpass (development files)
- libkickpass0 (0.2.0-1)
- library used by kickpass
- libkidletime4 (4:4.14.38-3)
- library to provide information about idle time
- libkido-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - development files
- libkido-gui-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - gui dev files
- libkido-gui-osg-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - gui-osg dev files
- libkido-gui-osg0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - gui-osg library
- libkido-gui0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - gui library
- libkido-optimizer-ipopt-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - ipopt optimizer dev
- libkido-optimizer-ipopt0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - ipopt optimizer lib
- libkido-optimizer-nlopt-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - optimizer dev files
- libkido-optimizer-nlopt0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - nlopt optimizer lib
- libkido-planning-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - planning dev files
- libkido-planning0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - planning library
- libkido-utils-dev (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - utils dev files
- libkido-utils0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - utils library
- libkido0.1 (0.1.0+dfsg-6+b1)
- Kinematics Dynamics and Optimization Library - main library
- libkim-api-v2-2 (2.0~beta2.gita734d1-3)
- Shared library for KIM-API
- libkim-api-v2-dev (2.0~beta2.gita734d1-3)
- Development files for KIM-API
- libkim-api-v2-doc (2.0~beta2.gita734d1-3)
- Documentation and examples for KIM-API
- libkim-api-v2-fortran (2.0~beta2.gita734d1-3)
- Fortran files for KIM-API
- (2.0~beta2.gita734d1-3)
- Header files for KIM-API
- libkim-api-v2-models (2.0~beta2.gita734d1-3)
- Models and model-drivers for KIM-API
- libkimap4 (4:4.14.10-11)
- library for handling IMAP data
- libkimproxy4 (4:4.14.38-3)
- Instant Messaging Interface Library for the KDE Platform
- libkinosearch1-perl (1.01-4+b3)
- Perl library providing search engine features
- libkio5 (4:4.14.38-3)
- Network-enabled File Management Library for the KDE Platform
- libkiokudb-backend-dbi-perl (1.23-2)
- DBI backend for KiokuDB
- libkiokudb-perl (0.57-1)
- Perl module for object persistence
- libkiokux-model-perl (0.02-1)
- simple application specific wrapper for KiokuDB
- libkitchensink-clojure (2.3.0-2)
- utility library for Puppet Labs clojure projects
- libkiten-dev (4:17.08.3-1)
- development files for the Kiten Japanese reference libraries
- libkiten5 (4:17.08.3-1)
- libraries for the Kiten Japanese reference and study aid
- libkiwix-dev (3.1.1-1)
- library of common code for Kiwix (development)
- libkiwix3 (3.1.1-1)
- library of common code for Kiwix
- libkjsapi4 (4:4.14.38-3)
- KJS API Library for the KDE Development Platform
- libkjsembed4 (4:4.14.38-3)
- library for binding JavaScript objects to QObjects
- libkkc-common (0.3.5-4)
- Japanese Kana Kanji input library - common data
- libkkc-data (0.2.7-3)
- language model data for libkkc
- libkkc-dev (0.3.5-4)
- Japanese Kana Kanji input library - development files
- libkkc-utils (0.3.5-4)
- Japanese Kana Kanji input library - testing utility
- libkkc2 (0.3.5-4)
- Japanese Kana Kanji input library
- libklatexformula4 (4.0.0-4)
- Runtime libraries for klatexformula
- libklatexformula4-dev (4.0.0-4)
- Runtime libraries for klatexformula, development files
- libklatexformula4-doc (4.0.0-4)
- Runtime libraries for klatexformula, API documentation
- libkldap4 (4:4.14.10-11)
- library for accessing LDAP
- libklibc (2.0.6-1+deb10u1)
- minimal libc subset for use with initramfs
- libklibc-dev (2.0.6-1+deb10u1)
- kernel headers used during the build of klibc
- libklu1 (1:5.4.0+dfsg-1)
- circuit simulation sparse LU factorization library
- libkmbox4 (4:4.14.10-11)
- library for handling mbox mailboxes
- libkmc-dev (2.3+dfsg-7)
- library to access KMC k-mer count files
- libkmediaplayer4 (4:4.14.38-3)
- KMediaPlayer Interface for the KDE Platform
- libkmer-dev (0~20150903+r2013-6)
- suite of tools for DNA sequence analysis (development lib)
- libkmfl-dev (11.0.101-1)
- This package provides Keyman(C) services to Linux - development
- libkmfl0 (11.0.101-1)
- This package provides Keyman(C) services to Linux - runtime
- libkmflcomp-dev (11.0.101-1)
- Development files for libkmflcomp
- libkmflcomp0 (11.0.101-1)
- KMFL (Keyboard Mapping for Linux) compiler library
- libkmime4 (4:4.14.10-11)
- library for handling MIME data
- libkml-dev (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - development files
- libkml-java (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - Java package
- libkmlbase1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmlbase
- libkmlconvenience1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmlconvenience
- libkmldom1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmldom
- libkmlengine1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmlengine
- libkmlframework-java (0.0.git20150825.a2e0518-1)
- library/framework for generating Google Earth KML
- libkmlregionator1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmlregionator
- libkmlxsd1 (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - libkmlxsd
- libkmnkbp-dev (11.0.101-2)
- Development files for Keyman keyboard processing library
- libkmnkbp0-0 (11.0.101-2)
- Keyman keyboard processing library
- libkmod-dev (26-1)
- libkmod development files
- libkmod2 (26-1)
- libkmod shared library
- libkmod2-udeb (26-1)
- libkmod shared library
- libknet-dev (1.8-2)
- kronosnet core switching implementation (developer files)
- libknet-doc (1.8-2)
- kronosnet core API documentation
- libknet1 (1.8-2)
- kronosnet core switching implementation
- libknewstuff2-4 (4:4.14.38-3)
- "Get Hot New Stuff" v2 Library for the KDE Platform
- libknewstuff3-4 (4:4.14.38-3)
- "Get Hot New Stuff" v3 Library for the KDE Platform
- libknopflerfish-osgi-framework-java (6.1.1-3)
- Java framework implementing the OSGi R6 version
- libknot-dev (2.7.6-2)
- Knot DNS shared library development files
- libknot8 (2.7.6-2)
- Authoritative domain name server (shared library)
- libknotifyconfig4 (4:4.14.38-3)
- library for configuring KDE Notifications
- libkntlm4 (4:4.14.38-3)
- NTLM Authentication Library for the KDE Platform
- libkokyu-6.4.5 (6.4.5+dfsg-1+b12)
- ACE scheduling and dispatching library
- libkokyu-dev (6.4.5+dfsg-1+b12)
- ACE scheduling and dispatching library - development files
- libkolabxml-dev (1.1.6-4)
- Development files for libkolabxml
- libkolabxml1v5 (1.1.6-4)
- Kolab XML format (shared library)
- libkomparediff2-5 (4:18.08.1-1)
- library to compare files and strings
- libkomparediff2-dev (4:18.08.1-1)
- library to compare files and strings - development files
- libkompareinterface-dev (4:18.08.1-1)
- file difference viewer - kpart interface library development files
- libkompareinterface5 (4:18.08.1-1)
- file difference viewer - kpart interface library
- libkontactinterface4a (4:4.14.10-11)
- Kontact interface library
- libkopenafs2 (1.8.2-1+deb10u1)
- AFS distributed file system runtime library (PAGs)
- libkopete-dev (4:17.08.3-2.1)
- development files for the Kopete instant messaging and chat application
- libkopete4 (4:17.08.3-2.1)
- main Kopete library
- libkparts4 (4:4.14.38-3)
- Framework for the KDE Platform Graphical Components
- libkpathsea-dev (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: path search library for TeX (development part)
- libkpathsea6 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: path search library for TeX (runtime part)
- libkpimgapi-data (18.08.3-2)
- Google API library for KDE -- data files
- libkpimgapi-dev (18.08.3-2)
- Google API library for KDE -- development files
- libkpimgapiblogger5abi1 (18.08.3-2)
- library to integrate with Google Blogger service API
- libkpimgapicalendar5 (18.08.3-2)
- library to integrate with Google Calendar service API
- libkpimgapicontacts5 (18.08.3-2)
- library to integrate with Google Contacts service API
- libkpimgapicore5abi1 (18.08.3-2)
- core library to integrate with Google service APIs
- libkpimgapidrive5 (18.08.3-2)
- library to integrate with Google Drive service API
- libkpimgapilatitude5 (18.08.3-2)
- library to integrate with Google Latitude service API
- libkpimgapimaps5 (18.08.3-2)
- library to integrate with Google Maps service API
- libkpimgapitasks5 (18.08.3-2)
- library to integrate with Google Tasks service API
- libkpimidentities4 (4:4.14.10-11)
- library for managing user identities
- libkpimimportwizard-dev (4:18.08.3-1)
- PIM data import wizard - devel files
- libkpimimportwizard5 (4:18.08.3-1)
- PIM data import wizard - library
- libkpimitinerary-data (18.08.3-2)
- library for Travel Reservation information - arch independent data
- libkpimitinerary-dev (18.08.3-2)
- library for Travel Reservation information - development files
- libkpimitinerary5 (18.08.3-2)
- library for Travel Reservation information
- libkpimkdav-data (18.08.3-2)
- DAV protocol implementation with KJobs - arch independent files
- libkpimkdav-dev (18.08.3-2)
- DAV protocol implementation with KJobs - development files
- libkpimkdav5abi2 (18.08.3-2)
- DAV protocol implementation with KJobs
- libkpimpkpass-dev (18.08.3-2)
- library for Apple Wallet Pass reader - development files
- libkpimpkpass5 (18.08.3-2)
- library for Apple Wallet Pass reader
- libkpimsmtp-data (18.08.3-1)
- library for handling SMTP data - arch independent files
- libkpimsmtp-dev (18.08.3-1)
- library for handling SMTP data - devel files
- libkpimsmtp5abi1 (18.08.3-1)
- library for handling SMTP data
- libkpimtextedit4 (4:4.14.10-11)
- library that provides a textedit with PIM-specific features
- libkpimutils4 (4:4.14.10-11)
- library for dealing with email addresses
- libkpmcore-dev (3.3.0-5)
- KDE Partition Manager Core development files
- libkpmcore7 (3.3.0-5)
- KDE Partition Manager Core
- libkprintutils4 (4:4.14.38-3)
- utility classes to deal with printing
- libkproperty-data (3.1.0-2)
- data files for KProperty
- libkproperty3-dev (3.1.0-2)
- development files for KProperty
- libkpropertycore3-4 (3.1.0-2)
- property editing framework -- core library
- libkpropertywidgets3-4 (3.1.0-2)
- property editing framework -- widgets library
- libkpty4 (4:4.14.38-3)
- Pseudo Terminal Library for the KDE Platform
- libkrad-dev (1.17-3+deb10u6) [security]
- MIT Kerberos RADIUS Library Development
- libkrad0 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - RADIUS library
- libkrb5-26-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - libraries
- libkrb5-3 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries
- libkrb5-dbg (1.17-3+deb10u6) [security]
- debugging files for MIT Kerberos
- libkrb5-dev (1.17-3+deb10u6) [security]
- headers and development libraries for MIT Kerberos
- libkrb5support0 (1.17-3+deb10u6) [security]
- MIT Kerberos runtime libraries - Support library
- libkreport-data (3.1.0-2)
- data files for KReport
- libkreport3-4 (3.1.0-2)
- report creation and generation framework -- shared library
- libkreport3-dev (3.1.0-2)
- development files for KReport
- libkreport3-plugin-barcode (3.1.0-2)
- barcode plugin for KReport
- libkreport3-plugin-maps (3.1.0-2)
- maps plugin for KReport
- libkreport3-plugin-web (3.1.0-2)
- web plugin for KReport
- libkresources4 (4:4.14.10-11)
- KDE Resource framework library
- libkrosscore4 (4:4.14.38-3)
- Kross Core Library
- libkrossui4 (4:4.14.38-3)
- Kross UI Library
- libkryo-java (2.20-6)
- object graph serialization framework for Java
- libkryo-java-doc (2.20-6)
- object graph serialization framework for Java - doc
- libksba-dev (1.3.5-2+deb10u2) [security]
- X.509 and CMS support library - development files
- libksba-mingw-w64-dev (1.3.5-2+deb10u2) [security]
- X.509 and CMS support library (Windows development)
- libksba8 (1.3.5-2+deb10u2) [security]
- X.509 and CMS support library
- libkscreenlocker5 (5.14.5-1)
- Secure lock screen architecture
- libksgrd7 (4:5.14.5-1)
- library for monitoring your system - shared library
- libksignalplotter7 (4:5.14.5-1)
- library for monitoring your system - shared library
- libktexteditor4 (4:4.14.38-3)
- KTextEditor interfaces for the KDE Platform
- libktnef4 (4:4.14.10-11)
- library for handling TNEF data
- libktoblzcheck1-dev (1.49-5)
- library for verification of account numbers and bank codes (development files)
- libktoblzcheck1v5 (1.49-5)
- library for verification of account numbers and bank codes
- libktorrent-dev (2.1-2)
- development files for the KTorrent Library
- libktorrent-l10n (2.1-2)
- localization files for the KTorrent library
- libktp-dev (17.08.3-1)
- KDE Telepathy internal library - Development headers
- libktpcommoninternals9 (17.08.3-1)
- KDE Telepathy common internal library
- libktplogger9 (17.08.3-1)
- KDE Telepathy internal logger library
- libktpmodels9 (17.08.3-1)
- KDE Telepathy data models internal library
- libktpotr9 (17.08.3-1)
- KDE Telepathy internal OTR library
- libktpwidgets9 (17.08.3-1)
- KDE Telepathy common internal widgets library
- libkunitconversion4 (4:4.14.38-3)
- Unit Conversion library for the KDE Platform
- libkutils4 (4:4.14.38-3)
- dummy transitional library
- libkvilib5 (4:5.0.0+dfsg-1)
- KVIrc (IRC client) base library
- libkwalletbackend5-5 (5.54.0-1)
- Secure and unified container for user passwords.
- libkwargs-perl (0.01-1)
- Perl module for simple and clean handling of named arguments
- libkwin4-effect-builtins1 (4:5.14.5-1)
- KDE window manager effect builtins library
- libkwineffects11 (4:5.14.5-1)
- KDE window manager effects library
- libkwinglutils11 (4:5.14.5-1)
- KDE window manager gl utils library
- libkwinxrenderutils11 (4:5.14.5-1)
- KDE window manager render utils library
- libkwnn-dev (1.1.1~a021+cvs20130302-7+b1)
- Header files and static library for kWnn (FreeWnn kserver)
- libkwnn0 (1.1.1~a021+cvs20130302-7+b1)
- FreeWnn library for kWnn (FreeWnn kserver)
- libkworkspace5-5 (4:5.14.5.1-1)
- Plasma Workspace for KF5 library
- libkxl0 (1.1.7-17)
- multimedia library for game development
- libkxl0-dev (1.1.7-17)
- development files for libkxl0
- libkxml2-java (2.3.0+ds1-2)
- small XML parser, designed for small environments
- libkxml2-java-doc (2.3.0+ds1-2)
- small XML parser, designed for small environments - docs
- libkxmlrpcclient4 (4:4.14.10-11)
- simple XML-RPC client library
- libkyocabinet-dbg
- virtueel pakket geboden door libkyotocabinet16v5-dbg
- libkyotocabinet-dev (1.2.76-4.2+b1)
- Straightforward implementation of DBM - development headers
- libkyotocabinet16-dev
- virtueel pakket geboden door libkyotocabinet-dev
- libkyotocabinet16v5 (1.2.76-4.2+b1)
- Straightforward implementation of DBM - shared library
- libkyotocabinet16v5-dbg (1.2.76-4.2+b1)
- Straightforward implementation of DBM - debugging symbols
- liblab-gamut1 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - liblab_gamut library
- liblablgl-ocaml (1:1.05-3)
- Runtime libraries for lablgl
- liblablgl-ocaml-3ywh2
- virtueel pakket geboden door liblablgl-ocaml
- liblablgl-ocaml-dev (1:1.05-3)
- OpenGL interface for Objective Caml
- liblablgl-ocaml-dev-3ywh2
- virtueel pakket geboden door liblablgl-ocaml-dev
- liblablgl-ocaml-dev-kjoc6
- virtueel pakket geboden door liblablgl-ocaml-dev
- liblablgl-ocaml-kjoc6
- virtueel pakket geboden door liblablgl-ocaml
- liblablgtk2-gl-ocaml (2.18.5+dfsg-3)
- runtime libraries for OCaml bindings to GtkGL
- liblablgtk2-gl-ocaml-dev (2.18.5+dfsg-3)
- OCaml bindings to GtkGL
- liblablgtk2-gl-ocaml-dev-wwuo2
- virtueel pakket geboden door liblablgtk2-gl-ocaml-dev
- liblablgtk2-gl-ocaml-dev-xwts6
- virtueel pakket geboden door liblablgtk2-gl-ocaml-dev
- liblablgtk2-gl-ocaml-wwuo2
- virtueel pakket geboden door liblablgtk2-gl-ocaml
- liblablgtk2-gl-ocaml-xwts6
- virtueel pakket geboden door liblablgtk2-gl-ocaml
- liblablgtk2-gnome-ocaml (2.18.5+dfsg-3)
- runtime libraries for OCaml bindings to GNOME
- liblablgtk2-gnome-ocaml-09gb4
- virtueel pakket geboden door liblablgtk2-gnome-ocaml
- liblablgtk2-gnome-ocaml-dev (2.18.5+dfsg-3)
- OCaml bindings to GNOME
- liblablgtk2-gnome-ocaml-dev-09gb4
- virtueel pakket geboden door liblablgtk2-gnome-ocaml-dev
- liblablgtk2-gnome-ocaml-dev-x61c4
- virtueel pakket geboden door liblablgtk2-gnome-ocaml-dev
- liblablgtk2-gnome-ocaml-x61c4
- virtueel pakket geboden door liblablgtk2-gnome-ocaml
- liblablgtk2-ocaml (2.18.5+dfsg-3)
- runtime libraries for OCaml bindings for GTK+ version 2
- liblablgtk2-ocaml-dev (2.18.5+dfsg-3)
- OCaml bindings to Gtk+ version 2
- liblablgtk2-ocaml-dev-e0615
- virtueel pakket geboden door liblablgtk2-ocaml-dev
- liblablgtk2-ocaml-dev-il3k6
- virtueel pakket geboden door liblablgtk2-ocaml-dev
- liblablgtk2-ocaml-doc (2.18.5+dfsg-3)
- OCaml bindings to Gtk+ version 2 (documentation)
- liblablgtk2-ocaml-e0615
- virtueel pakket geboden door liblablgtk2-ocaml
- liblablgtk2-ocaml-il3k6
- virtueel pakket geboden door liblablgtk2-ocaml
- liblablgtk3-ocaml (3.0~beta3-1)
- runtime libraries for OCaml bindings for GTK+ version 3
- liblablgtk3-ocaml-9tja7
- virtueel pakket geboden door liblablgtk3-ocaml
- liblablgtk3-ocaml-dev (3.0~beta3-1)
- OCaml bindings to Gtk+ version 3
- liblablgtk3-ocaml-dev-9tja7
- virtueel pakket geboden door liblablgtk3-ocaml-dev
- liblablgtk3-ocaml-dev-pyp79
- virtueel pakket geboden door liblablgtk3-ocaml-dev
- liblablgtk3-ocaml-doc (3.0~beta3-1)
- OCaml bindings to Gtk+ version 3 (documentation)
- liblablgtk3-ocaml-pyp79
- virtueel pakket geboden door liblablgtk3-ocaml
- liblablgtkmathview-ocaml (0.7.8-7)
- OCaml bindings for libgtkmathview (runtime)
- liblablgtkmathview-ocaml-dev (0.7.8-7)
- OCaml bindings for libgtkmathview, a GTK widget to render MathML
- liblablgtkmathview-ocaml-dev-k2412
- virtueel pakket geboden door liblablgtkmathview-ocaml-dev
- liblablgtkmathview-ocaml-dev-qos07
- virtueel pakket geboden door liblablgtkmathview-ocaml-dev
- liblablgtkmathview-ocaml-k2412
- virtueel pakket geboden door liblablgtkmathview-ocaml
- liblablgtkmathview-ocaml-qos07
- virtueel pakket geboden door liblablgtkmathview-ocaml
- liblablgtksourceview2-ocaml (2.18.5+dfsg-3)
- OCaml bindings for libgtksourceview2 (runtime)
- liblablgtksourceview2-ocaml-dev (2.18.5+dfsg-3)
- OCaml bindings for libgtksourceview2, a source editor GTK+ widget
- liblablgtksourceview2-ocaml-dev-l26k1
- virtueel pakket geboden door liblablgtksourceview2-ocaml-dev
- liblablgtksourceview2-ocaml-dev-rynx6
- virtueel pakket geboden door liblablgtksourceview2-ocaml-dev
- liblablgtksourceview2-ocaml-l26k1
- virtueel pakket geboden door liblablgtksourceview2-ocaml
- liblablgtksourceview2-ocaml-rynx6
- virtueel pakket geboden door liblablgtksourceview2-ocaml
- liblablgtksourceview3-ocaml (3.0~beta3-1)
- OCaml bindings for libgtksourceview3 (runtime)
- liblablgtksourceview3-ocaml-6jmt8
- virtueel pakket geboden door liblablgtksourceview3-ocaml
- liblablgtksourceview3-ocaml-dev (3.0~beta3-1)
- OCaml bindings for libgtksourceview3, a source editor GTK+ widget
- liblablgtksourceview3-ocaml-dev-6jmt8
- virtueel pakket geboden door liblablgtksourceview3-ocaml-dev
- liblablgtksourceview3-ocaml-dev-mwvv8
- virtueel pakket geboden door liblablgtksourceview3-ocaml-dev
- liblablgtksourceview3-ocaml-mwvv8
- virtueel pakket geboden door liblablgtksourceview3-ocaml
- liblabltk-ocaml (8.06.2+dfsg-1+b1 [armhf], 8.06.2+dfsg-1 [amd64, arm64, i386])
- OCaml bindings to Tcl/Tk (runtime libraries)
- liblabltk-ocaml-dev (8.06.2+dfsg-1+b1 [armhf], 8.06.2+dfsg-1 [amd64, arm64, i386])
- OCaml bindings to Tcl/Tk (dev. libraries)
- liblabltk-ocaml-dev-l9fi9
- virtueel pakket geboden door liblabltk-ocaml-dev
- liblabltk-ocaml-dev-pc510
- virtueel pakket geboden door liblabltk-ocaml-dev
- liblabltk-ocaml-l9fi9
- virtueel pakket geboden door liblabltk-ocaml
- liblabltk-ocaml-pc510
- virtueel pakket geboden door liblabltk-ocaml
- libladr-dev (0.0.200911a-2.1+b2)
- the LADR deduction library, development files
- libladr4 (0.0.200911a-2.1+b2)
- the LADR deduction library
- libladspa-ocaml (0.1.4-1.1+b3 [armhf], 0.1.4-1.1+b2 [amd64, arm64, i386])
- OCaml bindings for LADSPA -- runtime files
- libladspa-ocaml-3zni6
- virtueel pakket geboden door libladspa-ocaml
- libladspa-ocaml-dev (0.1.4-1.1+b3 [armhf], 0.1.4-1.1+b2 [amd64, arm64, i386])
- OCaml bindings for LADSPA -- development files
- libladspa-ocaml-dev-3zni6
- virtueel pakket geboden door libladspa-ocaml-dev
- libladspa-ocaml-dev-ruzt3
- virtueel pakket geboden door libladspa-ocaml-dev
- libladspa-ocaml-ruzt3
- virtueel pakket geboden door libladspa-ocaml
- liblaf-plugin-java (7.3+dfsg3-4)
- Support for third-party components in Java look-and-feel libraries
- liblaf-plugin-java-doc (7.3+dfsg3-4)
- Support for third-party components in Java look-and-feel libraries (doc)
- liblaf-widget-java (7.3+dfsg3-4)
- Java widget toolbox for other look-and-feel libraries
- liblaf-widget-java-doc (7.3+dfsg3-4)
- Java widget toolbox for look-and-feel libraries - documentation
- liblam4 (7.1.4-6)
- Shared libraries used by LAM parallel programs
- liblambda-term-ocaml (1.10.1-2+b1)
- terminal manipulation library for OCaml (runtime)
- liblambda-term-ocaml-1gp14
- virtueel pakket geboden door liblambda-term-ocaml
- liblambda-term-ocaml-dev (1.10.1-2+b1)
- terminal manipulation library for OCaml (development tools)
- liblambda-term-ocaml-dev-1gp14
- virtueel pakket geboden door liblambda-term-ocaml-dev
- liblambda-term-ocaml-dev-kpeb9
- virtueel pakket geboden door liblambda-term-ocaml-dev
- liblambda-term-ocaml-kpeb9
- virtueel pakket geboden door liblambda-term-ocaml
- liblangtag-common (0.6.2-1)
- library to access tags for identifying languages -- data
- liblangtag-dev (0.6.2-1)
- library to access tags for identifying languages -- development
- liblangtag-doc (0.6.2-1)
- library to access tags for identifying languages -- documentation
- liblangtag-gobject0 (0.6.2-1)
- library to access tags for identifying languages
- liblangtag1 (0.6.2-1)
- library to access tags for identifying languages
- liblapack-dev (3.8.0-2)
- Library of linear algebra routines 3 - static version
- liblapack-doc (3.8.0-2)
- Library of linear algebra routines 3 - documentation
- liblapack-doc-man (3.8.0-2)
- Transitional package for BLAS and LAPACK manpages
- liblapack-pic (3.8.0-2)
- Library of linear algebra routines 3 - static PIC version
- liblapack-test (3.8.0-2)
- Library of linear algebra routines 3 - testing programs
- liblapack.so
- virtueel pakket geboden door libatlas-base-dev, libopenblas-dev, liblapack-dev
- liblapack.so.3
- virtueel pakket geboden door liblapack3, libopenblas-base, libatlas3-base
- liblapack3 (3.8.0-2)
- Library of linear algebra routines 3 - shared version
- liblapacke (3.8.0-2)
- Library of linear algebra routines 3 - C lib shared version
- liblapacke-dev (3.8.0-2)
- Library of linear algebra routines 3 - Headers
- liblapacke.so.3
- virtueel pakket geboden door liblapacke
- liblas-bin (1.8.1-10)
- ASPRS LiDAR data translation toolset
- liblas-c-dev (1.8.1-10)
- ASPRS LiDAR data translation library - C development files
- liblas-c3 (1.8.1-10)
- ASPRS LiDAR data translation library - C version
- liblas-dev (1.8.1-10)
- ASPRS LiDAR data translation library - C++ development files
- liblas3 (1.8.1-10)
- ASPRS LiDAR data translation library - C++ version
- liblasclasses1 (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - liblasClasses
- liblaser-geometry-dev (1.6.4-6+b1)
- Robot OS laser geometry package - development files
- liblaser-geometry0d (1.6.4-6+b1)
- Robot OS laser geometry package
- liblash-compat-1debian0 (1+dfsg0-5.1)
- LASH compatibility library
- liblash-compat-dev (1+dfsg0-5.1)
- LASH compatibility library (development files)
- liblasi-dev (1.1.0-2)
- development files and documentation for the LASi library
- liblasi0 (1.1.0-2)
- creation of PostScript documents containing Unicode symbols
- liblasso-dev
- virtueel pakket geboden door liblasso3-dev
- liblasso-perl (2.6.0-2+deb10u1)
- Library for Liberty Alliance and SAML protocols - Perl bindings
- liblasso0-python2.3
- virtueel pakket geboden door python-lasso
- liblasso3 (2.6.0-2+deb10u1)
- Library for Liberty Alliance and SAML protocols - runtime library
- liblasso3-dev (2.6.0-2+deb10u1)
- Library for Liberty Alliance and SAML protocols - development kit
- liblastfm-dbg (1.0.9-1+b11)
- Debugging symbols for the Last.fm web services library (Qt4 build)
- liblastfm-dev (1.0.9-1+b11)
- Last.fm web services library (Qt4 build) - development files
- liblastfm-fingerprint1 (1.0.9-1+b11)
- Last.fm fingerprinting library (Qt4 build)
- liblastfm-fingerprint1-dbg (1.0.9-1+b11)
- Debugging symbols for the fingerprint library (Qt4 build)
- liblastfm-fingerprint5-1 (1.0.9-1+b11)
- Last.fm fingerprinting library (Qt5 build)
- liblastfm-fingerprint5-dbg (1.0.9-1+b11)
- Debugging symbols for the fingerprint library (Qt5 build)
- liblastfm-java (1:0.1.0-2)
- last.fm API bindings for Java
- liblastfm-ocaml-dev (0.3.0-4+b7 [armhf], 0.3.0-4+b6 [amd64, arm64, i386])
- OCaml interface to audioscrobbler and lastfm radios
- liblastfm-ocaml-dev-aih10
- virtueel pakket geboden door liblastfm-ocaml-dev
- liblastfm-ocaml-dev-tu0v0
- virtueel pakket geboden door liblastfm-ocaml-dev
- liblastfm1 (1.0.9-1+b11)
- Last.fm web services library (Qt4 build)
- liblastfm5-1 (1.0.9-1+b11)
- Last.fm web services library (Qt5 build)
- liblastfm5-dbg (1.0.9-1+b11)
- Debugging symbols for the Last.fm web services library (Qt5 build)
- liblastfm5-dev (1.0.9-1+b11)
- Last.fm web services library (Qt5 build) - development files
- liblatex-decode-perl (0.05-1)
- Perl module to convert LaTeX input to Unicode
- liblatex-driver-perl (0.300.2-2)
- driver module that encapsulates the details of formatting a LaTeX document
- liblatex-encode-perl (0.092.0-1)
- Perl module to encode characters for LaTeX formatting
- liblatex-table-perl (1.0.6-3)
- Perl extension for the automatic generation of LaTeX tables
- liblatex-tom-perl (1.03-1)
- module for parsing, analyzing and manipulating LaTeX documents
- liblavfile-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- liblavjpeg-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- liblavplay-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- liblaxjson-dev (1.0.5-1+b2)
- relaxed streaming JSON parser library (development files)
- liblaxjson1 (1.0.5-1+b2)
- relaxed streaming JSON parser library
- liblayout-java (0.2.10-3)
- Java layouting framework
- liblayout-java-doc (0.2.10-3)
- Java layouting framework -- documentation
- liblayout-manager-perl (0.35-1)
- module for managing layout of graphical components
- liblazymap-clojure (3.1.1-1)
- transparent wrapper around Clojure's map types
- liblbfgs-dev (1.10-6+b11)
- L-BFGS solver for unconstrained nonlinear optimization problems
- liblbfgs0 (1.10-6+b11)
- L-BFGS solver for unconstrained nonlinear optimization problems
- liblbfgs0-dbg (1.10-6+b11)
- L-BFGS solver for unconstrained nonlinear optimization problems
- liblbfgsb-dev (3.0+dfsg.3-3+b1)
- Limited-memory quasi-Newton bound-constrained optimization (static library)
- liblbfgsb-doc (3.0+dfsg.3-3)
- Limited-memory quasi-Newton bound-constrained optimization (documentation)
- liblbfgsb-examples (3.0+dfsg.3-3+b1)
- Limited-memory quasi-Newton bound-constrained optimization (examples)
- liblbfgsb0 (3.0+dfsg.3-3+b1)
- Limited-memory quasi-Newton bound-constrained optimization
- liblcas-dev (1.3.19-2+b2)
- Local Centre Authorization Service development files
- liblcas0 (1.3.19-2+b2)
- Local Centre Authorization Service runtime
- liblcgdm-dev (1.10.0-2+b3)
- LCG Data Management common development files
- liblcgdm1 (1.10.0-2+b3)
- LHC Computing Grid Data Management common libraries
- liblchown-perl (1.01-3+b5)
- Perl interface to the lchown() system call
- liblcm-bin (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcm-dev (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcm-doc (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcm-java (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcm-lua (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcm1 (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- liblcmaps-dev (1.6.6-2)
- LCMAPS development libraries
- liblcmaps-without-gsi-dev (1.6.6-2)
- LCMAPS development libraries (Without GSI)
- liblcmaps-without-gsi0 (1.6.6-2)
- Grid mapping service without GSI
- liblcmaps0 (1.6.6-2)
- Grid (X.509) and VOMS credentials to local account mapping service
- liblcms-dev
- virtueel pakket geboden door liblcms2-dev
- liblcms2-2 (2.9-3)
- Little CMS 2 color management library
- liblcms2-dev (2.9-3)
- Little CMS 2 color management library development headers
- liblcms2-utils (2.9-3)
- Little CMS 2 color management library (utilities)
- libldap-2.4-2 (2.4.47+dfsg-3+deb10u7)
- OpenLDAP bibliotheken
- libldap-common (2.4.47+dfsg-3+deb10u7)
- OpenLDAP common files for libraries
- libldap-dev
- virtueel pakket geboden door libldap2-dev
- libldap-java (4.20.0+dfsg1-3)
- Netscape Directory SDK for Java
- libldap-ocaml-dev (2.1.8-10+b7 [armhf], 2.1.8-10+b6 [amd64, arm64, i386])
- LDAP bindings for OCaml
- libldap-ocaml-dev-xpyq9
- virtueel pakket geboden door libldap-ocaml-dev
- libldap-ocaml-dev-zdc48
- virtueel pakket geboden door libldap-ocaml-dev
- libldap2-dev (2.4.47+dfsg-3+deb10u7)
- OpenLDAP development libraries
- libldb-dev (2:1.5.1+really1.4.6-3+deb10u1)
- LDAP-like embedded database - development files
- libldb1 (2:1.5.1+really1.4.6-3+deb10u1)
- LDAP-like embedded database - shared library
- libldl2 (1:5.4.0+dfsg-1)
- simple LDL' factorization library for sparse matrices
- libldm-1.0-0 (0.2.4-2)
- library for managing Microsoft Windows dynamic disks
- libldm-dev (0.2.4-2)
- library for managing Microsoft Windows dynamic disks
- libldns-dev (1.7.0-4)
- ldns library for DNS programming
- libldns2 (1.7.0-4)
- ldns library for DNS programming
- libleatherman-dev (1.4.2+dfsg-2+b1)
- collection of C++ and CMake utility libraries - development files
- libleatherman1.4.2 (1.4.2+dfsg-2+b1)
- collection of C++ and CMake utility libraries - shared libraries
- libledit-ocaml-dev (2.04-1)
- OCaml line editor library
- libledit-ocaml-dev-5ap22
- virtueel pakket geboden door libledit-ocaml-dev
- libledit-ocaml-dev-8elh2
- virtueel pakket geboden door libledit-ocaml-dev
- liblemon-dev (1.3.1+dfsg-2)
- Library for Efficient Modeling and Optimization in Networks (development)
- liblemon-doc (1.3.1+dfsg-2)
- Library for Efficient Modeling and Optimization in Networks (doc)
- liblemon-utils (1.3.1+dfsg-2)
- Library for Efficient Modeling and Optimization in Networks (utilities)
- liblemon1.3.1 (1.3.1+dfsg-2)
- Library for Efficient Modeling and Optimization in Networks
- liblemonldap-ng-common-perl (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG common files
- liblemonldap-ng-handler-perl (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG handler common libraries
- liblemonldap-ng-manager-perl (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG manager part
- liblemonldap-ng-portal-perl (2.0.2+ds-7+deb10u10) [security]
- Lemonldap::NG authentication portal part
- liblensfun-bin (0.3.2-4)
- Lens Correction library - Utilities
- liblensfun-data-v1 (0.3.2-4)
- Lens Correction library - Data
- liblensfun-dev (0.3.2-4)
- Lens Correction library - Development files
- liblensfun-doc (0.3.2-4)
- Lens Correction library - Documentation
- liblensfun1 (0.3.2-4)
- Lens Correction library - Runtime files
- liblept5 (1.76.0-1+deb10u2) [security]
- image processing library
- libleptonica-dev (1.76.0-1+deb10u2) [security]
- image processing library
- libleveldb-api-java (0.7-2)
- High level Java API for LevelDB
- libleveldb-cil-dev (1.9.1-1.2)
- portable C# binding for the C API of the LevelDB library - development files
- libleveldb-dev (1.20-2.1)
- fast key-value storage library (development files)
- libleveldb-java (0.7-2)
- Port of LevelDB to Java
- libleveldb1.2-cil (1.9.1-1.2)
- portable C# binding for the C API of the LevelDB library
- libleveldb1d (1.20-2.1)
- fast key-value storage library
- liblexical-accessor-perl (0.009-1)
- true private attributes for Moose/Moo/Mouse
- liblexical-failure-perl (0.000007-2)
- Perl module for user-selectable lexically-scoped failure signaling
- liblexical-persistence-perl (1.023-1)
- module for accessing persistent data through lexical variables
- liblexical-sealrequirehints-perl (0.011-2+b1)
- Perl module to prevent the leakage of lexical hints
- liblexical-underscore-perl (0.004-1)
- access your caller's lexical underscore
- liblexical-var-perl (0.009-3+b4)
- Perl module for using static variables without namespace pollution
- liblfc-dev (1.10.0-2+b3)
- LFC development libraries and header files
- liblfc-perl (1.10.0-2+b3)
- LCG File Catalog (LFC) perl bindings
- liblfc1 (1.10.0-2+b3)
- LCG File Catalog (LFC) libraries
- liblfunction-dev (1.23+dfsg-11)
- development files for liblfunction
- liblfunction0 (1.23+dfsg-11)
- library for calculating with L-functions
- liblgooddatepicker-java (8.3.0+ds-1)
- Java Swing Date Picker library.
- liblgooddatepicker-java-doc (8.3.0+ds-1)
- Documentation for the LGoodDatePicker library.
- liblhasa-dev (0.3.1-3)
- lzh decompression library - development files
- liblhasa0 (0.3.1-3)
- lzh archive decompression library
- liblib-abs-perl (0.93-1)
- module to make relative path absolute to caller
- liblibrary-callnumber-lc-perl (0.23-1)
- utility functions to deal with Library-of-Congress call numbers
- liblibreofficekitgtk (1:6.1.5-3+deb10u11) [security]
- GTK3 widget wrapping LibreOffice functionality
- liblief-dev (0.9.0-1+b11)
- Library to Instrument Executable Formats -- development files
- liblief0 (0.9.0-1+b11)
- Library to Instrument Executable Formats
- libliggghts-dev (3.8.0+repack1-4)
- Open Source DEM Particle Simulation Software. Development files
- libliggghts3 (3.8.0+repack1-4)
- Open Source DEM Particle Simulation Software. Shared library
- liblightcouch-java (0.0.6-1)
- LightCouch - CouchDB Java API
- liblightdm-gobject-1-0 (1.26.0-4)
- simple display manager (GObject library)
- liblightdm-gobject-dev (1.26.0-4)
- simple display manager (GObject development files)
- liblightdm-qt-3-0 (1.26.0-4)
- simple display manager (Qt library)
- liblightdm-qt-dev (1.26.0-4)
- simple display manager (Qt development files)
- liblightdm-qt5-3-0 (1.26.0-4)
- LightDM Qt 5 client library
- liblightdm-qt5-3-dev (1.26.0-4)
- LightDM Qt 5 client library (development files)
- liblightify-dev (0~git20160911-1)
- library to control OSRAM Lightify (development files)
- liblightify-doc (0~git20160911-1)
- library to control OSRAM Lightify -- API documentation
- liblightify0 (0~git20160911-1)
- library to control OSRAM Lightify
- liblightvalue-generator-java (0.8.1-1)
- Generator of lightweight Java value/model classes
- liblightvalue-gradle-plugin-java (0.8.1-1)
- Gradle plugin for LightValue generator
- liblilv-0-0 (0.24.2~dfsg0-2)
- library for simple use of LV2 plugins
- liblilv-dev (0.24.2~dfsg0-2)
- library for simple use of LV2 plugins (development files)
- liblimereg-dev (1.4.1-4+b1)
- Library for lightweight image registration [development files]
- liblimereg1 (1.4.1-4+b1)
- Library for lightweight image registration
- liblimesuite-dev (18.06.0+dfsg-1+b1)
- library to access LMS7 transceiver based hardware (development)
- liblimesuite-doc (18.06.0+dfsg-1)
- liblimesuite development documentation
- liblimesuite18.06-1 (18.06.0+dfsg-1+b1)
- library to access LMS7 transceiver based hardware
- liblinbox-1.5.2-0 (1.5.2-2)
- Library for exact linear algebra - shared library
- liblinbox-dev (1.5.2-2)
- Library for exact linear algebra - development files
- liblinbox-doc (1.5.2-2)
- LinBox Documentation
- liblinboxsage-1.5.2-0 (1.5.2-2)
- SAGE interface of LinBox - shared library
- liblinboxsage-dev (1.5.2-2)
- SAGE interface of LinBox - development files
- liblinear-dev (2.1.0+dfsg-4)
- Development libraries and header files for LIBLINEAR
- liblinear-tools (2.1.0+dfsg-4)
- Standalone applications for LIBLINEAR
- liblinear3 (2.1.0+dfsg-4)
- Library for Large Linear Classification
- liblingua-en-fathom-perl (1.22-1)
- Perl module for measuring readability of English text
- liblingua-en-findnumber-perl (1.32-1)
- module for locating (written) numbers in English text
- liblingua-en-hyphenate-perl
- virtueel pakket geboden door libcoy-perl
- liblingua-en-inflect-number-perl (1.12-1)
- Perl module to force number of words to singular or plural
- liblingua-en-inflect-perl (1.903-1)
- Perl module to pluralize English words
- liblingua-en-inflect-phrase-perl (0.20-1)
- module for inflecting short English phrases
- liblingua-en-namecase-perl (1.15-1)
- Perl module to fix the case of people's names
- liblingua-en-nameparse-perl (1.38-1)
- module for parsing a person's name in free text
- liblingua-en-number-isordinal-perl (0.05-1)
- module for detecting English ordinal numbers
- liblingua-en-numbers-ordinate-perl (1.04-1)
- Perl module to convert from cardinal numbers to ordinal numbers
- liblingua-en-sentence-perl (0.31-1)
- Perl module to split text into sentences
- liblingua-en-syllable-perl (0.300-1)
- module to estimate syllable count in English words
- liblingua-en-tagger-perl (0.30-1)
- part-of-speech tagger for English natural language processing
- liblingua-en-words2nums-perl (0.19-2)
- convert English text to numbers
- liblingua-es-numeros-perl (0.09-2)
- Perl module to convert numbers to Spanish text
- liblingua-ga-gramadoir-perl (0.7-4)
- Irish language grammar checker
- liblingua-identify-perl (0.56-1)
- language identification module for perl
- liblingua-ispell-perl (0.07-6)
- Perl module encapsulating access to the ispell program
- liblingua-preferred-perl (0.2.4-4)
- Perl module which allows language content negotiation
- liblingua-pt-stemmer-perl (0.02-1)
- Portuguese language stemming
- liblingua-sentence-perl (1.100-1)
- Perl extension for breaking text paragraphs into sentences
- liblingua-stem-fr-perl
- virtueel pakket geboden door liblingua-stem-perl
- liblingua-stem-it-perl
- virtueel pakket geboden door liblingua-stem-perl
- liblingua-stem-perl (0.84-1)
- Stemming of words
- liblingua-stem-ru-perl
- virtueel pakket geboden door liblingua-stem-perl
- liblingua-stem-snowball-da-perl (1.01-5)
- Porters stemming algorithm for Denmark
- liblingua-stem-snowball-perl (0.952-3+b4)
- Perl interface to Snowball stemmers
- liblingua-stopwords-perl (0.09-1)
- Stop words for several languages
- liblingua-translit-perl (0.28-1)
- Perl module that transliterates text between writing systems
- liblink-grammar-dev (5.5.1-6)
- Carnegie Mellon University's link grammar parser (development headers)
- liblink-grammar-java (5.5.1-6)
- Carnegie Mellon University's link grammar parser (JNI library)
- liblink-grammar5 (5.5.1-6)
- Carnegie Mellon University's link grammar parser (libraries)
- liblinphone++9 (3.12.0-3)
- Linphone's shared library part (supporting the SIP protocol)
- liblinphone-dev (3.12.0-3)
- Linphone web phone's library - development files
- liblinphone9 (3.12.0-3)
- Linphone's shared library part (supporting the SIP protocol)
- liblinux-acl-perl (0.05-1+b1)
- Perl extension for reading and setting Access Control Lists for files
- liblinux-distribution-packages-perl (0.05-3)
- list all packages on various Linux distributions
- liblinux-distribution-perl (0.23-1)
- module for detecting the running Linux distribution
- liblinux-dvb-perl (1.03-2+b1)
- interface to (some parts of) the Linux DVB API
- liblinux-epoll-perl (0.016-1+b1)
- perl epoll module for O(1) multiplexing
- liblinux-fd-perl (0.011-2+b1)
- Linux specific special filehandles
- liblinux-inotify2-perl (1:2.1-1)
- scalable directory and file change notification
- liblinux-io-prio-perl (0.03-2)
- interface to Linux ioprio_set(2) and ioprio_get(2)
- liblinux-kernelsort-perl (0.01-3)
- Perl module for sorting Linux Kernel version strings
- liblinux-lvm-perl (0.17-2)
- Perl module to access LVM status information
- liblinux-pid-perl (0.04-1+b6)
- wrapper around the getpid() and getppid() C functions
- liblinux-prctl-perl (1.6.0-3+b4)
- Perl extension for controlling process characteristics
- liblinux-usermod-perl (0.69-2)
- module to modify user and group accounts
- liblip-dev (2.0.0-1.2)
- reliable interpolation of multivariate scattered data
- liblip2 (2.0.0-1.2)
- reliable interpolation of multivariate scattered data
- libliquid-dev (1.3.1-1)
- signal processing library for software defined radio (development files)
- libliquid1d (1.3.1-1)
- signal processing library for software defined radio
- liblirc-client0 (0.10.1-6.3~deb10u1)
- infra-red remote control support - client library
- liblirc-dev (0.10.1-6.3~deb10u1)
- Infra-red remote control support - development files
- liblirc0 (0.10.1-6.3~deb10u1)
- Infra-red remote control support - Run-time libraries
- liblircclient-dev (0.10.1-6.3~deb10u1)
- Transitional placeholder for obsoleted liblircclient-dev
- liblircclient-dev
- virtueel pakket geboden door liblirc-dev
- liblircclient0 (0.10.1-6.3~deb10u1)
- Transitional placeholder for obsoleted liblircclient0
- liblist-allutils-perl (0.15-1)
- Perl wrapper for modules List::Util and List::MoreUtils
- liblist-compare-perl (0.53-1)
- Perl module for comparing elements of two or more lists
- liblist-maker-perl (0.005-2)
- Perl module to generate more sophisticated lists than just $a..$b
- liblist-moreutils-perl (0.416-1+b4)
- Perl module with additional list functions not found in List::Util
- liblist-objects-withutils-perl (2.028003-1)
- list objects, kitchen sink included
- liblist-rotation-cycle-perl (1.009-1)
- module that cycles through a list of values
- liblist-someutils-perl (0.56-1)
- module that provides the stuff missing in List::Util
- liblist-utilsby-perl (0.11-1)
- higher-order list utility functions
- liblist-utilsby-xs-perl (0.05-1)
- XS implementation of List::UtilsBy
- liblistserialsj-dev (1.4.0-1)
- Development files for the listSerials library
- liblistserialsj1 (1.4.0-1)
- Multiplatform program to list serial ports
- liblitl-dev (0.1.9-4)
- Lightweight Trace Library - development files
- liblitl0 (0.1.9-4)
- Lightweight Trace Library - shared library
- liblivejournal-perl (1.3-6)
- Perl implementation of the LiveJournal protocol
- liblivemedia-dev (2018.11.26-1.1)
- multimedia RTSP streaming library (development files)
- liblivemedia64 (2018.11.26-1.1)
- multimedia RTSP streaming library
- liblivetribe-jsr223-java (2.0.6-2)
- Implementation of JSR 223: Scripting for Java
- liblizzie-java (0.6+dfsg1-4)
- GUI for analyzing Go games in real time using Leela Zero
- liblizzie-java-doc (0.6+dfsg1-4)
- Documentation for liblizzie-java
- liblld-11 (1:11.0.1-2~deb10u1)
- LLVM-based linker, library
- liblld-11-dev (1:11.0.1-2~deb10u1)
- LLVM-based linker, header files
- liblld-13 (1:13.0.1-6~deb10u4)
- LLVM-based linker, library
- liblld-13-dev (1:13.0.1-6~deb10u4)
- LLVM-based linker, header files
- liblld-6.0 (1:6.0.1-10)
- LLVM-based linker, library
- liblld-6.0-dev (1:6.0.1-10)
- LLVM-based linker, header files
- liblld-7 (1:7.0.1-8+deb10u2)
- LLVM-based linker, library
- liblld-7-dev (1:7.0.1-8+deb10u2)
- LLVM-based linker, header files
- liblldb-11 (1:11.0.1-2~deb10u1)
- Next generation, high-performance debugger, library
- liblldb-11-dev (1:11.0.1-2~deb10u1)
- Next generation, high-performance debugger, header files
- liblldb-13 (1:13.0.1-6~deb10u4)
- Next generation, high-performance debugger, library
- liblldb-13-dev (1:13.0.1-6~deb10u4)
- Next generation, high-performance debugger, header files
- liblldb-6.0 (1:6.0.1-10)
- Next generation, high-performance debugger, library
- liblldb-6.0-dev (1:6.0.1-10)
- Next generation, high-performance debugger, header files
- liblldb-7 (1:7.0.1-8+deb10u2)
- Next generation, high-performance debugger, library
- liblldb-7-dev (1:7.0.1-8+deb10u2)
- Next generation, high-performance debugger, header files
- liblldb-dev (1:7.0-47)
- Next generation, high-performance debugger, header files
- liblldpctl-dev (1.0.3-1+deb10u2) [security]
- implementation of IEEE 802.1ab (LLDP) - development files
- libllvm-11-ocaml-dev (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, OCaml bindings
- libllvm-11-ocaml-dev-aia94
- virtueel pakket geboden door libllvm-11-ocaml-dev
- libllvm-11-ocaml-dev-zmn98
- virtueel pakket geboden door libllvm-11-ocaml-dev
- libllvm-13-ocaml-dev (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, OCaml bindings
- libllvm-13-ocaml-dev-c9998
- virtueel pakket geboden door libllvm-13-ocaml-dev
- libllvm-13-ocaml-dev-w3nc1
- virtueel pakket geboden door libllvm-13-ocaml-dev
- libllvm-7-ocaml-dev (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, OCaml bindings
- libllvm-7-ocaml-dev-2d3n2
- virtueel pakket geboden door libllvm-7-ocaml-dev
- libllvm-7-ocaml-dev-axrc8
- virtueel pakket geboden door libllvm-7-ocaml-dev
- libllvm-7-ocaml-dev-p99b5
- virtueel pakket geboden door libllvm-7-ocaml-dev
- libllvm-ocaml-dev (1:7.0-47)
- Low-Level Virtual Machine (LLVM), bindings for OCaml
- libllvm-x.y-ocaml-dev
- virtueel pakket geboden door libllvm-7-ocaml-dev, libllvm-11-ocaml-dev, libllvm-13-ocaml-dev
- libllvm11 (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, runtime library
- libllvm13 (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, runtime library
- libllvm6.0 (1:6.0.1-10)
- Modular compiler and toolchain technologies, runtime library
- libllvm7 (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, runtime library
- liblmdb++-dev (0.9.14.1+git20160228.0b43ca8-1)
- C++ wrapper for LMDB
- liblmdb-dev (0.9.22-1)
- Lightning Memory-Mapped Database development files
- liblmdb0 (0.9.22-1)
- Lightning Memory-Mapped Database shared library
- liblnk-dev (20181227-1)
- Windows Shortcut File format access library -- development files
- liblnk-utils (20181227-1)
- Windows Shortcut File format access library -- Utilities
- liblnk1 (20181227-1)
- Windows Shortcut File format access library
- liblo-dev (0.29-1+b11)
- Lightweight OSC library -- development files
- liblo-ocaml (0.1.0-1+b4 [amd64, armhf, i386], 0.1.0-1+b2 [arm64])
- OCaml interface to the lo library -- runtime files
- liblo-ocaml-bwhz5
- virtueel pakket geboden door liblo-ocaml
- liblo-ocaml-dev (0.1.0-1+b4 [amd64, armhf, i386], 0.1.0-1+b2 [arm64])
- OCaml interface to the lo library -- developpement files
- liblo-ocaml-dev-bwhz5
- virtueel pakket geboden door liblo-ocaml-dev
- liblo-ocaml-dev-nk9p6
- virtueel pakket geboden door liblo-ocaml-dev
- liblo-ocaml-nk9p6
- virtueel pakket geboden door liblo-ocaml
- liblo-tools (0.29-1+b11)
- Lightweight OSC library
- liblo0-dev
- virtueel pakket geboden door liblo-dev
- liblo10k1-0 (1.1.7-1)
- ALSA emu10k1/2 patch-loader library
- liblo10k1-dev (1.1.7-1)
- ALSA emu10k1/2 patch-loader library development files
- liblo7 (0.29-1+b11)
- Lightweight OSC library
- libload-perl (0.23-1)
- pragma for controlling when subroutines will be loaded
- libloader-java (1.1.6.dfsg-4)
- Java general purpose resource loading framework
- libloader-java-doc (1.1.6.dfsg-4)
- Java general purpose resource loading framework -- documentation
- libloadpng4-dev (2:4.4.2-13)
- development files for the PNG loading addon for Allegro 4
- libloadpng4.4 (2:4.4.2-13)
- PNG loading addon for Allegro 4
- liblocal-lib-perl (2.000024-1)
- module to use a local path for Perl modules
- liblocale-codes-perl (3.60-1)
- collection of Perl modules for handling of locale codes
- liblocale-currency-format-perl (1.35-1)
- Perl functions for formatting monetary values
- liblocale-gettext-perl (1.07-3+b4)
- module using libc functions for internationalization in Perl
- liblocale-hebrew-perl (1.05-1+b6)
- module providing bidirectional Hebrew support
- liblocale-maketext-fuzzy-perl (0.11-2)
- Maketext from already interpolated strings
- liblocale-maketext-gettext-perl (1.28-2)
- Perl module bridging gettext and Maketext localization frameworks
- liblocale-maketext-lexicon-perl (1.00-1)
- lexicon-handling backends for Locale::Maketext
- liblocale-maketext-perl (= 1.29)
- virtueel pakket geboden door perl
- liblocale-maketext-simple-perl (= 0.21.01)
- virtueel pakket geboden door perl
- liblocale-msgfmt-perl (0.15-2)
- pure Perl reimplementation of msgfmt
- liblocale-po-perl (0.27-2)
- Perl module for manipulating .po entries from GNU gettext
- liblocale-subcountry-perl (2.04-1)
- module for converting state/province names to/from code
- liblocale-us-perl (3.04-1)
- module for United States state identification
- liblocales-perl (0.34+ds-1)
- object-oriented access to localized CLDR information
- liblocalizer-java (1.13-3)
- type-safe access to message resources
- liblocalizer-java-doc (1.13-3)
- Documentation for type-safe access to message resources
- liblockfile-bin (1.14-1.1)
- support binaries for and cli utilities based on liblockfile
- liblockfile-dev (1.14-1.1)
- Development library for liblockfile
- liblockfile-simple-perl (0.208-1)
- Perl module for simple advisory file locking
- liblockfile1 (1.14-1.1)
- NFS-safe locking library
- liblog-agent-logger-perl (0.200-1)
- extension for Log::Agent providing an application-level logging API
- liblog-agent-perl (1.001-2)
- Perl module providing helper logging routines
- liblog-agent-rotate-perl (1.200-1)
- extension for Log::Agent providing file-rotating features
- liblog-any-adapter-callback-perl (0.09-2)
- module to send Log::Any logs to a subroutine
- liblog-any-adapter-dispatch-perl (0.08-1)
- adapter to use Log::Dispatch with Log::Any
- liblog-any-adapter-filehandle-perl (0.010-1)
- basic Log::Any::Adapter to forward messages to a filehandle
- liblog-any-adapter-log4perl-perl (0.09-1)
- adapter to use Log::Log4perl with Log::Any
- liblog-any-adapter-perl
- virtueel pakket geboden door liblog-any-perl
- liblog-any-adapter-screen-perl (0.140-1)
- send logs to screen, with colors and some other features
- liblog-any-adapter-tap-perl (0.3.3-1)
- logging adapter suitable for use in TAP testcases
- liblog-any-perl (1.707-1)
- Perl module to log messages safely and efficiently
- liblog-contextual-perl (0.008001-1)
- module for simple contextual logging
- liblog-dispatch-array-perl (1.003-1)
- module to log events to an array (reference)
- liblog-dispatch-config-perl (1.04-2)
- Log4j for Perl
- liblog-dispatch-configurator-any-perl (1.122640-1)
- configurator implementation with Config::Any
- liblog-dispatch-dir-perl (0.160-1)
- module to log messages to separate files in a directory
- liblog-dispatch-filerotate-perl (1.19-1.1)
- Log to files that archive/rotate themselves
- liblog-dispatch-filewriterotate-perl (0.060-1)
- module to log to files that archive/rotate themselves
- liblog-dispatch-message-passing-perl (0.009-4)
- log events to Message::Passing
- liblog-dispatch-perl (2.68-1)
- message dispatcher to multiple Log::Dispatch::* objects
- liblog-dispatch-perl-perl (0.04-2)
- module to use core Perl functions for logging
- liblog-dispatchouli-perl (2.016-1)
- simple wrapper around Log::Dispatch
- liblog-fast-perl (2.0.1-1)
- fast and flexible logger
- liblog-handler-perl (0.88-1)
- module to handle output destined for log files
- liblog-log4perl-perl (1.49-1)
- Perl port of the widely popular log4j logging package
- liblog-loglite-perl (0.82-8)
- Perl module that facilitates lightweight logging
- liblog-message-perl (0.8-1)
- powerful and flexible message logging mechanism
- liblog-message-simple-perl (0.10-3)
- simplified interface to Log::Message
- liblog-report-lexicon-perl (1.11-1)
- module for Log::Report translation table management
- liblog-report-optional-perl (1.06-1)
- wrapper around Log::Report and Log::Report::Minimal
- liblog-report-perl (1.27-1)
- Perl module to report problems, with pluggable handlers and language support
- liblog-trace-perl (1.070-3)
- Perl module to provide a unified approach to tracing
- liblog-tracemessages-perl (1.4-5)
- Perl module to allow for trace messages in Perl code
- liblog4ada-doc (1.3-5)
- Ada library for flexible logging (documentation)
- liblog4ada4 (1.3-5)
- Ada library for flexible logging (runtime)
- liblog4ada5-dev (1.3-5)
- Ada library for flexible logging (development)
- liblog4c-dev (1.2.1-3)
- C library for flexible logging (development)
- liblog4c-doc (1.2.1-3)
- C library for flexible logging (documentation)
- liblog4c3 (1.2.1-3)
- C library for flexible logging (runtime)
- liblog4cplus-1.1-9 (1.1.2-3.2)
- C++ logging API modeled after the Java log4j API - shared library
- liblog4cplus-dbg (1.1.2-3.2)
- C++ logging API modeled after the Java log4j API - debug library
- liblog4cplus-dev (1.1.2-3.2)
- C++ logging API modeled after the Java log4j API - development library
- liblog4cpp-doc (1.0-2)
- C++ library for flexible logging (documentation)
- liblog4cpp5-dev (1.1.3-1)
- C++ library for flexible logging (development)
- liblog4cpp5v5 (1.1.3-1)
- C++ library for flexible logging (runtime)
- liblog4cxx-dev (0.10.0-15)
- Logging library for C++ (development files)
- liblog4cxx-doc (0.10.0-15)
- Documentation for log4cxx
- liblog4cxx10v5 (0.10.0-15)
- Logging library for C++
- (1.2.17-2)
- Extras for Apache log4j
- (1.2.17-2)
- Documentation for Extras for Apache log4j.
- liblog4j1.2-java (1.2.17-8+deb10u2)
- Logging library for java
- liblog4j1.2-java-doc (1.2.17-8+deb10u2)
- Documentation for liblog4j1.2-java
- liblog4j2-java (2.17.1-1~deb10u1)
- Apache Log4j - Logging Framework for Java
- liblog4net-cil-dev (1.2.10+dfsg-7)
- highly configurable logging API for the CLI
- liblog4net1.2-cil (1.2.10+dfsg-7)
- highly configurable logging API for the CLI
- liblog4shib-dev (2.0.0-2)
- log4j-style configurable logging library for C++ (development)
- liblog4shib-doc (2.0.0-2)
- log4j-style configurable logging library for C++ (API docs)
- liblog4shib2 (2.0.0-2)
- log4j-style configurable logging library for C++ (runtime)
- liblog4tango-dev (9.2.5a+dfsg1-2+b2)
- logging for TANGO - development library
- liblog4tango-doc (9.2.5a+dfsg1-2)
- logging for TANGO - documentation
- liblog4tango5v5 (9.2.5a+dfsg1-2+b2)
- logging for TANGO - shared library
- liblogback-java (1:1.2.3-5)
- flexible logging library for Java
- liblogback-java-doc (1:1.2.3-5)
- flexible logging library for Java - documentation
- liblogfile-rotate-perl (1.04-4)
- Perl module to rotate logfiles
- liblogforwarderutils2-dev (2.7-1.1+b2)
- liblogforwarderutils development files
- liblogforwarderutils2v5 (2.7-1.1+b2)
- Base library for LogService elements
- liblogg4-dev (2:4.4.2-13)
- development files for the OGG loading addon for Allegro 4
- liblogg4.4 (2:4.4.2-13)
- OGG loading addon for Allegro 4
- liblogger-syslog-perl (1.1-3)
- Logger::Syslog -- an simple wrapper over Sys::Syslog for Perl
- liblognorm-dev (2.0.5-1)
- log normalizing library - development files
- liblognorm-utils (2.0.5-1)
- log normalizing utilities
- liblognorm5 (2.0.5-1)
- log normalizing library
- liblogservicecomponentbase2-dev (2.7-1.1+b2)
- liblogservicecomponentbase development files
- liblogservicecomponentbase2v5 (2.7-1.1+b2)
- Library for building LogService components
- liblogservicetoolbase2 (2.7-1.1+b2)
- Library for building LogService tools
- liblogservicetoolbase2-dev (2.7-1.1+b2)
- liblogservicetool development files
- libloki-dev (0.1.7-3)
- C++ library of generic design patterns (development files)
- libloki-doc (0.1.7-3)
- C++ library of generic design patterns (documentation)
- libloki0.1.7 (0.1.7-3)
- C++ library of generic design patterns
- libloki0.1.7-dbg (0.1.7-3)
- C++ library of generic design patterns (debug information)
- liblombok-ast-java (0.2+ds-4)
- Robust parser + AST for the Java language
- liblombok-java (1.16.22-7)
- Reduce boilerplate code in Java projects
- liblombok-patcher-java (0.30-1)
- live-rewrite classes as a JVM runs
- liblorene-debian1 (0.0.0~cvs20161116+dfsg-1+b13)
- liblorene shared library
- liblorene-dev (0.0.0~cvs20161116+dfsg-1+b13)
- development files for the LORENE framework for numerical relativity
- liblorene-export-debian0 (0.0.0~cvs20161116+dfsg-1+b13)
- liblorene_export shared library
- liblorenef77-debian1 (0.0.0~cvs20161116+dfsg-1+b13)
- liblorenef77 shared library
- libloudmouth1-0 (1.5.3-5)
- Lightweight C Jabber library
- libloudmouth1-dev (1.5.3-5)
- Development files for Loudmouth Jabber library
- liblouis-bin (3.8.0-2)
- Braille translation library - utilities
- liblouis-data (3.8.0-2)
- Braille translation library - data
- liblouis-dev (3.8.0-2)
- Braille translation library - static libs and headers
- liblouis17 (3.8.0-2)
- Braille translation library - shared libs
- liblouisutdml-bin (2.7.0-5+b1)
- Braille UTDML translation utilities
- liblouisutdml-data (2.7.0-5)
- Braille UTDML translation library - data
- liblouisutdml-dev (2.7.0-5+b1)
- Braille UTDML translation library - static libs and headers
- liblouisutdml-java (2.7.0-5)
- Braille UTDML translation library - java bindings
- liblouisutdml-java-doc (2.7.0-5)
- Braille UTDML translation library - java bindings documentation
- liblouisutdml8 (2.7.0-5+b1)
- Braille UTDML translation library - shared libs
- liblouisxml-bin (2.4.0-8+b1)
- Braille XML translation utilities
- liblouisxml-data (2.4.0-8)
- Braille XML translation library - data
- liblouisxml-dev (2.4.0-8+b1)
- Braille XML translation library - static libs and headers
- liblouisxml1 (2.4.0-8+b1)
- Braille XML translation library - shared libs
- liblpsolve55-dev (5.5.0.15-4+b1)
- Solve (mixed integer) linear programming problems - library
- liblqr-1-0 (0.4.2-2.1)
- converts plain array images into multi-size representation
- liblqr-1-0-dev (0.4.2-2.1)
- converts plain array images into multi-size representation (developments files)
- liblqr-dev
- virtueel pakket geboden door liblqr-1-0-dev
- liblrcalc-dev (1.2-2+b1)
- library for calculating Littlewood-Richardson coefficients - development files
- liblrcalc1 (1.2-2+b1)
- library for calculating Littlewood-Richardson coefficients
- liblrdf-dev
- virtueel pakket geboden door liblrdf0-dev
- liblrdf0 (0.6.1-1)
- library to manipulate RDF files describing LADSPA plugins
- liblrdf0-dev (0.6.1-1)
- liblrdf0 development files
- liblrm2 (1.0.12-12)
- Reusable cluster libraries -- liblrm2
- liblrm2-dev (1.0.12-12)
- Reusable cluster development files -- liblrm
- liblrmd-dev (2.0.1-5+deb10u2)
- transitional package
- liblrmd28 (2.0.1-5+deb10u2)
- cluster resource manager LRMD library
- liblrs-dev (0.70-3)
- package to enumerate vertices and extreme rays (development file)
- liblrs0 (0.70-3)
- package to enumerate vertices and extreme rays (shared libraries)
- liblsan0 (8.3.0-6)
- LeakSanitizer -- a memory leak detector (runtime)
- liblsan0-amd64-cross (8.3.0-2cross1)
- LeakSanitizer -- a memory leak detector (runtime)
- liblsan0-arm64-cross (8.3.0-2cross1)
- LeakSanitizer -- a memory leak detector (runtime)
- liblsan0-dbg (8.3.0-6)
- LeakSanitizer -- a memory leak detector (debug symbols)
- liblsan0-ppc64-cross (8.3.0-2cross2)
- LeakSanitizer -- a memory leak detector (runtime)
- liblsan0-ppc64el-cross (8.3.0-2cross1)
- LeakSanitizer -- a memory leak detector (runtime)
- liblscp-dev (0.6.0-1)
- Development files for LinuxSampler Control Protocol library
- liblscp-doc (0.6.0-1)
- Documentation for LinuxSampler Control Protocol library
- liblscp6 (0.6.0-1)
- LinuxSampler Control Protocol wrapper library
- liblsofui7 (4:5.14.5-1)
- library for monitoring your system - shared library
- libltc-dev (1.3.0-1)
- Development files for libltc
- libltc-doc (1.3.0-1)
- Documentation for libltc
- libltc11 (1.3.0-1)
- linear timecode library
- libltcsmpte-dev (0.4.4-1+b1)
- Development files for libltcsmpte
- libltcsmpte-doc (0.4.4-1)
- Documentation for libltcsmpte
- libltcsmpte1 (0.4.4-1+b1)
- linear timecode and framerate conversion library
- libltdl-dev (2.4.6-9)
- System independent dlopen wrapper for GNU libtool
- libltdl3-dev
- virtueel pakket geboden door libltdl-dev
- libltdl7 (2.4.6-9)
- System independent dlopen wrapper for GNU libtool
- libltdl7-dev
- virtueel pakket geboden door libltdl-dev
- liblttng-ctl-dev (2.10.6-1)
- LTTng control and utility library (development files)
- liblttng-ctl0 (2.10.6-1)
- LTTng control and utility library
- liblttng-ust-agent-java (2.10.3-1)
- LTTng 2.0 Userspace Tracer (Java agent library)
- liblttng-ust-agent-java-jni (2.10.3-1)
- LTTng 2.0 Userspace Tracer (Java agent JNI interface)
- liblttng-ust-ctl4 (2.10.3-1)
- LTTng 2.0 Userspace Tracer (trace control library)
- liblttng-ust-dev (2.10.3-1)
- LTTng 2.0 Userspace Tracer (development files)
- liblttng-ust-java (2.10.3-1)
- LTTng 2.0 Userspace Tracer (Java support library)
- liblttng-ust-java-jni (2.10.3-1)
- LTTng 2.0 Userspace Tracer (JNI interface)
- liblttng-ust-python-agent0 (2.10.3-1)
- LTTng 2.0 Userspace Tracer (Python agent native library)
- liblttng-ust0 (2.10.3-1)
- LTTng 2.0 Userspace Tracer (tracing libraries)
- liblttoolbox
- virtueel pakket geboden door liblttoolbox3-3.5-1
- liblttoolbox3-3.5-1 (3.5.0-3)
- Shared library for lttoolbox
- liblua5.1-0 (5.1.5-8.1+b2)
- Shared library for the Lua interpreter version 5.1
- liblua5.1-0-dbg (5.1.5-8.1+b2)
- Debug symbols for the Lua shared library interpreter
- liblua5.1-0-dev (5.1.5-8.1+b2)
- Development files for the Lua language version 5.1
- liblua5.1-bitop-dev (1.0.2-5)
- Transitional package for lua-bitop-dev
- liblua5.1-bitop-dev
- virtueel pakket geboden door lua-bitop-dev
- liblua5.1-bitop0 (1.0.2-5)
- Transitional package for lua-bitop
- liblua5.1-bitop0
- virtueel pakket geboden door lua-bitop
- liblua5.1-dev
- virtueel pakket geboden door liblua5.1-0-dev
- liblua5.1-json
- virtueel pakket geboden door lua-json
- liblua5.1-leg-dev
- virtueel pakket geboden door lua-leg-dev
- liblua5.1-luacsnd (1:6.12.2~dfsg-3.1)
- Lua bindings for the Csound API
- liblua5.1-luacsnd-dev
- virtueel pakket geboden door libcsnd-dev
- liblua5.1-rrd-dev
- virtueel pakket geboden door lua-rrd-dev
- liblua5.1-rrd0
- virtueel pakket geboden door lua-rrd
- liblua5.2-0 (5.2.4-1.1+b2)
- Shared library for the Lua interpreter version 5.2
- liblua5.2-0-dbg (5.2.4-1.1+b2)
- Debug symbols for the Lua shared library interpreter
- liblua5.2-dev (5.2.4-1.1+b2)
- Development files for the Lua language version 5.2
- liblua5.3-0 (5.3.3-1.1+deb10u1) [security]
- Shared library for the Lua interpreter version 5.3
- liblua5.3-0-dbg (5.3.3-1.1+deb10u1) [security]
- Debug symbols for the Lua shared library interpreter
- liblua5.3-dev (5.3.3-1.1+deb10u1) [security]
- Development files for the Lua language version 5.3
- liblua50 (5.0.3-8+b1)
- Main interpreter library for the Lua 5.0 programming language
- liblua50-dev (5.0.3-8+b1)
- Main interpreter library for Lua 5.0: static library and headers
- libluabind-dbg (0.9.1+dfsg-11)
- luabind c++ binding for lua: unstripped binaries
- libluabind-dev (0.9.1+dfsg-11)
- luabind c++ binding for lua: static library and headers
- libluabind-doc (0.9.1+dfsg-11)
- luabind c++ binding for lua: documentation files
- libluabind-examples (0.9.1+dfsg-11)
- luabind c++ binding for lua: example files
- libluabind0.9.1v5 (0.9.1+dfsg-11)
- luabind c++ binding for lua: runtime library
- libluabridge-ruby
- virtueel pakket geboden door ruby-luabridge
- libluabridge-ruby1.8
- virtueel pakket geboden door ruby-luabridge
- libluajit-5.1-2 (2.1.0~beta3+dfsg-5.1)
- Just in time compiler for Lua - library version
- libluajit-5.1-common (2.1.0~beta3+dfsg-5.1)
- Just in time compiler for Lua - common files
- libluajit-5.1-dev (2.1.0~beta3+dfsg-5.1)
- Just in time compiler for Lua - development files
- liblualib50 (5.0.3-8+b1)
- Extension library for the Lua 5.0 programming language
- liblualib50-dev (5.0.3-8+b1)
- Extension library for Lua 5.0: static and headers
- liblucene++-contrib0v5 (3.0.7-10+b1)
- Shared library with Lucene++ contributions
- liblucene++-dev (3.0.7-10+b1)
- Development files for Lucene++
- liblucene++-doc (3.0.7-10)
- Reference manual for Lucene++
- liblucene++0v5 (3.0.7-10+b1)
- Shared library for Lucene++
- liblucene-queryparser-perl (1.04-3)
- Turn a Lucene query into a Perl data structure
- liblucene3-contrib-java (3.6.2+dfsg-20+deb10u2)
- Full-text search engine library for Java - additional libraries
- liblucene3-java (3.6.2+dfsg-20+deb10u2)
- Full-text search engine library for Java - core library
- liblucene4.10-java (4.10.4+dfsg-5)
- Full-text search engine library for Java(TM)
- libluksmeta-dev (9-3)
- library to access metadata in the LUKSv1 header - development files
- libluksmeta0 (9-3)
- library to access metadata in the LUKSv1 header
- liblunar-date-2.0-0 (2.4.0-5)
- Chinese Lunar library based on GObject
- liblunar-date-dev (2.4.0-5)
- Chinese Lunar library based on GObject - develop files
- liblunar-date-doc (2.4.0-5)
- Chinese Lunar library based on GObject - API documents
- liblur-dev (0.9.905-1)
- Logitech Unifying Receiver access library - dev files
- liblur3 (0.9.905-1)
- Logitech Unifying Receiver access library
- liblv2dynparam1-dev (2-6)
- lv2dynparam is a LV2 plugin interface extension
- liblv2dynparamhost1-1 (2-6)
- LV2 plugin interface extension - host
- liblv2dynparamplugin1-0 (2-6)
- LV2 plugin interface extension - plugin
- liblvm2-dev (2.03.02-3)
- LVM2 libraries - development files
- liblvm2cmd2.03 (2.03.02-3)
- LVM2 command library
- liblwgeom-2.5-0 (2.5.1+dfsg-1)
- PostGIS "Lightweight Geometry" library
- liblwgeom-dev (2.5.1+dfsg-1)
- PostGIS "Lightweight Geometry" library - Development files
- liblwip-dev (2.0.3-3+deb10u2) [security]
- small implementation of the TCP/IP protocol suite - development files
- liblwip-doc (2.0.3-3+deb10u2) [security]
- small implementation of the TCP/IP protocol suite - documentation
- liblwip0 (2.0.3-3+deb10u2) [security]
- small implementation of the TCP/IP protocol suite - shared library
- liblwipv6-2 (1.5a-4)
- User level (lightweight) TCP/IP stack with IPv6 support
- liblwipv6-dev (1.5a-4)
- Development files for the LWIPv6 library
- liblwjgl-java (2.9.3+dfsg-5)
- Lightweight Java Game Library
- liblwjgl-java-doc (2.9.3+dfsg-5)
- Lightweight Java Game Library (javadoc)
- liblwjgl-java-jni (2.9.3+dfsg-5)
- Lightweight Java Game Library (jni)
- liblwp-authen-negotiate-perl (0.08-2.1)
- Perl module for GSSAPI based Authentication Plugin for LWP
- liblwp-authen-oauth-perl (1.01-1)
- OAuth authentication plugin for LWP
- liblwp-authen-oauth2-perl (0.16-1)
- module enabling OAuth 2 requests via LWP
- liblwp-authen-wsse-perl (0.05-3)
- library for enabling X-WSSE authentication in LWP
- liblwp-mediatypes-perl (6.02-1)
- module to guess media type for a file or a URL
- liblwp-online-perl (1.08-2)
- module to check if there is Internet access
- liblwp-protocol-http-socketunix-perl (0.02-3)
- Perl module to speak http through unix sockets
- liblwp-protocol-https-perl (6.07-2)
- HTTPS driver for LWP::UserAgent
- liblwp-protocol-psgi-perl (0.10-1)
- override LWP's HTTP/HTTPS backend with your own PSGI application
- liblwp-protocol-socks-perl (1.7-1)
- SOCKS proxy support for LWP
- liblwp-useragent-chicaching-perl (0.04-1)
- LWP::UserAgent with caching based on CHI
- liblwp-useragent-determined-perl (1.07-1)
- LWP useragent that retries errors
- liblwp-useragent-progressbar-perl (1.100810-2)
- LWP user agent that can display a progress bar
- liblwpx-paranoidagent-perl (1.12-1)
- "paranoid" subclass of LWP::UserAgent
- liblwres161 (1:9.11.5.P4+dfsg-5.1+deb10u11) [security]
- Lichtgewicht Vind Bibliotheek gebruikt door BIND
- liblwt-glib-ocaml (2.7.1-4+b1)
- cooperative GLib bindings for OCaml (runtime)
- liblwt-glib-ocaml-96ej7
- virtueel pakket geboden door liblwt-glib-ocaml
- liblwt-glib-ocaml-dev (2.7.1-4+b1)
- cooperative GLib bindings for OCaml
- liblwt-glib-ocaml-dev-96ej7
- virtueel pakket geboden door liblwt-glib-ocaml-dev
- liblwt-glib-ocaml-dev-hngl0
- virtueel pakket geboden door liblwt-glib-ocaml-dev
- liblwt-glib-ocaml-hngl0
- virtueel pakket geboden door liblwt-glib-ocaml
- liblwt-ocaml (2.7.1-4+b1)
- cooperative light-weight thread library for OCaml (runtime)
- liblwt-ocaml-dev (2.7.1-4+b1)
- cooperative light-weight thread library for OCaml
- liblwt-ocaml-dev-t5664
- virtueel pakket geboden door liblwt-ocaml-dev
- liblwt-ocaml-dev-wwm00
- virtueel pakket geboden door liblwt-ocaml-dev
- liblwt-ocaml-doc (2.7.1-4)
- cooperative light-weight thread library (documentation)
- liblwt-ocaml-t5664
- virtueel pakket geboden door liblwt-ocaml
- liblwt-ocaml-wwm00
- virtueel pakket geboden door liblwt-ocaml
- liblwt-ssl-ocaml (2.7.1-4+b1)
- cooperative OpenSSL bindings for OCaml (runtime)
- liblwt-ssl-ocaml-0uot8
- virtueel pakket geboden door liblwt-ssl-ocaml
- liblwt-ssl-ocaml-dev (2.7.1-4+b1)
- cooperative OpenSSL bindings for OCaml
- liblwt-ssl-ocaml-dev-0uot8
- virtueel pakket geboden door liblwt-ssl-ocaml-dev
- liblwt-ssl-ocaml-dev-k8tm2
- virtueel pakket geboden door liblwt-ssl-ocaml-dev
- liblwt-ssl-ocaml-k8tm2
- virtueel pakket geboden door liblwt-ssl-ocaml
- liblxc1 (1:3.1.0+really3.0.3-8+deb10u1) [security]
- Linux Containers userspace tools (library)
- liblxi-dev (1.13-1)
- LAN eXtensions for Instrumentation (LXI) software interface
- liblxi1 (1.13-1)
- LAN eXtensions for Instrumentation (LXI) software interface
- liblxqt-globalkeys-ui0 (0.14.1-1)
- daemon used to register global keyboard shortcuts (ui files)
- liblxqt-globalkeys-ui0-dev (0.14.1-1)
- daemon used to register global keyboard shortcuts (ui dev files)
- liblxqt-globalkeys0 (0.14.1-1)
- daemon used to register global keyboard shortcuts (shared libs)
- liblxqt-globalkeys0-dev (0.14.1-1)
- daemon used to register global keyboard shortcuts (dev files)
- liblxqt-l10n (0.14.1-1)
- Language package for liblxqt
- liblxqt0 (0.14.1-1)
- Shared libraries for LXQt desktop environment (libs)
- liblxqt0-dev (0.14.1-1)
- Shared libraries for LXQt desktop environment (dev)
- liblz-dev (1.11-3)
- data compressor based on the LZMA algorithm (development)
- liblz1 (1.11-3)
- data compressor based on the LZMA algorithm (library)
- liblz4-1 (1.8.3-1+deb10u1)
- Fast LZ compression algorithm library - runtime
- liblz4-dev (1.8.3-1+deb10u1)
- Fast LZ compression algorithm library - development files
- liblz4-tool (1.8.3-1+deb10u1)
- Fast LZ compression algorithm library - transitional package
- liblz4-tool
- virtueel pakket geboden door lz4
- liblzma-dev (5.2.4-1+deb10u1)
- XZ-format compression library - development files
- liblzma-doc (5.2.4-1+deb10u1)
- XZ-format compression library - API documentation
- liblzma5 (5.2.4-1+deb10u1)
- XZ-format compression library
- liblzo2-2 (2.10-0.1)
- data compressie bibliotheek
- liblzo2-2-udeb (2.10-0.1)
- data compression library
- liblzo2-dev (2.10-0.1)
- data compression library (development files)
- libm17n-0 (1.8.0-2)
- multilingual text processing library - runtime
- libm17n-dev (1.8.0-2)
- multilingual text processing library - development
- libm17n-im-config-dev (0.9.0-5)
- input method configuration library for m17n-lib - development
- libm17n-im-config0 (0.9.0-5)
- input method configuration library for m17n-lib - runtime
- libm4ri-0.0.20140914 (20140914-2+b1)
- Method of the Four Russians Inversion library, shared library
- libm4ri-0.0.20140914-dbg (20140914-2+b1)
- debugging symbols for libm4ri-0.0.20140914
- libm4ri-dev (20140914-2+b1)
- Method of the Four Russians Inversion library, development files
- libm4rie-0.0.20150908 (20150908-2)
- extended Method of the Four Russians Inversion library, shared library
- libm4rie-dev (20150908-2)
- extended Method of the Four Russians Inversion library, development files
- libmaa-dev (1.4.2-1)
- maa programming library, development files
- libmaa4 (1.4.2-1)
- maa programming library
- libmac-widgets-doc (0.10.0+svn416-dfsg1-3)
- documentation for libmac-widgets-java
- libmac-widgets-java (0.10.0+svn416-dfsg1-3)
- collection of Mac style widgets written in Java
- libmad-ocaml (0.4.4-2+b2 [armhf], 0.4.4-2+b1 [amd64, arm64, i386])
- OCaml bindings for the MAD library (Runtime library)
- libmad-ocaml-dev (0.4.4-2+b2 [armhf], 0.4.4-2+b1 [amd64, arm64, i386])
- OCaml bindings for the MAD library (Development package)
- libmad-ocaml-dev-o01i8
- virtueel pakket geboden door libmad-ocaml-dev
- libmad-ocaml-dev-uz5u5
- virtueel pakket geboden door libmad-ocaml-dev
- libmad-ocaml-o01i8
- virtueel pakket geboden door libmad-ocaml
- libmad-ocaml-uz5u5
- virtueel pakket geboden door libmad-ocaml
- libmad0 (0.15.1b-10)
- MPEG audio decoder library
- libmad0-dev (0.15.1b-10)
- MPEG audio decoder development library
- libmadlib (1.3.0-2.1)
- mesh adaptation library
- libmadlib-dbg (1.3.0-2.1)
- mesh adaptation library
- libmadlib-dev (1.3.0-2.1)
- mesh adaptation library
- libmadness-dev (0.10.1~gite4aa500e-10.1)
- Numerical Environment for Scientific Simulation (development files)
- libmagic-dev (1:5.35-4+deb10u2)
- Recognize the type of data in a file using "magic" numbers - development
- libmagic-mgc (1:5.35-4+deb10u2)
- File type determination library using "magic" numbers (compiled magic file)
- libmagic-ocaml (0.7.3-5+b6 [amd64, i386], 0.7.3-5+b4 [armhf], 0.7.3-5+b2 [arm64])
- OCaml bindings for the File type determination library
- libmagic-ocaml-9qa38
- virtueel pakket geboden door libmagic-ocaml
- libmagic-ocaml-bhe34
- virtueel pakket geboden door libmagic-ocaml
- libmagic-ocaml-dev (0.7.3-5+b6 [amd64, i386], 0.7.3-5+b4 [armhf], 0.7.3-5+b2 [arm64])
- OCaml bindings for the File type determination library
- libmagic-ocaml-dev-9qa38
- virtueel pakket geboden door libmagic-ocaml-dev
- libmagic-ocaml-dev-bhe34
- virtueel pakket geboden door libmagic-ocaml-dev
- libmagic1 (1:5.35-4+deb10u2)
- Recognize the type of data in a file using "magic" numbers - library
- (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- object-oriented C++ interface to ImageMagick - header files
- libmagick++-6.defaultquantum-dev
- virtueel pakket geboden door libmagick++-6.q16-dev
- libmagick++-6.q16-8 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- C++ interface to ImageMagick -- quantum depth Q16
- libmagick++-6.q16-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- C++ interface to ImageMagick - development files (Q16)
- libmagick++-6.q16hdri-8 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- C++ interface to ImageMagick -- quantum depth Q16HDRI
- libmagick++-6.q16hdri-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- C++ interface to ImageMagick - development files (Q16HDRI)
- libmagick++-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- object-oriented C++ interface to ImageMagick -- dummy package
- libmagick++-dev
- virtueel pakket geboden door graphicsmagick-libmagick-dev-compat
- libmagick++-dev (= 8:6.9.10.23+dfsg-2.1+deb10u1)
- virtueel pakket geboden door libmagick++-6.q16-dev
- libmagick++-dev (= 8:6.9.10.23+dfsg-2.1+deb10u7)
- virtueel pakket geboden door libmagick++-6.q16-dev
- libmagick-dev
- virtueel pakket geboden door graphicsmagick-libmagick-dev-compat
- libmagickcore-6-arch-config (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - architecture header files
- (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - header files
- libmagickcore-6.defaultquantum-dev
- virtueel pakket geboden door libmagickcore-6.q16-dev
- virtueel pakket geboden door libmagickcore-6.q16-6-extra
- virtueel pakket geboden door libmagickcore-6.q16-6-extra
- virtueel pakket geboden door libmagickcore-6.q16-6-extra
- virtueel pakket geboden door libmagickcore-6.q16-6-extra
- virtueel pakket geboden door libmagickcore-6.q16-6-extra
- libmagickcore-6.q16-6 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library -- quantum depth Q16
- (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - extra codecs (Q16)
- libmagickcore-6.q16-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - development files (Q16)
- virtueel pakket geboden door libmagickcore-6.q16hdri-6-extra
- virtueel pakket geboden door libmagickcore-6.q16hdri-6-extra
- virtueel pakket geboden door libmagickcore-6.q16hdri-6-extra
- virtueel pakket geboden door libmagickcore-6.q16hdri-6-extra
- virtueel pakket geboden door libmagickcore-6.q16hdri-6-extra
- libmagickcore-6.q16hdri-6 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library -- quantum depth Q16HDRI
- (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - extra codecs (Q16HDRI)
- libmagickcore-6.q16hdri-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library - development files (Q16HDRI)
- libmagickcore-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- low-level image manipulation library -- dummy package
- libmagickcore-dev (= 8:6.9.10.23+dfsg-2.1+deb10u1)
- virtueel pakket geboden door libmagickcore-6.q16-dev
- libmagickcore-dev (= 8:6.9.10.23+dfsg-2.1+deb10u7)
- virtueel pakket geboden door libmagickcore-6.q16-dev
- virtueel pakket geboden door libmagickcore-6.q16-6-extra, libmagickcore-6.q16hdri-6-extra
- libmagickwand-6-headers (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library - headers files
- libmagickwand-6.defaultquantum-dev
- virtueel pakket geboden door libmagickwand-6.q16-dev
- libmagickwand-6.q16-6 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library -- quantum depth Q16
- libmagickwand-6.q16-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library - development files (Q16)
- libmagickwand-6.q16hdri-6 (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library -- quantum depth Q16HDRI
- libmagickwand-6.q16hdri-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library - development files (Q16HDRI)
- libmagickwand-dev (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- image manipulation library -- dummy package
- libmagickwand-dev (= 8:6.9.10.23+dfsg-2.1+deb10u1)
- virtueel pakket geboden door libmagickwand-6.q16-dev
- libmagickwand-dev (= 8:6.9.10.23+dfsg-2.1+deb10u7)
- virtueel pakket geboden door libmagickwand-6.q16-dev
- libmagics++-data (3.3.1-1)
- Data files needed for magics++ library
- libmagics++-dev (3.3.1-1)
- Development files for ECMWF plotting software MAGICS++
- libmagics++-metview-dev (3.3.1-1)
- Libraries needed for building MetView
- libmagpie-perl (1.163200-1)
- RESTful Web Framework for Perl5
- libmagplus3v5 (3.3.1-1)
- ECMWF meteorological plotting software library
- libmail-box-imap4-perl (3.003-1)
- perl module for handling of IMAP4 folders as client
- libmail-box-perl (3.006-1)
- message-folder management module
- libmail-box-pop3-perl (3.004-1)
- POP3 handler for Mail::Box
- libmail-bulkmail-perl (3.12-5)
- Platform independent mailing list module
- libmail-checkuser-perl (1.24-1)
- Perl module for checking email addresses for validity
- libmail-chimp3-perl (0.06-1)
- interface to mailchimp.com's RESTful Web API v3
- libmail-deliverystatus-bounceparser-perl (1.542+repacked-1)
- module for analyzing bounce messages
- libmail-dkim-perl (0.54-1+deb10u1) [security]
- cryptographically identify the sender of email - perl library
- libmail-field-received-perl (0.26-1)
- mostly RFC822-compliant parser of Received headers
- libmail-gnupg-perl (0.23-2)
- Perl module for processing email with GPG
- libmail-imapclient-perl (3.42-1)
- Perl library for manipulating IMAP mail stores
- libmail-imaptalk-perl (4.04-1)
- IMAP client interface with lots of features
- libmail-java (1.6.2-1)
- JavaMail API Reference Implementation
- libmail-java-doc (1.6.2-1)
- JavaMail API Reference Implementation (documentation)
- libmail-listdetector-perl (1.04+dfsg-1)
- module for detecting mailing list messages
- libmail-mbox-messageparser-perl (1.5111-2)
- Perl module for processing mbox folders
- libmail-mboxparser-perl (0.55-4)
- module providing read-only access to UNIX mailboxes
- libmail-message-perl (3.008-2)
- generic class representing mail messages (perl library)
- libmail-milter-perl (0.07-1)
- Perl extension modules for mail filtering via milter
- libmail-perl
- virtueel pakket geboden door libmailtools-perl
- libmail-pop3client-perl (2.19-1)
- POP3 client module for perl
- libmail-rbl-perl (1.10-2)
- Perl extension to access RBL-style host verification services
- libmail-rfc822-address-perl (0.4-1)
- Perl extension for validating email addresses
- libmail-sendeasy-perl (1.2-2)
- Perl module to send plain/html e-mails through SMTP servers
- libmail-sendmail-perl (0.80-1)
- simple way to send email from a perl script
- libmail-spamassassin-perl
- virtueel pakket geboden door spamassassin
- libmail-spf-perl (2.9.0-4)
- Perl implementation of Sender Policy Framework and Sender ID
- libmail-spf-xs-perl (1.2.10-7.1~deb10u1)
- library for validating mail senders with SPF - Perl bindings
- libmail-srs-perl (0.31-6)
- interface to Sender Rewriting Scheme
- libmail-thread-perl (2.55-2)
- library for threading email by In-Reply-To and References
- libmail-transport-perl (3.003-1)
- perl library for sending email
- libmail-verify-perl (0.02-5.1)
- Utility to verify an email address
- libmail-verp-perl (0.06+dfsg-2)
- Variable Envelope Return Paths (VERP) address encoder/decoder
- libmailtools-perl (2.18-1)
- Manipulate email in perl programs
- libmailtransport4 (4:4.14.10-11)
- mail transport service library
- libmailutils-dev (1:3.5-4)
- Development files for GNU mailutils
- libmailutils5 (1:3.5-4)
- GNU Mail abstraction library
- libmakefile-dom-perl (0.008-2)
- Perl DOM implementation for Makefiles
- libmalaga-dev (7.12-7+b2)
- Developer's library for automatic language analysis
- libmalaga7 (7.12-7+b2)
- Automatic language analysis library
- libmalai-java (2.0+ds1-2)
- Malai software architecture pattern in Java
- libmaloc-dev (0.2-3.1)
- Object-oriented Abstraction Layer for C (development files)
- libmaloc1 (0.2-3.1)
- Object-oriented Abstraction Layer for C
- libmanette-0.2-0 (0.2.1-2)
- Simple GObject game controller library
- libmanette-0.2-dev (0.2.1-2)
- Simple GObject game controller library - development files
- libmango-perl (1.30-1)
- Pure-Perl non-blocking I/O MongoDB client
- libmap-msgs-dev (1.13.0-8)
- C/C++ headers for map-related ROS Messages
- libmapbox-geometry-dev (1.0.0-1)
- Generic C++ interfaces for geometry types, collections, and features
- libmapbox-variant-dev (1.1.5-3)
- Alternative to boost::variant for C++11
- libmapbox-wagyu-dev (0.4.3-3)
- Wagyu Geometry Processing Library
- libmapcache1 (1.6.1-3)
- tile caching server - shared library
- libmapcache1-dev (1.6.1-3)
- tile caching server - shared library development files
- libmapnik-dev (3.0.22+ds-1)
- C++ toolkit for developing GIS applications (devel)
- libmapnik3.0 (3.0.22+ds-1)
- C++ toolkit for developing GIS applications (libraries)
- libmapscript-java (7.2.2-1)
- Java library for MapServer
- libmapscript-perl (7.2.2-1)
- Perl MapServer module
- libmapscript-ruby
- virtueel pakket geboden door ruby-mapscript
- libmapscript-ruby1.8
- virtueel pakket geboden door ruby-mapscript
- libmapscript-ruby1.9.1
- virtueel pakket geboden door ruby-mapscript
- libmapserver-dev (7.2.2-1)
- Shared library development files for MapServer
- libmapserver2 (7.2.2-1)
- Shared library for MapServer
- libmapsforge-java (0.10.0+dfsg.1-1)
- vector map library and writer
- libmapsforge-java-doc (0.10.0+dfsg.1-1)
- vector map library and writer (documentation)
- libmarble-dev (4:17.08.3-3.2)
- development files for the Marble globe widget library
- libmarblewidget-qt5-28 (4:17.08.3-3.2)
- Marble globe widget library
- libmarc-charset-perl (1.35-3)
- Perl module for bidirectional MARC-8 <-> Unicode conversion
- libmarc-crosswalk-dublincore-perl (0.02-3)
- Convert data between MARC and Dublin Core
- libmarc-file-marcmaker-perl (0.05-1)
- work with MARCMaker/MARCBreaker records
- libmarc-file-mij-perl (0.04-2)
- read newline-delimited marc-in-json files
- libmarc-lint-perl (1.52-1)
- Perl extension for checking validity of MARC records
- libmarc-parser-raw-perl (0.06-1)
- parser for ISO 2709 encoded MARC records
- libmarc-perl (1.07-6)
- Perl extension to manipulate MAchine Readable Cataloging records
- libmarc-record-perl (2.0.7-1)
- Perl extension for handling MARC records
- libmarc-spec-perl (2.0.3-1)
- MARCspec parser and builder
- libmarc-transform-perl (0.003007-1)
- Perl module to transform a MARC record with a yaml configuration file
- libmarc-xml-perl (1.0.5-1)
- Perl library to access MARC data encoded as XML
- libmarco-dev (1.20.3-1)
- library for Marco window manager (development files)
- libmarco-private1 (1.20.3-1)
- library for Marco window manager
- libmariadb-client-lgpl-dev-compat
- virtueel pakket geboden door libmariadb-dev-compat
- libmariadb-dev (1:10.3.39-0+deb10u2) [security]
- MariaDB database development files
- libmariadb-dev-compat (1:10.3.39-0+deb10u2) [security]
- MariaDB Connector/C, compatibility symlinks
- libmariadb-java (2.3.0-1)
- Java database driver for MariaDB and MySQL
- libmariadb3 (1:10.3.39-0+deb10u2) [security]
- MariaDB database client library
- libmariadbclient-dev (1:10.3.39-0+deb10u2) [security]
- MariaDB database development files (transitional package)
- libmariadbclient-dev-compat
- virtueel pakket geboden door libmariadb-dev-compat
- libmariadbd-dev (1:10.3.39-0+deb10u2) [security]
- MariaDB embedded database, development files
- libmariadbd19 (1:10.3.39-0+deb10u2) [security]
- MariaDB embedded database, shared library
- libmarisa-dev (0.2.5-2+b1)
- development files for libmarisa
- libmarisa-perl (0.2.5-2+b1)
- Perl bindings for MARISA
- libmarisa0 (0.2.5-2+b1)
- C++ library to provide an implementation of MARISA
- libmarkdent-perl (0.33-1)
- event-based Markdown parser toolkit
- libmarkdown-php (1.0.2-2)
- PHP library for rendering Markdown data
- libmarkdown2 (2.2.4-1)
- implementation of the Markdown markup language in C (library)
- libmarkdown2-dev (2.2.4-1)
- implementation of the Markdown markup language in C (dev files)
- libmarpa-r2-perl (2.086000~dfsg-6+b3)
- BNF grammar parser
- libmash-dev (2.1+dfsg-2)
- development headers and static library for Mash
- libmason-perl (2.24-1)
- powerful, high-performance templating for the web and beyond
- libmason-plugin-cache-perl (0.05-1)
- component cache object and filter for Mason
- libmason-plugin-htmlfilters-perl (0.03-1)
- HTML generation filter plugin for Mason
- libmason-plugin-routersimple-perl (0.07-1)
- Mason plugin to specify routes for page components with Router::Simple
- libmasonx-interp-withcallbacks-perl (1.19-3)
- Mason callback support via Params::CallbackRequest
- libmasonx-processdir-perl (0.02-2)
- Perl module to process a directory of Mason 2 templates
- libmasonx-request-withapachesession-perl (0.31-1)
- Session handler in the Mason Request object
- libmatch-simple-perl (0.010-1)
- simplified clone of smartmatch operator
- libmatch-simple-xs-perl (0.001-2+b5 [amd64, armhf, i386], 0.001-2+b4 [arm64])
- XS backend for match::simple
- libmatchbox-dev (1.9-osso8-5)
- shared library for Matchbox Project applications [development]
- libmatchbox-doc (1.9-osso8-5)
- shared library for Matchbox Project applications [documentation]
- libmatchbox1 (1.9-osso8-5)
- shared library for Matchbox Project applications [runtime]
- libmate-desktop-2-17 (1.20.4-2)
- Library with common API for various MATE modules (library)
- libmate-desktop-dev (1.20.4-2)
- Library with common API for various MATE modules (development files)
- libmate-desktop-doc (1.20.4-2)
- Library with common API for various MATE modules (documentation files)
- (1.20.2-1)
- implementation of the freedesktop menu specs for MATE (development files)
- (1.20.2-1)
- implementation of the freedesktop menu specification for MATE (library)
- libmate-panel-applet-4-1 (1.20.5-1)
- library for MATE Panel applets
- libmate-panel-applet-dev (1.20.5-1)
- library for MATE Panel applets (development files)
- libmate-panel-applet-doc (1.20.5-1)
- library for MATE Panel applets (documentation files)
- libmate-panel-applet4-1
- virtueel pakket geboden door libmate-panel-applet-4-1
- libmate-sensors-applet-plugin-dev (1.20.3-1)
- Library for plugins for the mate-sensors-applet package (development files)
- libmate-sensors-applet-plugin0 (1.20.3-1)
- Library for plugins for the mate-sensors-applet package
- libmate-slab-dev (1.20.4-2)
- beautification app library (development headers)
- libmate-slab0 (1.20.4-2)
- beautification app library
- libmate-slab0-dev
- virtueel pakket geboden door libmate-slab-dev
- libmate-window-settings-dev (1.20.4-2)
- utilities to configure the MATE desktop (window settings headers)
- libmate-window-settings1 (1.20.4-2)
- utilities to configure the MATE desktop (window settings library)
- libmatedesktop
- virtueel pakket geboden door libmate-desktop-2-17
- libmatedict-dev (1.20.2-3)
- MATE desktop utilities (matedict development files)
- libmatedict6 (1.20.2-3)
- MATE desktop utilities (matedict library)
- libmatekbd
- virtueel pakket geboden door libmatekbd4
- libmatekbd-common (1.20.2-1)
- MATE library to manage keyboard configuration (common files)
- libmatekbd-dev (1.20.2-1)
- MATE library to manage keyboard configuration (development files)
- libmatekbd4 (1.20.2-1)
- MATE library to manage keyboard configuration
- libmatemixer-common (1.20.1-1)
- Mixer library for MATE Desktop (common files)
- libmatemixer-dev (1.20.1-1)
- Mixer library for MATE Desktop (development files)
- libmatemixer-doc (1.20.1-1)
- Mixer library for MATE Desktop (documentation files)
- libmatemixer0 (1.20.1-1)
- Mixer library for MATE Desktop
- libmatepanelapplet
- virtueel pakket geboden door libmate-panel-applet-4-1
- libmatepanelapplet-dev
- virtueel pakket geboden door libmate-panel-applet-dev
- libmatepanelapplet-doc
- virtueel pakket geboden door libmate-panel-applet-doc
- libmateweather-common (1.20.2-1+deb10u1)
- MateWeather shared library (common files)
- libmateweather-dev (1.20.2-1+deb10u1)
- MateWeather shared library (development files)
- libmateweather1 (1.20.2-1+deb10u1)
- MateWeather shared library
- libmath-base-convert-perl (0.11-2)
- module for very fast base to base conversion
- libmath-base36-perl (0.14-1)
- Perl module for encoding and decoding of base36 strings
- libmath-base85-perl (0.4+dfsg-1)
- Perl extension for base 85 numbers, as referenced by RFC 1924
- libmath-basecalc-perl (1.019-1)
- module for numeric base conversion
- libmath-basecnv-perl (1.14-1)
- set of fast functions to convert between number bases
- libmath-bezier-perl (0.01-2)
- Perl module for the solution of Bezier Curves
- libmath-bigint-gmp-perl (1.6006-1+b1)
- module for arbitrary precision arithmetic using GMP
- libmath-bigint-perl (1.999816-1)
- arbitrary size integer/float math package
- libmath-bigint-perl (= 1.999811)
- virtueel pakket geboden door perl
- libmath-calc-units-perl (1.07-2)
- Human-readable unit-aware calculator
- libmath-calculus-differentiate-perl (0.3-2)
- Algebraic Differentiation Engine
- libmath-calculus-expression-perl (0.2.2.ds-2)
- Algebraic Calculus Tools Expression Class
- libmath-calculus-newtonraphson-perl (0.1-2)
- Algebraic Newton Raphson Implementation
- libmath-cartesian-product-perl (1.009-1)
- generate the Cartesian product of zero or more lists
- libmath-clipper-perl (1.27-1+b1)
- Perl module for Polygon clipping in 2D
- libmath-combinatorics-clojure (0.1.4-1)
- generate lazy sequences for common combinatorial functions in Clojure
- libmath-combinatorics-perl (0.09-5)
- module for performing combinations and permutations on lists
- libmath-complex-perl (= 1.5901)
- virtueel pakket geboden door perl
- libmath-convexhull-monotonechain-perl (0.1-1+b7 [amd64, armhf, i386], 0.1-1+b6 [arm64])
- Perl module to calculate a convex hull using Andrew's monotone chain algorithm
- libmath-convexhull-perl (1.4-1)
- Perl module to calculate convex hulls using Graham's scan (n*log(n))
- libmath-derivative-perl (1.01-1)
- Perl package for numeric 1st and 2nd order differentiation
- libmath-fibonacci-perl (1.5-5)
- Fibonacci numbers calculations Perl module
- libmath-geometry-voronoi-perl (1.3-3+b1)
- Perl module to compute Voronoi diagrams from sets of points
- libmath-gmp-perl (2.19-1+b1)
- high speed arbitrary size integer math for perl
- libmath-gradient-perl (0.04-2)
- module for calculating smooth numerical transitions
- libmath-gsl-perl (0.40-1)
- interface to the GNU Scientific Library using SWIG
- libmath-int128-perl (0.22-2)
- Perl module to manipulate 128 bits integers
- libmath-int64-perl (0.54-1+b4)
- module to manipulate 64 bits integers in Perl
- libmath-libm-perl (1.0-1+b7 [amd64, armhf, i386], 1.0-1+b6 [arm64])
- Perl extension for the C math library, libm
- libmath-mpfr-perl (4.09-1)
- perl interface to the MPFR (floating point) library
- libmath-nocarry-perl (1.112-1)
- Perl module for no carry arithmetic
- libmath-numbercruncher-perl (5.00-10)
- Perl5 module with commonly needed Maths and Stats functions
- libmath-numeric-tower-clojure (0.0.4-1)
- math functions for Clojure
- libmath-planepath-perl (126-1)
- Perl module to calculate mathematical paths through a 2-D plane
- libmath-polygon-perl (1.10-1)
- Perl module for Polygon calculations
- libmath-prime-util-gmp-perl (0.51-1+b1)
- utilities related to prime numbers, using GMP
- libmath-prime-util-perl (0.73-1)
- utilities related to prime numbers, including fast sieves and factoring
- libmath-quaternion-perl (0.07-2)
- Perl routines to handle operations on quaternions
- libmath-random-isaac-perl (1.004-1)
- Perl interface to the ISAAC PRNG Algorithm
- libmath-random-isaac-xs-perl (1.004-2+b4)
- Perl implementation of the ISAAC PRNG (C/XS Accelerated)
- libmath-random-mt-perl (1.17-1+b5)
- Perl implementation of the Mersenne Twister algorithm
- libmath-random-oo-perl (0.22-2)
- consistent object-oriented interface for generating random numbers
- libmath-random-perl (0.72-1+b5) [non-free]
- Perl collection of random number generators
- libmath-random-secure-perl (0.080001-1)
- cryptographically-secure, cross-platform replacement for rand()
- libmath-random-tt800-perl (1.01-3+b6)
- Perl module implementing the TT800 algorithm
- libmath-randomorg-perl (0.04-5)
- Perl module to retrieve random numbers and data from random.org
- libmath-round-perl (0.07-1)
- Perl extension for rounding numbers
- libmath-sparsematrix-perl (0.03-2)
- Provides a sparse matrix class for perl
- libmath-sparsevector-perl (0.04-2)
- Provides a sparse vector class for perl
- libmath-spline-perl (0.02-2)
- module providing cubic spline interpolation of data
- libmath-symbolic-perl (0.612-2)
- module for performing symbolic calculations
- libmath-tamuanova-perl (1.0.2-2+b7 [amd64, armhf, i386], 1.0.2-2+b5 [arm64])
- Perl extension for the tamuanova library
- libmath-utils-perl (1.13-1)
- collection of useful mathematical functions not in Perl
- libmath-vec-perl (1.01-3)
- Object-Oriented Vector Math Methods in Perl
- libmath-vecstat-perl (0.08-2)
- module providing some basic numeric stats on vectors
- libmath-vector-real-kdtree-perl (0.15-1)
- kd-Tree implementation for Perl on top of Math::Vector::Real
- libmath-vector-real-perl (0.18-1)
- Perl module for real number vector arithmetic
- libmath-vector-real-xs-perl (0.10-1+b5)
- Perl module for real vector arithmetic in fast XS
- libmatheval-dev (1.1.11+dfsg-3)
- GNU library for evaluating symbolic mathematical expressions (development)
- libmatheval1 (1.1.11+dfsg-3)
- GNU library for evaluating symbolic mathematical expressions (runtime)
- libmatheval1-dev
- virtueel pakket geboden door libmatheval-dev
- libmathic-dev (1.0~git20180311-2)
- C++ library for Groebner basis computation (developer tools)
- libmathic0v5 (1.0~git20180311-2)
- C++ library for Groebner basis computation (runtime library)
- libmathlib2-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core mathematical library (development files)
- libmathlib2-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core mathematical library
- libmatio-dev (1.5.13-3)
- MAT File I/O Library - development files
- libmatio-doc (1.5.13-3)
- MAT File I/O Library - documentation files
- libmatio4 (1.5.13-3)
- Library to read and write Matlab MAT files
- libmatroska-dev (1.4.9-1+deb10u1)
- extensible open standard audio/video container format (development files)
- libmatroska6v5 (1.4.9-1+deb10u1)
- extensible open standard audio/video container format (shared library)
- libmatthew-debug-java (0.8.1-1)
- Debugging library for Java
- libmatthew-io-java (0.8.1-1)
- Extra IO library for Java
- libmatthew-java-doc (0.8.1-1)
- API documentation for the libmatthew-java libraries
- libmaven-antrun-extended-plugin-java (1.43-1)
- Extended integration between Maven and Ant
- libmaven-antrun-plugin-java (1.8-3)
- Maven AntRun Plugin
- libmaven-archiver-java (3.2.0-2)
- Archiver component for Maven
- libmaven-archiver-java-doc (3.2.0-2)
- Archiver component for Maven - API documentation
- libmaven-artifact-transfer-java (0.9.1-4)
- Apache Maven Artifact Transfer
- libmaven-assembly-plugin-java (3.1.0-1)
- Maven Assembly Plugin
- libmaven-bundle-plugin-java (3.5.1-2)
- Maven plugin to handle artifact OSGi metadata
- libmaven-clean-plugin-java (3.1.0-1)
- Maven clean plugin
- libmaven-common-artifact-filters-java (3.0.1-3)
- Maven Common Artifact Filters
- libmaven-common-artifact-filters-java-doc (3.0.1-3)
- Documentation for Maven Common Artifact Filters
- libmaven-compiler-plugin-java (3.8.0-2)
- Maven Compiler plugin
- libmaven-dependency-analyzer-java (1.10-1)
- Maven Dependency Analyzer
- libmaven-dependency-plugin-java (3.1.1-1)
- Maven Dependency Plugin
- libmaven-dependency-tree-java (3.0.1-1)
- Maven Dependency Tree
- libmaven-dependency-tree-java-doc (3.0.1-1)
- Documentation for Maven Dependency Tree
- libmaven-deploy-plugin-java (2.8.2-3)
- Maven Deploy plugin
- libmaven-doxia-tools-java (1.4-4)
- utilities for integrating Doxia in Maven
- libmaven-doxia-tools-java-doc (1.4-4)
- Documentation for Maven Doxia Integration Tools
- libmaven-ejb-plugin-java (2.5.1-1)
- Maven EJB Plugin
- libmaven-enforcer-plugin-java (3.0.0~M2-1)
- Maven build rule execution framework
- libmaven-exec-plugin-java (1.6.0-4)
- Exec Maven Plugin (transitional package)
- libmaven-exec-plugin-java
- virtueel pakket geboden door libexec-maven-plugin-java
- libmaven-file-management-java (3.0.0-1)
- Maven File Management API
- libmaven-file-management-java-doc (3.0.0-1)
- Documentation for Maven File Management API
- libmaven-filtering-java (3.1.1-1)
- Maven Filtering
- libmaven-install-plugin-java (2.5.2-4)
- Maven install plugin
- libmaven-invoker-java (3.0.0-1)
- Maven Invoker
- libmaven-invoker-plugin-java (3.0.1-2)
- Maven Invoker Plugin
- libmaven-jar-plugin-java (3.1.1-1)
- Maven Jar Plugin
- libmaven-javadoc-plugin-java (3.0.1-3)
- Maven Javadoc Plugin
- libmaven-jaxb2-plugin-java (0.14.0-1)
- Maven JAXB 2.x Plugin Project
- libmaven-mapping-java (3.0.0-1)
- Apache Maven Mapping
- libmaven-parent-java (31-2)
- Maven metadata for Apache Maven itself
- libmaven-plugin-testing-java (3.3.0-1)
- Maven Plugin Testing
- libmaven-plugin-tools-java (3.6.0-1)
- Maven Plugin Tools
- libmaven-processor-plugin-java (3.3.3-1)
- Maven plugin to process annotations for Java 6 at compile time
- libmaven-reporting-api-java (3.0-1)
- Maven Reporting API
- libmaven-reporting-exec-java (1.4-2)
- Apache Maven Reporting Executor
- libmaven-reporting-impl-java (3.0.0-2)
- Maven Reporting API Implementation
- libmaven-reporting-impl-java-doc (3.0.0-2)
- Documentation for Maven Reporting API Implementation
- libmaven-repository-builder-java (1.0-3)
- Maven Repository Builder
- libmaven-repository-builder-java-doc (1.0-3)
- Documentation for Maven Repository Builder
- libmaven-resolver-java (1.3.1-1)
- Library to handle Java artifact repositories
- libmaven-resolver-transport-http-java (1.3.1-1)
- Library to handle Java artifact repositories (HTTP transport)
- libmaven-resources-plugin-java (3.1.0-1)
- Maven resources plugin
- libmaven-scm-java (1.11.1-1)
- Maven SCM - Common API for SCM operations (Core API)
- libmaven-scm-java-doc (1.11.1-1)
- Maven SCM - Common API for SCM operations (Documentation)
- libmaven-scm-providers-java (1.11.1-1)
- Maven SCM - Common API for SCM operations (Providers)
- libmaven-script-interpreter-java (1.2-1)
- Maven Script Interpreter
- libmaven-shade-plugin-java (3.1.1-1)
- Maven shade plugin
- libmaven-shared-incremental-java (1.1-3)
- Maven incremental build utilities
- libmaven-shared-incremental-java-doc (1.1-3)
- Maven incremental build utilities (documentation)
- libmaven-shared-io-java (3.0.0-3)
- Maven API for I/O support
- libmaven-shared-io-java-doc (3.0.0-3)
- Documentation for Maven API for I/O support
- libmaven-shared-jar-java (1.2-3)
- Maven JAR Utilities
- libmaven-shared-jar-java-doc (1.2-3)
- Documentation for Maven JAR Utilities
- libmaven-shared-utils-java (3.3.0-1+deb10u1) [security]
- Replacement for plexus-utils in Maven
- libmaven-shared-utils-java-doc (3.3.0-1+deb10u1) [security]
- Replacement for plexus-utils in Maven (documentation)
- libmaven-site-plugin-java (3.6-3)
- Maven Site Plugin for generating a site
- libmaven-source-plugin-java (3.0.1-2)
- Maven Source Plugin
- libmaven-verifier-java (1.6-1)
- Maven Verifier Component
- libmaven-verifier-java-doc (1.6-1)
- Documentation for Maven Verifier Component
- libmaven-war-plugin-java (3.2.0-1)
- Maven WAR Plugin
- libmaven3-core-java (3.6.0-1)
- Core libraries for Maven 3
- libmavibot-java (1.0.0~M8-1)
- Apache Mavibot - MVCC BTree Java Implementation
- libmawk-dev (1.0.1-1)
- embeddable mawk lib
- libmawk1 (1.0.1-1)
- embeddable mawk lib
- libmaxflow-dev (3.0.5-2)
- Development files for the maxflow-mincut algorithm
- libmaxflow0 (3.0.5-2)
- This library provides the maxflow-mincut algorithm
- libmaxmind-db-common-perl (0.040001-1)
- collection of common code for the MaxMind DB Perl modules
- libmaxmind-db-reader-perl (1.000013-2)
- Perl module to read MaxMind DB files and look up IP addresses
- libmaxmind-db-reader-xs-perl (1.000007-2)
- fast XS implementation of the MaxMind DB reader
- libmaxminddb-dev (1.3.2-1+deb10u1)
- IP geolocation database library (development headers)
- libmaxminddb0 (1.3.2-1+deb10u1)
- IP geolocation database library
- libmbassador-java (1.3.1-1)
- feature-rich Java event bus optimized for high-throughput
- libmbedcrypto3 (2.16.9-0~deb10u1) [security]
- lightweight crypto and SSL/TLS library - crypto library
- libmbedtls-dev (2.16.9-0~deb10u1) [security]
- lightweight crypto and SSL/TLS library - development files
- libmbedtls-doc (2.16.9-0~deb10u1) [security]
- lightweight crypto and SSL/TLS library - documentation
- libmbedtls12 (2.16.9-0~deb10u1) [security]
- lightweight crypto and SSL/TLS library - tls library
- libmbedx509-0 (2.16.9-0~deb10u1) [security]
- lightweight crypto and SSL/TLS library - x509 certificate library
- libmbim-glib-dev (1.18.0-1)
- Header files for adding MBIM support to applications that use glib
- libmbim-glib-doc (1.18.0-1)
- API documentation for the MBIM protocol library
- libmbim-glib4 (1.18.0-1)
- Support library to use the MBIM protocol
- libmbim-proxy (1.18.0-1)
- Proxy to communicate with MBIM ports
- libmbim-utils (1.18.0-1)
- Utilities to use the MBIM protocol from the command line
- libmbt-dev (3.4-1)
- memory-based tagger-generator and tagger - development
- libmbt1 (3.4-1)
- memory-based tagger-generator and tagger - runtime
- libmce-perl (1.838-1)
- Many-Core Engine for Perl providing parallel processing capabilities
- libmckoisqldb-java (1.0.6-2)
- Mckoi SQL Database (MckoiSQLDB)
- libmckoisqldb-java-doc (1.0.6-2)
- Documentation for Mckoi SQL Database (MckoiSQLDB)
- libmcpp-dev (2.7.2-4+b2)
- Alternative C/C++ preprocessor (development files)
- libmcpp0 (2.7.2-4+b2)
- Alternative C/C++ preprocessor (shared library)
- libmcrypt-dev (2.5.8-3.4)
- De-/Encryption Library development files
- libmcrypt4 (2.5.8-3.4)
- De-/Encryption Library
- libmd-dev (1.0.1-2)
- message digest functions from BSD systems - development files
- libmd0 (1.0.1-2)
- message digest functions from BSD systems - shared library
- libmdb2 (0.7.1-6)
- Core library for accessing JET / MS Access (MDB) files
- libmdbsql2 (0.7.1-6)
- mdbtools SQL library
- libmdc-dev (0.16.1+dfsg-1)
- Medical Image (DICOM, ECAT, ...) conversion tool (development)
- libmdc2-dev
- virtueel pakket geboden door libmdc-dev
- libmdc3 (0.16.1+dfsg-1)
- Medical Image (DICOM, ECAT, ...) conversion tool (library)
- libmdds-dev (1.4.3-6)
- Multi Dimension Data structure library -- headers
- libmdds-doc (1.4.3-6)
- Multi Dimension Data structure library -- documentation
- libmdsp-dev (0.11-10)
- METAR Decoder Software Package Library development files
- libmeanwhile-dev (1.0.2-9)
- development package for libmeanwhile1
- libmeanwhile1 (1.0.2-9)
- open implementation of the Lotus Sametime Community Client protocol
- libmecab-dev (0.996-6)
- Header files of Mecab
- libmecab-java (0.99.6-3)
- mecab binding for Java - java classes
- libmecab-jni (0.99.6-3)
- mecab binding for Java - native interface
- libmecab-perl (0.99.6-2+b3)
- mecab binding for Perl
- libmecab2 (0.996-6)
- Libraries of Mecab
- libmed-dev (4.0.0+repack-7)
- Development files for libmed
- libmed-doc (4.0.0+repack-7)
- Documentation for the MED-fichier library
- libmed-tools (4.0.0+repack-7)
- Runtime tools to handle MED files
- libmed11 (4.0.0+repack-7)
- Library to exchange meshed data (Fortran version)
- libmedc-dev (4.0.0+repack-7)
- Development files for libmedc
- libmedc11 (4.0.0+repack-7)
- Library to exchange meshed data (C version)
- libmediaart-2.0-0 (1.9.4-2)
- media art extraction and cache management library
- libmediaart-2.0-dev (1.9.4-2)
- media art extraction and cache management library - development files
- libmediaart-doc (1.9.4-2)
- media art extraction and cache management library - documentation
- libmediainfo-dev (18.12-2)
- library reading metadata from media files -- headers
- libmediainfo-doc (18.12-2)
- library for reading metadata from media files -- documentation
- libmediainfo0v5 (18.12-2)
- library for reading metadata from media files -- shared library
- libmediastreamer-base10 (1:2.16.1-4+b1)
- Voice and video streaming engine for telephony (base)
- libmediastreamer-dev (1:2.16.1-4+b1)
- Development files for the mediastreamer2 library
- libmediastreamer-voip10 (1:2.16.1-4+b1)
- Voice and video streaming engine for telephony (voip)
- libmediawiki-api-perl (0.41-1)
- Perl interface to the MediaWiki API
- libmediawiki-bot-perl (5.006003-1)
- high-level bot framework for interacting with MediaWiki wikis
- libmediawiki-dumpfile-perl (0.2.2-1)
- Perl module to parse MediaWiki dump files
- libmedimport-dev (4.0.0+repack-7)
- Development files for libmedimport0
- libmedimport0v5 (4.0.0+repack-7)
- Library to import old version files
- libmedimportcxx-dev
- virtueel pakket geboden door libmedimport-dev
- libmedley-clojure (1.0.0-1)
- Clojure/ClojureScript utility library
- libmeep-dev (1.7.0-3)
- development library for using meep
- libmeep-lam4-12 (1.7.0-3)
- library for using parallel (OpenMPI) version of meep
- libmeep-lam4-dev (1.7.0-3)
- development library for using parallel (OpenMPI) version of meep
- libmeep-mpi-default-dev (1.7.0-3)
- development library for using parallel (OpenMPI) version of meep
- libmeep-mpi-default12 (1.7.0-3)
- library for using parallel (OpenMPI) version of meep
- libmeep-mpich2-12 (1.7.0-3)
- library for using parallel (OpenMPI) version of meep
- libmeep-mpich2-dev (1.7.0-3)
- development library for using parallel (OpenMPI) version of meep
- libmeep-openmpi-dev (1.7.0-3)
- development library for using parallel (OpenMPI) version of meep
- libmeep-openmpi12 (1.7.0-3)
- library for using parallel (OpenMPI) version of meep
- libmeep12 (1.7.0-3)
- library for using meep
- libmelt-ocaml-dev (1.4.0-2+b2 [armhf], 1.4.0-2+b1 [amd64, arm64, i386])
- LaTeX with OCaml (libraries)
- libmelt-ocaml-dev-gpz42
- virtueel pakket geboden door libmelt-ocaml-dev
- libmelt-ocaml-dev-vhpw4
- virtueel pakket geboden door libmelt-ocaml-dev
- libmemcached-dbg (1.0.18-4.2)
- Debug Symbols for libmemcached
- libmemcached-dev (1.0.18-4.2)
- C and C++ client library to the memcached server (development files)
- libmemcached-libmemcached-perl (1.001801+dfsg-2+b4)
- thin, fast, full interface to the libmemcached client API
- libmemcached-tools (1.0.18-4.2)
- Commandline tools for talking to memcached via libmemcached
- libmemcached11 (1.0.18-4.2)
- C and C++ client library to the memcached server
- libmemcachedutil2 (1.0.18-4.2)
- library implementing connection pooling for libmemcached
- libmemchan-tcl
- virtueel pakket geboden door tcl-memchan
- libmemchan-tcl-dev
- virtueel pakket geboden door tcl-memchan-dev
- libmemkind-dev (1.8.0-4)
- user-extensible heap manager for heterogeneous memory platforms
- libmemkind-progs (1.8.0-4)
- user-extensible heap manager for heterogeneous memory platforms
- libmemkind0 (1.8.0-4)
- user-extensible heap manager for heterogeneous memory platforms
- libmemoize-expirelru-perl (0.56-1)
- Expiry plug-in for Memoize that adds LRU cache expiration
- libmemoize-memcached-perl (0.04-1)
- implementation of Memoize using memcached for storage
- libmemory-usage-perl (0.201-3)
- Determine actual memory usage of Perl programs
- libmems-1.6-dev
- virtueel pakket geboden door libmems-dev
- libmems-dev (1.6.0+4725-8+b1)
- development library to support DNA string matching and comparative genomics
- libmems1 (1.6.0+4725-8+b1)
- library to support DNA string matching and comparative genomics
- libmemtailor-dev (1.0~git20160311-2)
- C++ library of special purpose memory allocators (developer tools)
- libmemtailor0 (1.0~git20160311-2)
- C++ library of special purpose memory allocators (shared library)
- libmenhir-ocaml-dev (20181113-1)
- Menhir library for OCaml
- libmenhir-ocaml-dev-2dq95
- virtueel pakket geboden door libmenhir-ocaml-dev
- libmenhir-ocaml-dev-bzqi1
- virtueel pakket geboden door libmenhir-ocaml-dev
- libmenlo-legacy-perl (1.9022-1)
- legacy internal and client support for Menlo
- libmenlo-perl (1.9019-1)
- CPAN client backend
- (1.1.0-1)
- LXDE implementation of the freedesktop Menu's cache (libexec)
- (1.1.0-1)
- LXDE implementation of the freedesktop Menu's cache (devel)
- (1.1.0-1)
- LXDE implementation of the freedesktop Menu's cache (docs)
- (1.1.0-1)
- LXDE implementation of the freedesktop Menu's cache
- libmercator-0.3-4 (0.3.3-5)
- WorldForge terrain library
- libmercator-0.3-dev (0.3.3-5)
- WorldForge terrain library - development files
- libmeryl-dev (0~20150903+r2013-6)
- in- and out-of-core kmer counting and utilities (development lib)
- libmeschach-dev (1.2b-14)
- development files for meschach
- libmeschach1.2 (1.2b-14)
- library for performing operations on matrices and vectors
- libmessage-filters-dev (1.14.3+ds1-5+deb10u3)
- Development files for Robot OS message-filters
- libmessage-filters1d (1.14.3+ds1-5+deb10u3)
- Library for Robot OS message-filters
- libmessage-passing-amqp-perl (0.007-1)
- input and output message-pass messages via AMQP
- libmessage-passing-filter-regexp-perl (0.05-2)
- regexp capture filter For Message::Passing
- libmessage-passing-perl (0.116-4)
- simple way of doing messaging
- libmessage-passing-zeromq-perl (0.010-2)
- input and output messages to ZeroMQ
- (0.6.0-2)
- Ayatana Messaging Menu - library development files
- (0.6.0-2)
- Ayatana Messaging Menu - shared library
- (1.0.1-1)
- CLI binding for the MessagingMenu library - development files
- (1.0.1-1)
- CLI binding for the MessagingMenu library
- libmeta-builder-perl (0.004-1)
- tool for creating Meta objects to track custom metrics
- libmetabase-fact-perl (0.025-2)
- base class for Metabase Facts
- libmetacity-dev (1:3.30.1-2)
- development files for the Metacity window manager
- libmetacity1 (1:3.30.1-2)
- library for the Metacity window manager
- libmetacpan-client-perl (2.025000-1)
- MetaCPAN API client
- (2.11.0-1)
- JPEG metadata extraction framework
- libmetainf-services-java (1.8-1)
- META-INF/services generator
- libmetainf-services-java-doc (1.8-1)
- Documentation for META-INF/services generator
- libmethod-alias-perl (1.03-2)
- module to create method aliases
- libmethod-autoload-perl (0.02-2)
- autoloads methods from a list of packages into the current package
- libmethod-signatures-perl (20170211-1)
- method and function declarations with signatures and no source filter
- libmethod-signatures-simple-perl (1.07-1)
- module for basic method declarations with signatures
- libmetis-dev (5.1.0.dfsg-5+b2)
- Serial Graph Partitioning and Fill-reducing Matrix Ordering. Header
- libmetis-doc (5.1.0.dfsg-5)
- Serial Graph Partitioning and Fill-reducing Matrix Ordering. Documentation
- libmetis-edf-dev (4.1-2-4+b1) [non-free]
- Family of Multilevel Partitioning Algorithms
- libmetis-edf4.1 (4.1-2-4+b1) [non-free]
- Family of Multilevel Partitioning Algorithms
- libmetis5 (5.1.0.dfsg-5+b2)
- Serial Graph Partitioning and Fill-reducing Matrix Ordering
- libmetis5-dbg (5.1.0.dfsg-5+b2)
- Serial Graph Partitioning and Fill-reducing Matrix Ordering. Debugging symbols
- libmetrics-clojure (2.9.0-2)
- Clojure wrapper for Coda Hale's metrics library
- libmetro-policy-java (2.7.2-3)
- WS-Policy implementation in Java
- libmetrohash-dev (1.1.3-4)
- hash functions for non-cryptographic use cases (headers)
- libmetrohash1 (1.1.3-4)
- hash functions for non-cryptographic use cases
- libmetview-dev (5.3.0-2)
- Development files for MetView
- libmetview0d (5.3.0-2)
- Shared libraries for MetView
- libmgba (0.7.0-1)
- Game Boy Advance emulator (common library for mGBA)
- libmgl-data (2.4.2.1-5)
- library for scientific graphs (data files)
- libmgl-dev (2.4.2.1-5)
- library for scientific graphs (development files)
- libmgl-fltk7.5.0 (2.4.2.1-5)
- library for scientific graphs (fltk interface for windows)
- libmgl-glut7.5.0 (2.4.2.1-5)
- library for scientific graphs (glut interface for windows)
- libmgl-mpi7.5.0 (2.4.2.1-5)
- library for scientific graphs (mpi enhanced runtime library)
- libmgl-qt5-7.5.0 (2.4.2.1-5)
- library for scientific graphs (Qt interface for windows)
- libmgl-wnd7.5.0 (2.4.2.1-5)
- library for scientific graphs (windows runtime library)
- libmgl-wx7.5.0 (2.4.2.1-5)
- library for scientific graphs (wx interface for windows)
- libmgl7.5.0 (2.4.2.1-5)
- library for scientific graphs (main runtime library)
- libmhash-dev (0.9.9.9-7+b1)
- Library for cryptographic hashing and message authentication
- libmhash2 (0.9.9.9-7+b1)
- Library for cryptographic hashing and message authentication
- libmia-2.4-4 (2.4.6-4)
- library for 2D and 3D gray scale image processing
- libmia-2.4-dev (2.4.6-4)
- library for 2D and 3D gray scale image processing, development files
- libmia-2.4-doc (2.4.6-4)
- library for 2D and 3D gray scale image processing, documentation
- libmialm-dev (1.0.9-2)
- Development files for the MIA landmark library
- libmialm-doc (1.0.9-2)
- Documentation for the MIA landmark library
- libmialm3 (1.0.9-2)
- Landmark handling for the MIA tool chain
- libmiaviewit-dev (1.0.5-2)
- development files for the 3D visualization library
- libmiaviewit0 (1.0.5-2)
- MIA addon library for 3D visualization
- libmicroba-java (1:0.4.4.3-5)
- set of JFC (Swing) components
- libmicroba-java-doc (1:0.4.4.3-5)
- Documentation for libmicroba-java
- libmicroblog4 (4:4.14.10-11)
- library for using the Microblog Akonadi Resource
- libmicrohttpd-dev (0.9.62-1+deb10u1) [security]
- library embedding HTTP server functionality (development)
- libmicrohttpd12 (0.9.62-1+deb10u1) [security]
- library embedding HTTP server functionality
- libmidi-perl (0.83-1)
- module to read, compose, modify, and write MIDI files in Perl
- libmigemo-dev (1:1.2+gh0.20150404-7)
- Japanese incremental search tool written in C - development binaries
- libmigemo1 (1:1.2+gh0.20150404-7)
- Japanese incremental search tool written in C - library
- libmiglayout-java (5.1-2)
- Java Layout Manager
- libmigrate-parsetree-ocaml (1.2.0-2)
- Convert OCaml parsetrees between different major versions (Runtime library)
- libmigrate-parsetree-ocaml-39b14
- virtueel pakket geboden door libmigrate-parsetree-ocaml
- libmigrate-parsetree-ocaml-dev (1.2.0-2)
- Convert OCaml parsetrees between different major versions (Development package)
- libmigrate-parsetree-ocaml-dev-39b14
- virtueel pakket geboden door libmigrate-parsetree-ocaml-dev
- libmigrate-parsetree-ocaml-dev-mmen0
- virtueel pakket geboden door libmigrate-parsetree-ocaml-dev
- libmigrate-parsetree-ocaml-dev-r5y39
- virtueel pakket geboden door libmigrate-parsetree-ocaml-dev
- libmigrate-parsetree-ocaml-dev-tpm58
- virtueel pakket geboden door libmigrate-parsetree-ocaml-dev
- libmigrate-parsetree-ocaml-doc (1.2.0-2)
- Documentation for ocaml-migrate-parsetree
- libmigrate-parsetree-ocaml-mmen0
- virtueel pakket geboden door libmigrate-parsetree-ocaml
- libmigrate-parsetree-ocaml-r5y39
- virtueel pakket geboden door libmigrate-parsetree-ocaml
- libmigrate-parsetree-ocaml-tpm58
- virtueel pakket geboden door libmigrate-parsetree-ocaml
- libmigrate-parsetree-ocamlbuild-ocaml (1.2.0-2)
- Provide an ocaml-migrate-parsetree plugin for ocamlbuild (Runtime library)
- libmigrate-parsetree-ocamlbuild-ocaml-55se4
- virtueel pakket geboden door libmigrate-parsetree-ocamlbuild-ocaml
- libmigrate-parsetree-ocamlbuild-ocaml-dev (1.2.0-2)
- Provide an ocaml-migrate-parsetree plugin for ocamlbuild (Development package)
- libmigrate-parsetree-ocamlbuild-ocaml-dev-55se4
- virtueel pakket geboden door libmigrate-parsetree-ocamlbuild-ocaml-dev
- libmigrate-parsetree-ocamlbuild-ocaml-dev-u3pl4
- virtueel pakket geboden door libmigrate-parsetree-ocamlbuild-ocaml-dev
- libmigrate-parsetree-ocamlbuild-ocaml-u3pl4
- virtueel pakket geboden door libmigrate-parsetree-ocamlbuild-ocaml
- libmikmatch-ocaml (1.0.8-1+b2 [armhf], 1.0.8-1+b1 [amd64, arm64, i386])
- camlp4 extension for pattern matching with regexps - runtime
- libmikmatch-ocaml-dev (1.0.8-1+b2 [armhf], 1.0.8-1+b1 [amd64, arm64, i386])
- camlp4 extension for pattern matching with regexps - development
- libmikmatch-ocaml-dev-mlre1
- virtueel pakket geboden door libmikmatch-ocaml-dev
- libmikmatch-ocaml-dev-rq538
- virtueel pakket geboden door libmikmatch-ocaml-dev
- libmikmatch-ocaml-mlre1
- virtueel pakket geboden door libmikmatch-ocaml
- libmikmatch-ocaml-rq538
- virtueel pakket geboden door libmikmatch-ocaml
- libmikmod-config (3.3.11.1-4)
- Portable sound library - development binaries
- libmikmod-dev (3.3.11.1-4)
- Portable sound library - development files
- libmikmod2-dev
- virtueel pakket geboden door libmikmod-dev
- libmikmod3 (3.3.11.1-4)
- Portable sound library
- libmilib-java (1.10-2)
- library for Next Generation Sequencing (NGS) data processing
- libmilter-dev (8.15.2-14~deb10u1)
- Sendmail Mail Filter API (Milter) (development files)
- libmilter1.0.1 (8.15.2-14~deb10u1)
- Sendmail Mail Filter API (Milter)
- libmime-base32-perl (1.303-1)
- Base32 encoder/decoder
- libmime-base64-perl (= 3.15)
- virtueel pakket geboden door perl
- libmime-base64-urlsafe-perl (0.01-2)
- Perl version of Python's URL-safe base64 codec
- libmime-charset-perl (1.012.2-1)
- module for MIME character set information
- libmime-encwords-perl (1.014.3-2)
- Perl interface to deal with RFC 2047 encoded words
- libmime-explode-perl (0.39-3+b6)
- Perl extension to explode MIME messages
- libmime-lite-html-perl (1.24-3)
- Transform HTML page into MIME email
- libmime-lite-perl (3.030-2)
- module for convenient MIME message creation
- libmime-lite-tt-html-perl (0.04-2)
- module to create HTML mail with MIME::Lite and TT
- libmime-lite-tt-perl (0.02-1)
- module to generate MIME messages from Template Toolkit templates
- libmime-tools-perl (5.509-1)
- Perl5 modules for MIME-compliant messages
- libmime-types-perl (2.17-1)
- Perl extension for determining MIME types and Transfer Encoding
- libmime-util-java (2.1.3-3)
- MIME types detector library
- libmimelib1-dev (5:1.1.4-3)
- mime library - development
- libmimelib1c2a (5:1.1.4-3)
- mime library - runtime
- libmimepull-java (1.9.7-1)
- Pull API for parsing MIME messages
- libmimetic-dev (0.9.8-7)
- C++ MIME library (development)
- libmimetic-doc (0.9.8-7)
- C++ MIME library (documentation)
- libmimetic0v5 (0.9.8-7)
- C++ MIME library (runtime)
- libmimic-dev (1.0.4-2.3+b11)
- A video codec for Mimic V2.x content (development files)
- libmimic-doc (1.0.4-2.3)
- A video codec for Mimic V2.x content (documentation)
- libmimic0 (1.0.4-2.3+b11)
- A video codec for Mimic V2.x content
- libmina-java (1.1.7.dfsg-13)
- Java network application framework
- libmina-java-doc (1.1.7.dfsg-13)
- Java network application framework - documentation
- libmina2-java (2.0.19-2)
- Java network application framework
- libmina2-java-doc (2.0.19-2)
- Java network application framework - documentation
- libminc-dev (2.4.03-2+b1)
- MNI medical image format development environment
- libminc2-5.2.0 (2.4.03-2+b1)
- MNI medical image format library
- libmini18n-dev (0.2.1-1)
- minimal internationalization library - devel headers
- libmini18n1 (0.2.1-1)
- minimal internationalization library
- libmini18n1-dbg (0.2.1-1)
- minimal internationalization library - debug symbols
- libminicoredumper-dev (2.0.1-1)
- minicoredumper library development files
- libminicoredumper2 (2.0.1-1)
- minicoredumper library
- libminidjvu-dev (0.8.svn.2010.05.06+dfsg-6)
- Small DjVu encoder/decoder, development files
- libminidjvu0 (0.8.svn.2010.05.06+dfsg-6)
- Small DjVu encoder/decoder, shared library
- libminimap-dev (0.2-4)
- development headers for libminimap
- libminimap0 (0.2-4)
- library for approximate mapping of long biosequences
- libminini-dev (1.2.a+ds-1)
- minimal INI file parser - development headers
- libminini1 (1.2.a+ds-1)
- minimal INI file parser
- libminion-perl (9.09+dfsg-1)
- job queue for Mojolicious
- libminiupnpc-dev (2.1-1+b1)
- UPnP IGD client lightweight library development files
- libminiupnpc17 (2.1-1+b1)
- UPnP IGD client lightweight library
- libminizip-dev (1.1-8+deb10u1) [security]
- compression library - minizip development files
- libminizip1 (1.1-8+deb10u1) [security]
- compression library - minizip library
- libminlog-java (1.3.0-1)
- minimal Java logging library
- libminlog-java-doc (1.3.0-1)
- minimal Java logging library - doc
- libminpack1 (19961126+dfsg1-5)
- nonlinear equations and nonlinear least squares shared library
- libmir-core-dev (0.0.12-1)
- D software building blocks and conventions -- development files
- libmir-core0 (0.0.12-1)
- Base D software building blocks and conventions
- libmirisdr-dev (0.0.4.59ba37-5+b1)
- Software defined radio support for Mirics hardware (development files)
- libmirisdr0 (0.0.4.59ba37-5+b1)
- Software defined radio support for Mirics hardware (library)
- libmission-control-plugins-dev (1:5.16.4-2)
- management daemon for Telepathy (headers for plugins)
- libmission-control-plugins-doc (1:5.16.4-2)
- management daemon for Telepathy (documentation for plugins)
- libmission-control-plugins0 (1:5.16.4-2)
- management daemon for Telepathy (library for plugins)
- (0.020-1)
- module to make classes provide a familiar "param" method
- (0.140001-1)
- module to add extra stashes of data to objects
- libmixin-linewise-perl (0.108-1)
- module to handle general file input/output operations
- libmjpegtools-dev (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (development)
- libmjpegutils-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- libmkdoc-xml-perl (0.75-4)
- MKDoc XML Toolkit
- libmkl-avx (2019.2.187-1) [non-free]
- Intel® MKL: Kernel library for Intel® AVX enabled processors
- libmkl-avx2 (2019.2.187-1) [non-free]
- Intel® MKL: Kernel library for Intel® AVX2 enabled processors
- libmkl-avx512 (2019.2.187-1) [non-free]
- Intel® MKL: Kernel library for Intel® AVX-512 enabled processors
- libmkl-avx512-mic (2019.2.187-1) [non-free]
- Intel® MKL : Kernel library for Intel® AVX-512 Xeon Phi processors
- libmkl-blacs-intelmpi-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL : ILP64 BLACS routines for Intel® MPI and MPICH2+
- libmkl-blacs-intelmpi-lp64 (2019.2.187-1) [non-free]
- Intel® MKL : LP64 BLACS routines for Intel® MPI and MPICH2+
- libmkl-blacs-openmpi-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL : ILP64 version of BLACS routines for Open MPI
- libmkl-blacs-openmpi-lp64 (2019.2.187-1) [non-free]
- Intel® MKL : LP64 version of BLACS routines for Open MPI
- libmkl-blacs-sgimpt-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL : ILP64 version of BLACS routines for SGI MPT
- libmkl-blacs-sgimpt-lp64 (2019.2.187-1) [non-free]
- Intel® MKL : LP64 version of BLACS routines for SGI MPI Toolkit
- libmkl-cdft-core (2019.2.187-1) [non-free]
- Intel® MKL : Cluster version of FFT functions
- libmkl-cluster-dev (2019.2.187-1) [non-free]
- Static libs of intel-MKL: Cluster
- libmkl-computational-dev (2019.2.187-1) [non-free]
- Static libs of intel-MKL: Computational Layer
- libmkl-core (2019.2.187-1) [non-free]
- Intel® MKL: Library dispatcher of Computational Layer
- libmkl-def (2019.2.187-1) [non-free]
- Intel® MKL: Default kernel library
- libmkl-dev (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL) (Dev)
- libmkl-full-dev (2019.2.187-1) [non-free]
- Intel® Math Kernel Library (Intel® MKL) (Full Version Dev)
- libmkl-gf (2019.2.187-1) [non-free]
- Intel® MKL: (ia32) Interface library for the GNU Fortran compiler
- libmkl-gf-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL: ILP64 interface library for the GNU Fortran compilers
- libmkl-gf-lp64 (2019.2.187-1) [non-free]
- Intel® MKL: LP64 interface library for the GNU Fortran compilers
- libmkl-gnu-thread (2019.2.187-1) [non-free]
- Intel® MKL: OpenMP threading library for GNU Fortran/C compilers
- libmkl-intel (2019.2.187-1) [non-free]
- Intel® MKL: (ia32) Interface library for the Intel compilers
- libmkl-intel-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL: ILP64 interface library for the Intel compilers
- libmkl-intel-lp64 (2019.2.187-1) [non-free]
- Intel® MKL: LP64 interface library for the Intel compilers
- libmkl-intel-thread (2019.2.187-1) [non-free]
- Intel® MKL: OpenMP threading library for the Intel compilers
- libmkl-interface-dev (2019.2.187-1) [non-free]
- Static libs of intel-MKL: Interface Layer
- libmkl-locale (2019.2.187-1) [non-free]
- Intel MKL: Locale Files Used by All Shared Objects
- libmkl-mc (2019.2.187-1) [non-free]
- Intel® MKL: Kernel library for Intel® SSSE3 enabled processors
- libmkl-mc3 (2019.2.187-1) [non-free]
- Intel® MKL: Kernel library for Intel® SSE4.2 enabled processors
- libmkl-meta-cluster (2019.2.187-1) [non-free]
- Metapackage for Intel-MKL: Shared Object for Cluster
- libmkl-meta-computational (2019.2.187-1) [non-free]
- Metapackage of intel-MKL: Shared Objects for Computaional Layer
- libmkl-meta-interface (2019.2.187-1) [non-free]
- Metapackage of intel-MKL: Shared Objects of Interface Layer
- libmkl-meta-threading (2019.2.187-1) [non-free]
- Metapackage of intel-MKL: Shared Objects of Threading Layer
- libmkl-p4 (2019.2.187-1) [non-free]
- Intel® MKL : Pentium 4 processor kernel library
- libmkl-p4m (2019.2.187-1) [non-free]
- Intel® MKL : Kernel library for Intel SSSE3 enabled processors
- libmkl-p4m3 (2019.2.187-1) [non-free]
- Intel® MKL : Kernel library for Intel SSE4.2 enabled processors
- libmkl-pgi-thread (2019.2.187-1) [non-free]
- Intel® MKL: OpenMP threading library for the PGI compiler
- libmkl-rt (2019.2.187-1) [non-free]
- Intel® MKL: Single Dynamic Library (SDL)
- libmkl-scalapack-ilp64 (2019.2.187-1) [non-free]
- Intel® MKL : ScaLAPACK routine library supporting ILP64 interface
- libmkl-scalapack-lp64 (2019.2.187-1) [non-free]
- Intel® MKL : ScaLAPACK routine library supporting LP64 interface
- libmkl-sequential (2019.2.187-1) [non-free]
- Intel® MKL: Sequential library
- libmkl-tbb-thread (2019.2.187-1) [non-free]
- Intel® MKL: Intel TBB threading library for the Intel compilers
- libmkl-threading-dev (2019.2.187-1) [non-free]
- Static libs of intel-MKL: Threading Layer
- libmkl-vml-avx (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF optimized for Intel® AVX enabled processors
- libmkl-vml-avx2 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF optimized for Intel® AVX2 enabled processors
- libmkl-vml-avx512 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF optimized for AVX-512 on Xeon® processors
- libmkl-vml-avx512-mic (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF optimized for AVX-512 on Xeon Phi™ processors
- libmkl-vml-cmpt (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for conditional numerical reproducibility
- libmkl-vml-def (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF part of default kernels
- libmkl-vml-ia (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF default kernel for newer Intel architecture
- libmkl-vml-mc (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for Intel® SSSE3 enabled processors
- libmkl-vml-mc2 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for 45nm Hi-k Core2 and Xeon processor
- libmkl-vml-mc3 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for Intel® SSE4.2 enabled processors
- libmkl-vml-p4 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF part of Pentium 4 processor kernel
- libmkl-vml-p4m (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for Intel® SSSE3 enabled processors
- libmkl-vml-p4m2 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for 45nm Hi-k Core2 and Intel Xeon® processor
- libmkl-vml-p4m3 (2019.2.187-1) [non-free]
- Intel® MKL : VM/VS/DF for Intel® SSE4.2 enabled processors
- libmkldnn-dev (0.17.4-1)
- Intel Math Kernel Library for Deep Neural Networks (dev)
- libmkldnn-doc (0.17.4-1)
- Math Kernel Library for Deep Neural Networks (doc)
- libmkldnn0 (0.17.4-1)
- Intel Math Kernel Library for Deep Neural Networks (lib)
- libmldbm-perl (2.05-2)
- module for storing multidimensional hash structures in perl tied hashes
- libmldbm-sync-perl (0.30-4)
- Perl module for safe concurrent access to MLDBM databases
- libmlir-13 (1:13.0.1-6~deb10u4)
- Multi-Level Intermediate Representation library
- libmlir-13-dev (1:13.0.1-6~deb10u4)
- Multi-Level Intermediate Representation library
- libmlir-x.y
- virtueel pakket geboden door libmlir-13
- libmlir-x.y-dev
- virtueel pakket geboden door libmlir-13-dev
- libmlnlffi-smlnj (110.79-4)
- No Longer Foreign Function Interface
- libmlpack-dev (3.0.4-1)
- intuitive, fast, scalable C++ machine learning library (development libs)
- libmlpack3 (3.0.4-1)
- intuitive, fast, scalable C++ machine learning library (runtime library)
- libmlpcap-ocaml (0.9-17.1)
- binding of libpcap for OCaml (runtime package)
- libmlpcap-ocaml-6kmr9
- virtueel pakket geboden door libmlpcap-ocaml
- libmlpcap-ocaml-dev (0.9-17.1)
- binding of libpcap for OCaml
- libmlpcap-ocaml-dev-6kmr9
- virtueel pakket geboden door libmlpcap-ocaml-dev
- libmlpost-ocaml-dev (0.8.1-8+b2 [armhf], 0.8.1-8+b1 [amd64, arm64, i386])
- OCaml interface to Metapost (library)
- libmlpost-ocaml-dev-btao3
- virtueel pakket geboden door libmlpost-ocaml-dev
- libmlpost-ocaml-dev-fdiu4
- virtueel pakket geboden door libmlpost-ocaml-dev
- libmlpost-ocaml-doc (0.8.1-8)
- Objective Caml interface to Metapost (doc)
- libmlrisctools-smlnj (110.79-4)
- Library for generating MLRISC modules
- libmlt++-dev (6.12.0-1)
- MLT multimedia framework C++ wrapper (development)
- libmlt++3 (6.12.0-1)
- MLT multimedia framework C++ wrapper (runtime)
- libmlt-data (6.12.0-1)
- multimedia framework (data)
- libmlt-dev (6.12.0-1)
- multimedia framework (development)
- libmlt6 (6.12.0-1)
- multimedia framework (runtime)
- libmlv3 (3.1.0-3)
- simplified multimedia library in C for beginners.
- libmlv3-dev (3.1.0-3)
- simplified multimedia library in C for beginners.
- libmlx4-1
- virtueel pakket geboden door ibverbs-providers
- libmlx5-1
- virtueel pakket geboden door ibverbs-providers
- libmm-dbg (1.4.2-5)
- Shared memory library - debugging symbols
- libmm-dev (1.4.2-5)
- Shared memory library - development files
- libmm-glib-dev (1.10.0-1)
- D-Bus service for managing modems - library development files
- libmm-glib-doc (1.10.0-1)
- D-Bus service for managing modems - library documentation
- libmm-glib0 (1.10.0-1)
- D-Bus service for managing modems - shared libraries
- libmm-ocaml (0.4.0-1)
- OCaml multimedia library -- runtime files
- libmm-ocaml-525b8
- virtueel pakket geboden door libmm-ocaml
- libmm-ocaml-98l73
- virtueel pakket geboden door libmm-ocaml
- libmm-ocaml-dev (0.4.0-1)
- OCaml multimedia library -- developpement files
- libmm-ocaml-dev-525b8
- virtueel pakket geboden door libmm-ocaml-dev
- libmm-ocaml-dev-98l73
- virtueel pakket geboden door libmm-ocaml-dev
- libmm14 (1.4.2-5)
- Shared memory library - runtime
- libmmdb2-0 (2.0.5-1)
- macromolecular coordinate library - runtime
- libmmdb2-dev (2.0.5-1)
- macromolecular coordinate library - development files
- libmms-dev (0.6.4-3)
- MMS stream protocol library - development files
- libmms0 (0.6.4-3)
- MMS stream protocol library - shared library
- libmnemonicsetter-java (0.5-1)
- Java library for automatically setting Swing mnemonics
- libmng-dev (1.0.10+dfsg-3.1+b5)
- M-N-G library (Development headers)
- libmng1 (1.0.10+dfsg-3.1+b5)
- Multiple-image Network Graphics library
- libmnl-dev (1.0.4-2)
- minimalistic Netlink communication library (devel)
- libmnl0 (1.0.4-2)
- minimalistic Netlink communication library
- libmock-quick-perl (1.111-1)
- Perl module for quick side-effect free mocking of objects and classes
- libmocked-perl (0.09-5)
- module to use real libraries from within mocked libraries
- libmockito-java (1.10.19-4)
- mocking framework for Java
- libmockobjects-java (0.09-6)
- Framework for developing and using mock objects
- libmockobjects-java-doc (0.09-6)
- Framework for developing and using mock objects -- documentation
- libmodbus-dev (3.1.4-2+deb10u2) [security]
- development files for the Modbus protocol library
- libmodbus5 (3.1.4-2+deb10u2) [security]
- library for the Modbus protocol
- libmodello-java (1.9.1-4)
- Data Model toolkit in use by the Maven 2 Project
- libmodello-java-doc (1.9.1-4)
- Data Model toolkit in use by the Maven 2 Project (documentation)
- libmodello-maven-plugin-java (1.9.1-2)
- Modello Maven Plugin enables the use of Modello in Maven builds
- libmodem-vgetty-perl (0.03-2)
- Perl module for interfacing with vgetty (Modem::Vgetty)
- libmodern-perl-perl (1.20180901-1)
- module for enabling all of the features of Modern Perl
- libmodglue1-dev (1.17-3)
- development files for a C++ library for handling of multiple co-processes
- libmodglue1v5 (1.17-3)
- C++ library for handling of multiple co-processes
- libmodhmm-dev (1.0+dfsg-3)
- library for constructing, training and scoring hidden Markov models (dev)
- libmodhmm0 (1.0+dfsg-3)
- library for constructing, training and scoring hidden Markov models
- libmodpbase64-0 (3.10.3+git20160924-3)
- collection of high performance c-string transformations
- libmodpbase64-dev (3.10.3+git20160924-3)
- collection of high performance c-string transformations (development files)
- libmodplug-dev (1:0.8.9.0-2)
- development files for mod music based on ModPlug
- libmodplug1 (1:0.8.9.0-2)
- shared libraries for mod music based on ModPlug
- libmods-record-perl (0.13-1)
- module for handling MODS records
- libmodsecurity-dev (3.0.3-1+deb10u2)
- ModSecurity v3 library component (development files)
- libmodsecurity3 (3.0.3-1+deb10u2)
- ModSecurity v3 library component
- libmodulator-java (1.0-3)
- Java small shim library that wraps Java 9 APIs and exposes them to recent JDKs
- libmodule-build-cleaninstall-perl (0.5-3)
- module for removing the old module before installing the new one
- libmodule-build-perl (0.422400-1)
- framework for building and installing Perl modules
- libmodule-build-pluggable-perl (0.10-1)
- plugins for the perl module Module::Build
- libmodule-build-pluggable-ppport-perl (0.04-1)
- module to generate a ppport.h file automatically
- libmodule-build-tiny-perl (0.039-1)
- tiny replacement for Module::Build
- libmodule-build-withxspp-perl (0.14-3)
- XS++ enhanced flavour of Module::Build
- libmodule-build-xsutil-perl (0.19-1)
- Module::Build class for building XS modules
- libmodule-bundled-files-perl (0.03-1)
- perl module to access files bundled with your module
- libmodule-compile-perl (0.37-1)
- Perl module providing a system to compile Perl modules
- libmodule-corelist-perl (5.20190220-1)
- module to determine modules shipped with perl
- libmodule-corelist-perl (= 5.20181129.28)
- virtueel pakket geboden door perl
- libmodule-cpanfile-perl (1.1004-1)
- format for describing CPAN dependencies of Perl applications
- libmodule-cpants-analyse-perl (0.99-1)
- Perl module to generate Kwalitee ratings for a distribution
- libmodule-depends-perl (0.16-3)
- Perl module to identify the dependencies of a distribution
- (0.01-2)
- base class for working with Perl distributions
- (1.043-1)
- Perl module to extract which modules some code uses
- (0.343-1)
- Perl module to find out modules used by the specified Perl source
- libmodule-faker-perl (0.020-1)
- module to build fake dists for testing CPAN tools
- libmodule-find-perl (0.13-1)
- module to find and use installed Perl modules
- libmodule-implementation-perl (0.09-1)
- module for loading one of several alternate implementations of a module
- libmodule-info-perl (0.37-1)
- Perl module providing information about Perl modules
- libmodule-inspector-perl (1.05-2)
- integrated API for inspecting Perl distributions
- libmodule-install-authorrequires-perl (0.02-1)
- declare author-only dependencies
- libmodule-install-authortests-perl (0.002-2)
- designate tests only run by module authors
- libmodule-install-autolicense-perl (0.10-1)
- automagically generate LICENSE files
- libmodule-install-automanifest-perl (0.003-4)
- generate MANIFEST automatically
- libmodule-install-contributors-perl (0.001-1)
- add an "x_contributors" section to your META.yml
- libmodule-install-copyright-perl (0.009-1)
- package a COPYRIGHT file with a distribution
- libmodule-install-doap-perl (0.006-1)
- generate META.yml data from DOAP
- libmodule-install-doapchangesets-perl (0.206-1)
- write your distribution change log in RDF
- (0.008-2)
- contextual tests that the harness can ignore
- libmodule-install-manifestskip-perl (0.24-1)
- generate a MANIFEST.SKIP file
- libmodule-install-perl (1.19-1)
- framework for installing Perl modules
- libmodule-install-rdf-perl (0.009-1)
- advanced metadata for your Perl packaging
- libmodule-install-readmefrompod-perl (0.30-3)
- automatically convert POD to a README
- libmodule-install-rtx-perl (0.38-1)
- RT extension installer
- libmodule-install-trustmetayml-perl (0.003-3)
- trust META.yml list of dependencies
- libmodule-install-xsutil-perl (0.45-1)
- Module::Install extension for handling XS modules
- libmodule-load-conditional-perl (0.68-1)
- module for looking up information about modules
- libmodule-load-conditional-perl (= 0.68)
- virtueel pakket geboden door perl
- libmodule-load-perl (= 0.32)
- virtueel pakket geboden door perl
- libmodule-manifest-perl (1.09-1)
- module for parsing and examining a Perl distribution MANIFEST file
- libmodule-manifest-skip-perl (0.23-1)
- MANIFEST.SKIP management for Perl modules
- libmodule-math-depends-perl (0.02-3)
- convenience object for manipulating Perl module dependencies
- libmodule-metadata-perl (1.000033-1)
- Perl module to gather package and POD information from perl module files
- libmodule-metadata-perl (= 1.000033)
- virtueel pakket geboden door perl
- libmodule-optional-perl (0.03-1)
- Module::Optional - Breaking module dependency chains
- libmodule-package-perl (0.30-2)
- postmodern Perl module packaging
- libmodule-package-rdf-perl (0.014-1)
- drive your distribution with RDF
- libmodule-path-perl (0.19-1)
- module to get the full path to a locally installed Perl module
- libmodule-pluggable-fast-perl (0.19-2)
- module for fast plugins with instantiation
- libmodule-pluggable-ordered-perl (1.5-2)
- Perl module to load plugins in a specified order
- libmodule-pluggable-perl (5.2-1)
- module for giving modules the ability to have plugins
- libmodule-reader-perl (0.003003-1)
- module to find and read perl modules like perl does
- libmodule-refresh-perl (0.17-1)
- tool to refresh %INC files when updated on disk
- libmodule-runtime-conflicts-perl (0.003-1)
- module to provide information on conflicts for Module::Runtime
- libmodule-runtime-perl (0.016-1)
- Perl module for runtime module handling
- libmodule-scandeps-perl (1.27-1)
- module to recursively scan Perl code for dependencies
- libmodule-signature-perl (0.83-1)
- module to manipulate CPAN SIGNATURE files
- libmodule-starter-pbp-perl (0.0.3-2)
- Perl module to create new perl modules following best practices
- libmodule-starter-perl (1.750+dfsg-1)
- simple starter kit for Perl modules
- libmodule-starter-plugin-cgiapp-perl (0.44-1)
- template based module starter for CGI apps
- libmodule-starter-plugin-simplestore-perl (0.144-1)
- template storage methods for Module::Starter
- libmodule-starter-plugin-tt2-perl (0.125-2)
- module providing Template toolkit support for Module::Starter
- libmodule-starter-smart-perl (0.0.9-1)
- Module::Starter plugin to add new modules into existing distributions
- libmodule-used-perl (1.3.0-2)
- library to detect modules loaded by Perl code without running it
- libmodule-util-perl (1.09-3)
- Perl module to manipulate Perl module names
- libmodule-versions-report-perl (1.06-2)
- Report versions of all modules in memory
- libmodule-want-perl (0.6-2)
- module to check @INC only once for wanted modules
- libmoe-dev (1.5.8-5)
- library to handle multiple octets character encoding scheme (devel files)
- libmoe1.5 (1.5.8-5)
- library to handle multiple octets character encoding scheme
- libmojo-executor-java (2.3.0-1)
- Maven Mojo Executor
- libmojo-ioloop-readwriteprocess-perl (0.23-1)
- Execute external programs or internal code blocks as separate process
- libmojo-jwt-perl (0.08-1)
- JSON Web Token the Mojo way
- libmojo-pg-perl (4.13-1)
- module to make PostgreSQL fun to use with Mojolicious
- libmojo-rabbitmq-client-perl (0.2.1-1)
- Mojo::IOLoop based RabbitMQ client
- libmojo-server-fastcgi-perl (0.50-1)
- Mojolicious FastCGI Server
- libmojo-sqlite-perl (3.001-2)
- tiny Mojolicious wrapper for SQLite
- libmojolicious-perl (8.12+dfsg-1)
- simple, yet powerful, Web Application Framework
- libmojolicious-plugin-assetpack-perl (2.06-1)
- Mojolicious plugin for compressing and converting assets
- libmojolicious-plugin-authentication-perl (1.33-1)
- module to make authentication a bit easier for Mojolicious
- libmojolicious-plugin-authorization-perl (1.0302-2)
- module to make authorization a bit easier for Mojolicious
- libmojolicious-plugin-basicauth-perl (0.08-1)
- basic HTTP auth helper for Mojolicious
- libmojolicious-plugin-bcrypt-perl (0.14-2)
- module to bcrypt passwords for Mojolicious
- libmojolicious-plugin-cgi-perl (0.40-1)
- Mojolicious plugin to run CGI scripts
- libmojolicious-plugin-i18n-perl (1.60-1)
- internationalization plugin for Mojolicious 3.x and higher
- libmojolicious-plugin-mailexception-perl (0.20-1)
- Mojolicious plugin to send crash information by email
- libmojolicious-plugin-renderfile-perl (0.12-1)
- "render_file" helper for Mojolicious
- libmojomojo-perl (1.12+dfsg-1)
- wiki- and blog-inspired content management system
- libmondrian-java (1:3.11.0.1-4)
- OLAP server written in Java
- libmondrian-java-doc (1:3.11.0.1-4)
- OLAP server written in Java - documentation
- libmongo-client-dev (0.1.8-4)
- Development files for the alternate C driver for MongoDB
- libmongo-client-doc (0.1.8-4)
- Documentation for the alternate C driver for MongoDB
- libmongo-client0 (0.1.8-4)
- Alternate C driver for the MongoDB document-oriented datastore
- libmongoc-1.0-0 (1.14.0-1)
- MongoDB C client library - runtime files
- libmongoc-dev (1.14.0-1)
- MongoDB C client library - dev files
- libmongoc-doc (1.14.0-1)
- MongoDB C client library - documentation
- libmongoclient-dev (1.1.3-3)
- MongoDB C++ Driver (development)
- libmongoclient0 (1.1.3-3)
- MongoDB C++ Driver (runtime)
- libmongodb-java (3.6.3-2)
- MongoDB Java Driver
- libmongodb-perl (2.0.3-1)
- Mongo Driver for Perl
- libmongoose2 (1:5.4.0+dfsg-1)
- graph partitioning tool that can quickly compute edge cuts (shared library)
- libmonitoring-icinga2-client-rest-perl (2.0.0-2)
- Perl module providing REST integration with icinga2
- libmonitoring-livestatus-class-perl (0.06-1.1)
- Object-Oriented interface for Monitoring::Livestatus
- libmonitoring-livestatus-perl (0.80-1)
- Perl API for check_mk livestatus to access runtime
- libmonitoring-plugin-perl (0.40-1)
- family of perl modules to streamline writing Monitoring plugins
- libmonkey-patch-action-perl (0.061-1)
- module to monkey-patching subs from other packages, with restore
- libmonkey-patch-perl (0.03-2)
- scoped monkey-patching Perl module
- libmono-2.0-1 (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library (Default version)
- libmono-2.0-dev (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library - Development files (Default version)
- libmono-accessibility4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Accessibility library (for CLI 4.0)
- libmono-addins-cil-dev (1.0+git20130406.adcd75b-4)
- addin framework for extensible CLI applications/libraries
- libmono-addins-gui-cil-dev (1.0+git20130406.adcd75b-4)
- GTK# frontend library for Mono.Addins
- libmono-addins-gui0.2-cil (1.0+git20130406.adcd75b-4)
- GTK# frontend library for Mono.Addins
- libmono-addins-msbuild-cil-dev (1.0+git20130406.adcd75b-4)
- MSBuild task library for Mono.Addins
- libmono-addins-msbuild0.2-cil (1.0+git20130406.adcd75b-4)
- MSBuild task library for Mono.Addins
- libmono-addins0.2-cil (1.0+git20130406.adcd75b-4)
- addin framework for extensible CLI applications/libraries
- libmono-btls-interface4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Mono.Btls.Interface library (for CLI 4.0)
- libmono-cairo4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Cairo library (for CLI 4.0)
- libmono-cecil-cil (0.9.5+dfsg-5)
- library to generate and inspect CIL assemblies
- libmono-cecil-cil-dev (0.9.5+dfsg-5)
- library to generate and inspect CIL assemblies
- libmono-cecil-flowanalysis-cil (0.1~vcs20110809.r1.b34edf6-3)
- FlowAnalysis extension for Mono.Cecil
- libmono-cecil-flowanalysis-cil-dev (0.1~vcs20110809.r1.b34edf6-3)
- FlowAnalysis extension for Mono.Cecil
- libmono-cecil-private-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.Cecil library
- libmono-cil-dev (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Base Class Libraries (BCL) - Development files
- libmono-codecontracts4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.CodeContracts library (for CLI 4.0)
- libmono-compilerservices-symbolwriter4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.CompilerServices.SymbolWriter library (for CLI 4.0)
- libmono-corlib4.5-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono core library (for CLI 4.5)
- libmono-cscompmgd0.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono cscompmgd library (for CLI 4.0)
- libmono-csharp4.0c-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.CSharp library (for CLI 4.0)
- libmono-custommarshalers4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono CustomMarshalers library (for CLI 4.0)
- libmono-data-tds4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Data Library (for CLI 4.0)
- libmono-db2-1.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono DB2 library
- libmono-debugger-libs-cil-dev (0+20131201.3459502-1)
- Mono soft debugger interface libraries - development headers
- libmono-debugger-soft-cil (0+20131201.3459502-1)
- Mono soft debugger interface libraries - low level API
- libmono-debugger-soft4.0a-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Soft Debugger library (for CLI 4.0)
- libmono-debugging-cil (0+20131201.3459502-1)
- Mono soft debugger interface libraries - API abstraction
- libmono-debugging-soft-cil (0+20131201.3459502-1)
- Mono soft debugger interface libraries - Mono.Debugging backend
- libmono-fuse-cil (0.4.2+dfsg-4)
- CLI binding for FUSE
- libmono-http4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.Http library (for CLI 4.0)
- libmono-i18n-cjk4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N.CJK library (for CLI 4.0)
- libmono-i18n-mideast4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N.MidEast library (for CLI 4.0)
- libmono-i18n-other4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N.Other library (for CLI 4.0)
- libmono-i18n-rare4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N.Rare library (for CLI 4.0)
- libmono-i18n-west4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N.West library (for CLI 4.0)
- libmono-i18n4.0-all (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N libraries metapackage (for CLI 4.0)
- libmono-i18n4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono I18N base library (for CLI 4.0)
- libmono-ldap4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono LDAP library (for CLI 4.0)
- libmono-management4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Management library (for CLI 4.0)
- libmono-messaging-rabbitmq4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Messaging RabbitMQ library (for CLI 4.0)
- libmono-messaging4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Messaging library (for CLI 4.0)
- libmono-microsoft-build-engine4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Build.Engine library (for CLI 4.0)
- libmono-microsoft-build-framework4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Build.Framework library (for CLI 4.0)
- libmono-microsoft-build-tasks-v4.0-4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Build.Tasks.v4.0 library (for CLI 4.0)
- libmono-microsoft-build-utilities-v4.0-4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Build.Utilities.v4.0 library (for CLI 4.0)
- libmono-microsoft-build4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Build library (for CLI 4.0)
- libmono-microsoft-csharp4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.CSharp library (for CLI 4.0)
- libmono-microsoft-visualc10.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.VisualC library (for CLI 4.0)
- libmono-microsoft-web-infrastructure1.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Microsoft.Web.Infrastructure library (for CLI 4.0)
- libmono-oracle4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Oracle library (for CLI 4.0)
- libmono-parallel4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.Parallel library (for CLI 4.0)
- libmono-peapi4.0a-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono PEAPI library (for CLI 4.0)
- libmono-posix4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono.Posix library (for CLI 4.0)
- libmono-profiler (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono profiler libraries
- libmono-profiler-gui-thread-check (2.99.3-3)
- Mono profiler library to debug GUI threading issues
- libmono-rabbitmq4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono RabbitMQ.Client library (for CLI 4.0)
- libmono-reflection-cil (1.0+git20110407+d2343843-3)
- CLI reflection helper library
- libmono-reflection-cil-dev (1.0+git20110407+d2343843-3)
- CLI reflection helper library
- libmono-relaxng4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Relaxng library (for CLI 4.0)
- libmono-security4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Security library (for CLI 4.0)
- libmono-sharpzip4.84-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono SharpZipLib library (for CLI 4.0)
- libmono-simd4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono SIMD (for CLI 4.0)
- libmono-smdiagnostics0.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono SMDiagnostics Library (for CLI 4.0)
- libmono-sqlite4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Sqlite library (for CLI 4.0)
- libmono-system-componentmodel-composition4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ComponentModel.Composition library (for CLI 4.0)
- libmono-system-componentmodel-dataannotations4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ComponentModel.DataAnnotations library (for CLI 4.0)
- libmono-system-configuration-install4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Configuration.Install library (for CLI 4.0)
- libmono-system-configuration4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Configuration library (for CLI 4.0)
- libmono-system-core4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Core library (for CLI 4.0)
- libmono-system-data-datasetextensions4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data.DataSetExtensions library (for CLI 4.0)
- libmono-system-data-entity4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data.Entity library (for CLI 4.0)
- libmono-system-data-linq4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data.Linq Library (for CLI 4.0)
- libmono-system-data-services-client4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data.Services.Client library (for CLI 4.0)
- libmono-system-data-services4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data.Services library (for CLI 4.0)
- libmono-system-data4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Data library (for CLI 4.0)
- libmono-system-deployment4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Deployment library (for CLI 4.0)
- libmono-system-design4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Design Library (for CLI 4.0)
- libmono-system-drawing-design4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Drawing.Design (for CLI 4.0)
- libmono-system-drawing4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Drawing library (for CLI 4.0)
- libmono-system-dynamic4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Dynamic library (for CLI 4.0)
- libmono-system-enterpriseservices4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.EnterpriseServices library (for CLI 4.0)
- libmono-system-identitymodel-selectors4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.IdentityModel.Selectors Library (for CLI 4.0)
- libmono-system-identitymodel4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.IdentityModel Library (for CLI 4.0)
- libmono-system-io-compression-filesystem4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.IO.Compresion.FileSystem library (for CLI 4.0)
- libmono-system-io-compression4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.IO.Compression library (for CLI 4.0)
- libmono-system-json-microsoft4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Json.Microsoft library (for CLI 4.0)
- libmono-system-json4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Json library (for CLI 4.0)
- libmono-system-ldap-protocols4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.DirectoryServices.Protocols library (for CLI 4.0)
- libmono-system-ldap4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.DirectoryServices library (for CLI 4.0)
- libmono-system-management4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Management library (for CLI 4.0)
- libmono-system-messaging4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Messaging library (for CLI 4.0)
- libmono-system-net-http-formatting4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Net.Http.Formatting library (for CLI 4.0)
- libmono-system-net-http-webrequest4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Net.Http.WebRequest library (for CLI 4.0)
- libmono-system-net-http4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Net.Http library (for CLI 4.0)
- libmono-system-net4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Net library (for CLI 4.0)
- libmono-system-numerics-vectors4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Numerics.Vectors library (for CLI 4.0)
- libmono-system-numerics4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Numerics library (for CLI 4.0)
- libmono-system-reactive-core2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Core Library (for CLI 4.5)
- libmono-system-reactive-debugger2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Debugger Library (for CLI 4.5)
- libmono-system-reactive-experimental2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Experimental Library (for CLI 4.5)
- libmono-system-reactive-interfaces2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Interfaces Library (for CLI 4.5)
- libmono-system-reactive-linq2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Linq Library (for CLI 4.5)
- libmono-system-reactive-observable-aliases0.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Linq Library (for CLI 4.5)
- libmono-system-reactive-platformservices2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.PlatformServices Library (for CLI 4.5)
- libmono-system-reactive-providers2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Providers Library (for CLI 4.5)
- libmono-system-reactive-runtime-remoting2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Runtime.Remoting Library (for CLI 4.5)
- libmono-system-reactive-windows-forms2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Windows.Forms Library (for CLI 4.5)
- libmono-system-reactive-windows-threading2.2-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reactive.Windows.Threading Library (for CLI 4.5)
- libmono-system-reflection-context4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Reflection.Context library (for CLI 4.0)
- libmono-system-runtime-caching4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Runtime.Caching Library (for CLI 4.0)
- libmono-system-runtime-durableinstancing4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Runtime.DurableInstancing Library (for CLI 4.0)
- libmono-system-runtime-serialization-formatters-soap4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Runtime.Serialization.Formatters.Soap Library (for CLI 4.0)
- libmono-system-runtime-serialization4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Runtime.Serialization Library (for CLI 4.0)
- libmono-system-runtime4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Runtime library (for CLI 4.0)
- libmono-system-security4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Security library (for CLI 4.0)
- libmono-system-servicemodel-activation4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel.Activation Library (for CLI 4.0)
- libmono-system-servicemodel-discovery4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel.Discovery Library (for CLI 4.0)
- libmono-system-servicemodel-internals0.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel.Internals Library (for CLI 4.0)
- libmono-system-servicemodel-routing4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel.Routing Library (for CLI 4.0)
- libmono-system-servicemodel-web4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel.Web Library (for CLI 4.0)
- libmono-system-servicemodel4.0a-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceModel Library (for CLI 4.0)
- libmono-system-serviceprocess4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.ServiceProcess library (for CLI 4.0)
- libmono-system-threading-tasks-dataflow4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Threading.Tasks.Dataflow library (for CLI 4.0)
- libmono-system-transactions4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Transactions library (for CLI 4.0)
- libmono-system-web-abstractions4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Abstractions library (for CLI 4.0)
- libmono-system-web-applicationservices4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.ApplicationServices library (for CLI 4.0)
- libmono-system-web-dynamicdata4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.DynamicData library (for CLI 4.0)
- libmono-system-web-extensions-design4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Extensions.Design library (for CLI 4.0)
- libmono-system-web-extensions4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Extensions library (for CLI 4.0)
- libmono-system-web-http-selfhost4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Http.SelfHost library (for CLI 4.0)
- libmono-system-web-http-webhost4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Http.WebHost library (for CLI 4.0)
- libmono-system-web-http4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Http library (for CLI 4.0)
- libmono-system-web-mobile4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Mobile library (for CLI 4.0)
- libmono-system-web-mvc3.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono ASP.NET MVC 3.0 Library (for CLI 4.0)
- libmono-system-web-razor2.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Razor (for CLI 4.0)
- libmono-system-web-regularexpressions4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.RegularExpressions library (for CLI 4.0)
- libmono-system-web-routing4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Routing (for CLI 4.0)
- libmono-system-web-services4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.Services (for CLI 4.0)
- libmono-system-web-webpages-deployment2.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.WebPages.Deployment (for CLI 4.0)
- libmono-system-web-webpages-razor2.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.WebPages.Razor (for CLI 4.0)
- libmono-system-web-webpages2.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web.WebPages (for CLI 4.0)
- libmono-system-web4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Web library (for CLI 4.0)
- libmono-system-windows-forms-datavisualization4.0a-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Windows.Forms.DataVisualization Library (for CLI 4.0)
- libmono-system-windows-forms4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Windows.Forms Library (for CLI 4.0)
- libmono-system-windows4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Windows library (for CLI 4.0)
- libmono-system-workflow-activities4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Workflow.Activities library (for CLI 4.0)
- libmono-system-workflow-componentmodel4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Workflow.ComponentModel library (for CLI 4.0)
- libmono-system-workflow-runtime4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Workflow.Runtime library (for CLI 4.0)
- libmono-system-xaml4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Xaml Library (for CLI 4.0)
- libmono-system-xml-linq4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Xml.Linq library (for CLI 4.0)
- libmono-system-xml-serialization4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Xml.Serialization library (for CLI 4.0)
- libmono-system-xml4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System.Xml library (for CLI 4.0)
- libmono-system4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono System libraries (for CLI 4.0)
- libmono-tasklets4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Tasklets library (for CLI 4.0)
- libmono-upnp-cil (0.1.2-2)
- client/server libraries for UPnP -- CIL assemblies
- libmono-upnp-cil-dev (0.1.2-2)
- client/server libraries for the UPnP -- development files
- libmono-webbrowser4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Web Browser library (for CLI 4.0)
- libmono-webmatrix-data4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono WebMatrix.Data Library (for CLI 4.0)
- libmono-windowsbase4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono WindowsBase library (for CLI 4.0)
- libmono-xbuild-tasks4.0-cil (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono Mono.XBuild.Tasks library (for CLI 4.0)
- libmono-zeroconf-cil-dev (0.9.0-6)
- CLI library for multicast DNS service discovery
- libmono-zeroconf1.0-cil (0.9.0-6)
- CLI library for multicast DNS service discovery
- libmonoboehm-2.0-1 (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library (Boehm GC)
- libmonoboehm-2.0-1-dbg (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library, debugging symbols (Boehm GC)
- libmonoboehm-2.0-dev (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library - Development files (Boehm GC)
- libmonosgen-2.0-1 (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library (SGen GC)
- libmonosgen-2.0-1-dbg (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library, debugging symbols (SGen GC)
- libmonosgen-2.0-dev (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono JIT library - Development files (SGen GC)
- libmonospaceif-common (0.7.15-2)
- Provides localization data for libmonospaceif
- libmonospaceif-dev (0.7.15-2)
- Interface translating libfizmo output into monospaced text
- libmoo-perl (2.003004-2)
- Minimalist Object Orientation library (with Moose compatibility)
- libmoonshot1 (1.1.0+libsecret~1)
- Moonshot Identity Shared Library
- libmoops-perl (0.036-1)
- moops object-oriented programming sugar
- libmoose-autobox-perl (0.16-1)
- Perl autobox wrapper that understands Moose roles
- libmoose-perl (2.2011-1+b1)
- modern Perl object system framework
- libmoosex-aliases-perl (0.11-1)
- Moose extension for easy aliasing of methods and attributes
- libmoosex-app-cmd-perl (0.32-2)
- Perl module combining App::Cmd and MooseX::Getopt
- libmoosex-app-perl (1.39-1)
- helper for user-friendly Perl/Moose command line apps
- libmoosex-arrayref-perl (0.005-1)
- blessed arrayrefs with Moose
- libmoosex-async-perl (0.07-2)
- set of Moose metaclasses to support asynchronous operations
- libmoosex-attribute-chained-perl (1.0.3-1)
- Moose attribute that returns the instance to allow for chaining
- libmoosex-attributehelpers-perl (0.25-1)
- Perl library to extend your attribute interfaces
- libmoosex-attributeshortcuts-perl (0.037-1)
- Moose extension providing shorthands for common attribute options
- libmoosex-attributetags-perl (0.004-1)
- tag your Moose attributes
- libmoosex-blessed-reconstruct-perl (1.01-1)
- Data::Visitor for creating Moose objects
- libmoosex-chainedaccessors-perl
- virtueel pakket geboden door libmoosex-attribute-chained-perl
- libmoosex-classattribute-perl (0.29-1)
- module to declare class attributes Moose-style
- libmoosex-clone-perl (0.06-2)
- Moose extension providing fine-grained cloning support
- libmoosex-compiletime-traits-perl (1.102570-2)
- Moose extension to allow role application at compile-time
- libmoosex-configfromfile-perl (0.14-1)
- Moose role for setting attributes from a config file
- libmoosex-configuration-perl (0.2-1)
- module for defining attributes which come from configuration files
- libmoosex-daemonize-perl (0.21-1)
- role for daemonizing your Moose based application
- libmoosex-declare-perl (0.43-1)
- Moose extension providing a declarative syntax
- libmoosex-emulate-class-accessor-fast-perl (0.009032-1)
- module to emulate Class::Accessor::Fast using Moose attributes
- libmoosex-followpbp-perl (0.05-2)
- Moose extension to name your accessors get_foo() and set_foo()
- libmoosex-getopt-perl (0.74-1)
- Moose extension for processing command line options
- libmoosex-has-options-perl (0.003-2)
- module for succinctly declaring options for Moose attributes
- libmoosex-has-sugar-perl (1.000006-1)
- Moose extension for syntactic sugar in 'has' fields
- libmoosex-hasdefaults-perl (0.03-2)
- Moose module to default "is" to "ro" or "rw" for all attributes
- libmoosex-insideout-perl (0.106-3)
- Moose extension for non-intrusive subclassing
- libmoosex-lazyrequire-perl (0.11-1)
- Moose extension to delay errors for attribute declarations
- libmoosex-log-log4perl-perl (0.47-1)
- logging role for Moose based on Log::Log4perl
- libmoosex-markasmethods-perl (0.15-1)
- moose extension to ark overload code symbols as methods
- libmoosex-meta-typeconstraint-forcecoercion-perl (0.01-2)
- Perl modeule for forcing coercion when validating type constraints
- libmoosex-meta-typeconstraint-mooish-perl (0.001-1)
- module to translate Moo-style constraints to Moose-style
- libmoosex-method-signatures-perl (0.49-1)
- Moose extension for method declarations with type constraints
- libmoosex-methodattributes-perl (0.31-2)
- Moose extension for code attribute introspection
- libmoosex-multiinitarg-perl (0.02-2)
- Perl library providing attributes with aliases for constructors
- libmoosex-multimethods-perl (0.10-2)
- Moose extension enabling multi method dispatch
- libmoosex-mungehas-perl (0.011-1)
- munge your "has" (works with Moo, Moose and Mouse)
- libmoosex-nonmoose-perl (0.26-1)
- Moose extension to allow easy subclassing of non-Moose classes
- libmoosex-object-pluggable-perl (0.0014-1)
- Perl module for adding plugin support to your Moose classes
- libmoosex-oneargnew-perl (0.005-1)
- Moose role that extends class' constructor to accept single arguments
- libmoosex-param-perl (0.02-3)
- simple Moose role providing a standard param method
- libmoosex-params-validate-perl (0.21-1)
- Moose extension for validating method parameters
- libmoosex-poe-perl (0.215-2)
- Moose wrapper around a POE::Session
- libmoosex-relatedclassroles-perl (0.004-1)
- module for applying Moose roles to a related class
- libmoosex-role-parameterized-perl (1.10-1)
- Moose extension providing parameterized roles
- libmoosex-role-strict-perl (0.5-2)
- module for using strict 'roles' with Moose
- libmoosex-role-timer-perl (0.05-2)
- Moose role for measuring elapsed time with Time::HiRes
- libmoosex-role-withoverloading-perl (0.17-2+b1)
- Moose extension for roles that support overloading
- libmoosex-runnable-perl (0.10-1)
- tag a class as a runnable application
- libmoosex-semiaffordanceaccessor-perl (0.10-1)
- Perl module to generate accessors automatically
- libmoosex-setonce-perl (0.200002-1)
- module providing write-once, read-many attributes for Moose
- libmoosex-simpleconfig-perl (0.11-1)
- Moose role for setting attributes from a simple configfile
- libmoosex-singlearg-perl (0.09-1)
- module to instantiate Moose objects using a single argument
- libmoosex-singleton-perl (0.30-1)
- Moose extension to turn a class into a singleton
- libmoosex-storage-perl (0.52-1)
- serialization framework for Moose classes
- libmoosex-strictconstructor-perl (0.21-1)
- Make your object constructors blow up on unknown attributes
- libmoosex-traitfor-meta-class-betteranonclassnames-perl (0.002003-1)
- helper for MooseX::Util to generate anonymous class names
- libmoosex-traits-perl (0.13-2)
- Moose extension to apply roles at object creation time
- libmoosex-traits-pluggable-perl (0.12-1)
- Moose extension for class precedence search of traits
- libmoosex-types-common-perl (0.001014-1)
- module with commonly used type constraints for Moose
- libmoosex-types-datetime-morecoercions-perl (0.15-2)
- extensions to MooseX::Types::DateTime
- libmoosex-types-datetime-perl (0.13-2)
- Moose extension for DateTime-related constraints and coercions
- libmoosex-types-email-perl (0.008-1)
- email address validation type constraints for Moose
- libmoosex-types-iso8601-perl (0.18-1)
- ISO8601 date and duration string type constraints for Moose
- libmoosex-types-json-perl (1.00-1)
- module providing JSON-constrained strings
- libmoosex-types-laxnum-perl (0.04-1)
- Moose type providing the loose behavior of Moose's old Num type
- libmoosex-types-loadableclass-perl (0.015-1)
- ClassName type constraint with coercion to load the class
- libmoosex-types-netaddr-ip-perl (0.07-2)
- Moose extension for NetAddr::IP type constraints
- libmoosex-types-path-class-perl (0.09-1)
- Path::Class type library for Moose
- libmoosex-types-path-tiny-perl (0.012-1)
- Path::Tiny types and coercions for Moose
- libmoosex-types-perl (0.50-1)
- Moose extension to organise types in libraries
- libmoosex-types-perl-perl (0.101343-1)
- Moose types that check against Perl syntax
- libmoosex-types-portnumber-perl (0.03-1)
- Moose extension for IANA Port Number types
- libmoosex-types-set-object-perl (0.05-2)
- Perl module providing a Set::Object type
- libmoosex-types-stringlike-perl (0.003-2)
- Moose type constraints for strings or string-like objects
- libmoosex-types-structured-perl (0.36-1)
- Moose extension for type constraints on structured types
- libmoosex-types-uri-perl (0.08-2)
- package provides URI related types and coercions for Moose
- libmoosex-types-varianttable-perl (0.04-3)
- Moose extension for a type-constraint based dispatch table
- libmoosex-undeftolerant-perl (0.21-1)
- makes Moose attribute(s) tolerant to undef initialization
- libmoosex-util-perl (0.006-1)
- Moose::Util extensions
- libmoosex-xsaccessor-perl (0.009-1)
- use Class::XSAccessor to speed up Moose accessors
- libmoosex-yaml-perl (0.04-2)
- module to load Moose objects from YAML
- libmoox-aliases-perl (0.001006-1)
- easy aliasing of methods and attributes in Moo
- libmoox-buildargs-perl (0.04-3)
- Perl role for saving the instantiation arguments
- libmoox-cmd-perl (0.017-1)
- easy Moo style way to make command organized CLI apps
- libmoox-configfromfile-perl (0.009-2)
- Moo extension for initializing objects from config file
- libmoox-file-configdir-perl (0.007-2)
- Moo extension for File::ConfigDir
- libmoox-handlesvia-perl (0.001008-4)
- Moose Native Traits-like behavior for Moo
- libmoox-late-perl (0.015-4)
- easily translate Moose code to Moo
- libmoox-locale-passthrough-perl (0.001-1)
- Perl module to provide a translation API and passthrough
- libmoox-log-any-perl (0.004004-1)
- role to add Log::Any
- libmoox-options-perl (4.103-1)
- explicit options extension for object class
- libmoox-role-cloneset-perl (0.1.0-3)
- Perl Moo role for creating updated copies of immutable objects
- libmoox-role-logger-perl (0.005-1)
- provide logging via Log::Any
- libmoox-singleton-perl (1.20-2)
- module for turning a Moo class into singleton
- libmoox-strictconstructor-perl (0.010-2)
- make Moo-based object constructors blow up on unknown attributes
- libmoox-struct-perl (0.017-1)
- simple lightweight record-like structures making sounds like cows
- libmoox-traits-perl (0.005-1)
- module to automatically apply roles at object creation time
- libmoox-types-mooselike-numeric-perl (1.03-1)
- Moo types for numbers
- libmoox-types-mooselike-perl (0.29-1)
- module providing some Moosish types and a type builder
- libmoox-types-setobject-perl (1.01-1)
- Set::Object type for Moo
- libmopac7-1gf (1.15-6+b3)
- Semi-empirical Quantum Chemistry Library (library)
- libmopac7-dev (1.15-6+b3)
- Semi-empirical Quantum Chemistry Library (development files)
- libmorbig-ocaml-dev (0.9.1-2)
- OCaml libraries of the morbig shell parser (development files)
- libmorbig-ocaml-dev-dsxb5
- virtueel pakket geboden door libmorbig-ocaml-dev
- libmorbig-ocaml-dev-e8lg2
- virtueel pakket geboden door libmorbig-ocaml-dev
- libmorfologik-stemming-java (1.9.0+dfsg-1)
- Finite state automaton and stemming engine library
- libmorph (1:20140707+nmu2)
- digital image warping library
- libmorph-dev (1:20140707+nmu2)
- digital image warping library (development files)
- libmorsmall-ocaml-dev (0.1-1)
- OCaml libraries for abstract syntax of shell scripts
- libmorsmall-ocaml-dev-34tf9
- virtueel pakket geboden door libmorsmall-ocaml-dev
- libmorsmall-ocaml-dev-xiw49
- virtueel pakket geboden door libmorsmall-ocaml-dev
- libmosquitto-dev (1.5.7-1+deb10u1)
- MQTT version 3.1/3.1.1 client library, development files
- libmosquitto1 (1.5.7-1+deb10u1)
- MQTT version 3.1/3.1.1 client library
- libmosquittopp-dev (1.5.7-1+deb10u1)
- MQTT version 3.1 client C++ library, development files
- libmosquittopp1 (1.5.7-1+deb10u1)
- MQTT version 3.1/3.1.1 client C++ library
- libmotif-common (2.3.8-2)
- Motif - common files
- libmotif-dev (2.3.8-2)
- Motif - development files
- libmount-dev (2.33.1-0.1+deb10u1) [security]
- device mounting library - headers and static libraries
- libmount1 (2.33.1-0.1+deb10u1) [security]
- device mounting library
- libmount1-udeb (2.33.1-0.1)
- stripped down device mounting library, for debian-installer
- libmouse-perl (2.5.6-1+b1)
- lightweight object framework for Perl
- libmousex-configfromfile-perl (0.05-1)
- abstract Mouse role for setting attributes from a configfile
- libmousex-foreign-perl (1.000-2)
- module to extend non-Mouse classes as well as Mouse classes
- libmousex-getopt-perl (0.38-1)
- Mouse role for processing command line options
- libmousex-nativetraits-perl (1.09-2)
- extension for attribute interfaces for Mouse
- libmousex-strictconstructor-perl (0.02-2)
- Mouse extension for making object constructors die on unknown attributes
- libmousex-types-path-class-perl (0.07-1)
- Path::Class type library for Mouse
- libmousex-types-perl (0.06-2)
- Mouse extension to organise types in libraries
- libmove-base-msgs-dev (1.13.0-8)
- C/C++ headers for move-base-related ROS Messages
- libmovit-dev (1.6.2-2)
- GPU video filter library - development files
- libmovit8 (1.6.2-2)
- GPU video filter library
- libmowgli-2-0 (2.1.0-2)
- high performance development framework for C
- libmowgli-2-0-dbg (2.1.0-2)
- high performance development framework for C (debug)
- libmowgli-2-dev (2.1.0-2)
- high performance development framework for C (development)
- libmozilla-ldap-perl (1.5.3-3)
- LDAP Perl module for the OpenLDAP C SDK
- libmozjs-52-0 (52.9.1-1)
- SpiderMonkey JavaScript library
- libmozjs-52-dev (52.9.1-1)
- SpiderMonkey JavaScript library - development headers
- libmozjs-60-0 (60.2.3-3)
- SpiderMonkey JavaScript library
- libmozjs-60-dev (60.2.3-3)
- SpiderMonkey JavaScript library - development headers
- libmp3-info-perl (1.24-1.2)
- Perl MP3::Info - Manipulate / fetch info from MP3 audio files
- libmp3-tag-perl (1.13-1.1)
- Module for reading tags of MP3 audio files
- libmp3lame-dev (3.100-2+b1)
- MP3 encoding library (development)
- libmp3lame-ocaml (0.3.2-1+b4 [armhf], 0.3.2-1+b3 [amd64, i386], 0.3.2-1+b2 [arm64])
- OCaml interface to the lame library -- runtime files
- libmp3lame-ocaml-7o457
- virtueel pakket geboden door libmp3lame-ocaml
- libmp3lame-ocaml-b77y3
- virtueel pakket geboden door libmp3lame-ocaml
- libmp3lame-ocaml-dev (0.3.2-1+b4 [armhf], 0.3.2-1+b3 [amd64, i386], 0.3.2-1+b2 [arm64])
- OCaml interface to the lame library -- developpement files
- libmp3lame-ocaml-dev-7o457
- virtueel pakket geboden door libmp3lame-ocaml-dev
- libmp3lame-ocaml-dev-b77y3
- virtueel pakket geboden door libmp3lame-ocaml-dev
- libmp3lame0 (3.100-2+b1)
- MP3 encoding library
- libmp3spi-java (1.9.5-1)
- interface to support MP3 (MPEG 1/2/2.5 Layer 1/2/3) audio format
- libmp3splt (0.9.2-3)
- support library for mp3splt and mp3splt-gtk
- libmp4-info-perl (1.13-1)
- Fetch info from MPEG-4 files
- libmpack-dev (1.0.5-3)
- small binary serialization/RPC library (development files)
- libmpack0 (1.0.5-3)
- small binary serialization/RPC library
- libmpc-dev (1.1.0-1)
- multiple precision complex floating-point library development package
- libmpc3 (1.1.0-1)
- multiple precision complex floating-point library
- libmpcdec-dev (2:0.1~r495-1+b2)
- MusePack decoder - development files
- libmpcdec6 (2:0.1~r495-1+b2)
- MusePack decoder - library
- libmpd-dev (0.20.0-3)
- High-level client library for accessing Music Player Daemon
- libmpd1 (0.20.0-3)
- High-level client library for accessing Music Player Daemon
- libmpdclient-dev (2.16-1)
- client library for the Music Player Daemon (development files)
- libmpdclient-doc (2.16-1)
- client library for the Music Player Daemon (API documentation)
- libmpdclient2 (2.16-1)
- client library for the Music Player Daemon
- libmpdec-dev (2.4.2-2)
- library for decimal floating point arithmetic (development files)
- libmpdec-doc (2.4.2-2)
- library for decimal floating point arithmetic (documentation)
- libmpdec2 (2.4.2-2)
- library for decimal floating point arithmetic (runtime library)
- libmpeg-mp3info-perl
- virtueel pakket geboden door libmp3-info-perl
- libmpeg2-4 (0.5.1-8)
- MPEG1 and MPEG2 video decoder library
- libmpeg2-4-dev (0.5.1-8)
- libmpeg2 development libraries and headers
- libmpeg2encpp-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- libmpeg3-2 (1.8.dfsg-2.1)
- MPEG streams decoding library
- libmpeg3-dev (1.8.dfsg-2.1)
- Headers and static libraries for libMPEG3
- libmpfi
- virtueel pakket geboden door libmpfi0
- libmpfi-dev (1.5.3+ds-2)
- multiple precision floating-point interval computation library -- libdev
- libmpfi-dev-common (1.5.3+ds-2)
- multiple precision floating-point interval computation library -- headers
- libmpfi-doc (1.5.3+ds-2)
- multiple precision floating-point interval computation library -- doc
- libmpfi0 (1.5.3+ds-2)
- multiple precision floating-point interval computation library -- lib
- libmpfi0-dev (1.5.3+ds-2)
- transitional dummy package
- libmpfr-dev (4.0.2-1)
- multiple precision floating-point computation developers tools
- libmpfr-doc (4.0.2-1)
- multiple precision floating-point computation documentation
- libmpfr6 (4.0.2-1)
- multiple precision floating-point computation
- libmpfrc++-dev (3.6.6+ds-1)
- multi-precision floating point number class for C++
- libmpg123-0 (1.25.10-2)
- MPEG layer 1/2/3 audio decoder (shared library)
- libmpg123-dev (1.25.10-2)
- MPEG layer 1/2/3 audio decoder (development files)
- libmpich-dev (3.3-3)
- Development files for MPICH
- libmpich12 (3.3-3)
- Shared libraries for MPICH
- libmpikmeans-dev (1.5+dfsg-7)
- Development libraries and header files for MPIKmeans
- libmpikmeans1 (1.5+dfsg-7)
- Fast Library for k-means Clustering
- libmpj-java (0.44+dfsg-4)
- Java library for parallel applications for multicore processors and clusters
- libmplex2-2.1-0 (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (library)
- libmpris-qt5-1 (0.1.0-2)
- Qt MPRIS interface and adaptor
- libmpris-qt5-dev (0.1.0-2)
- Qt MPRIS interface and adaptor (development files)
- libmpv-dev (0.29.1-1+deb10u1) [security]
- video player based on MPlayer/mplayer2 (client library dev files)
- libmpv1 (0.29.1-1+deb10u1) [security]
- video player based on MPlayer/mplayer2 (client library)
- libmpx2 (8.3.0-6)
- Intel memory protection extensions (runtime)
- libmpx2-amd64-cross (8.3.0-2cross1)
- Intel memory protection extensions (runtime)
- libmpx2-dbg (8.3.0-6)
- Intel memory protection extensions (debug symbols)
- libmpx2-i386-cross (8.3.0-2cross1)
- Intel memory protection extensions (runtime)
- libmqdb-perl (0.954-2)
- MappedQueryDB toolkit for federated databases
- libmqtt-client-java (1.14-1+deb10u1)
- Java MQTT Client API
- libmr-tarantool-perl (0.0.24-1)
- perl driver for tarantool
- libmrm4 (2.3.8-2)
- Motif - MRM (Motif Resource Manager) shared library
- libmrmpi-dev (1.0~20140404-2+b1)
- Implements MapReduce operation on top of standard MPI message - development
- libmrmpi1 (1.0~20140404-2+b1)
- Implements MapReduce operation on top of standard MPI message - runtime
- libmro-compat-perl (0.13-1)
- mro::* interface compatibility for Perls < 5.9.5
- libmrpt-base1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - base library
- libmrpt-detectors1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - detectors library
- libmrpt-dev (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - Development headers
- libmrpt-graphs1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - graphs library
- libmrpt-graphslam1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - graphslam library
- libmrpt-gui1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - gui library
- libmrpt-hmtslam1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - hmtslam library
- libmrpt-hwdrivers1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - hwdrivers library
- libmrpt-kinematics1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - kinematics library
- libmrpt-maps1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - maps library
- libmrpt-nav1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - nav library
- libmrpt-obs1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - obs library
- libmrpt-opengl1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - opengl library
- libmrpt-slam1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - slam library
- libmrpt-tfest1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - tfest library
- libmrpt-topography1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - topography library
- libmrpt-vision1.5 (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - vision library
- virtueel pakket geboden door libmrss0
- (0.19.2-6+b1)
- C library for parsing, writing and creating RSS files or streams
- (0.19.2-6+b1)
- libmrss debugging symbols
- (0.19.2-6+b1)
- libmrss development files
- libmrtd-dev (0.1.6-3)
- Development files for libmrtd0
- libmrtd0 (0.1.6-3)
- MRTD - Machine-readable travel document library
- libmruby-dev (2.0.0-1)
- lightweight implementation of the Ruby language (development files)
- libmsdw-smtp-perl (1.2-1)
- SMTP Client/Server modules from smtpprox
- libmseed-dev (2.19.6-1)
- seed data records manipulation library (development files)
- libmseed-doc (2.19.6-1)
- seed data records manipulation library (documentation and example files)
- libmseed2 (2.19.6-1)
- seed data records manipulation library
- libmsgcat-perl (1.03-7+b1)
- perl module to access XPG4 message catalog functions
- libmsgpack-dev (3.0.1-3)
- binary-based efficient object serialization library (development files)
- libmsgpack-doc (3.0.1-3)
- binary-based efficient object serialization library (docs)
- libmsgpackc2 (3.0.1-3)
- binary-based efficient object serialization library
- libmsgpuck-dev (1.0.3-1.1)
- MsgPack serialization library in a self-contained header file
- libmsgsl-dev (1.0.0-2)
- Microsoft Guidelines Support Library
- libmshr-dev (2018.1.0+dfsg1-7+b4)
- Shared links and header files for mshr
- libmshr2018.1 (2018.1.0+dfsg1-7+b4)
- Shared libraries for mshr
- libmsi-dev (0.98-1)
- Windows Installer file manipulation library - development files
- libmsi0 (0.98-1)
- Windows Installer file manipulation library
- libmsiecf-dev (20181227-1)
- Microsoft Internet Explorer Cache File access library -- development files
- libmsiecf-utils (20181227-1)
- Microsoft Internet Explorer Cache File access library -- Utilities
- libmsiecf1 (20181227-1)
- Microsoft Internet Explorer Cache File access library
- libmsoffice-word-html-writer-perl (1.03-2)
- module for writing MsWord documents in HTML format
- libmspack-dev (0.10.1-1)
- library for Microsoft compression formats (development files)
- libmspack-doc (0.10.1-1)
- library for Microsoft compression formats (documentation)
- libmspack0 (0.10.1-1)
- library for Microsoft compression formats (shared library)
- libmspub-0.1-1 (0.1.4-1+b2)
- library for parsing the mspub file structure
- libmspub-dev (0.1.4-1+b2)
- library for parsing the mspub file structure -- development
- libmspub-doc (0.1.4-1)
- library for parsing the mspub file structure -- documentation
- libmspub-tools (0.1.4-1+b2)
- library for parsing the mspub file structure -- tools
- libmstch-dev (1.0.2-2)
- Mustache implementation in C++11
- libmstoolkit-dev (82-6)
- libraries for manipulating mass spectrometry data - dev files
- libmstoolkit-tools (82-6)
- libraries for manipulating mass spectrometry data - tools
- libmstoolkit82 (82-6)
- libraries for manipulating mass spectrometry data - runtime
- libmsv-dev (1.1.1-3)
- Monkeysphere validation library (development files)
- libmsv-java (2009.1+dfsg1-6)
- Sun multi-schema XML validator
- libmsv1 (1.1.1-3)
- Monkeysphere validation library
- libmtdev-dev (1.1.5-1+b1)
- Multitouch Protocol Translation Library - dev files
- libmtdev1 (1.1.5-1+b1)
- Multitouch Protocol Translation Library - shared library
- libmtdev1-udeb (1.1.5-1+b1)
- Multitouch Protocol Translation Library - shared library
- libmthca1
- virtueel pakket geboden door ibverbs-providers
- libmtj-java (0.9.14+dfsg-5)
- Java library for developing numerical applications
- libmtj-java-doc (0.9.14+dfsg-5) [contrib]
- Java library for developing numerical applications (documentation)
- libmtp-common (1.1.16-2)
- Media Transfer Protocol (MTP) common files
- libmtp-dev (1.1.16-2)
- Media Transfer Protocol (MTP) development files
- libmtp-doc (1.1.16-2)
- Media Transfer Protocol (MTP) library documentation
- libmtp-runtime (1.1.16-2)
- Media Transfer Protocol (MTP) runtime tools
- libmtp9 (1.1.16-2)
- Media Transfer Protocol (MTP) library
- libmuffin-dev (3.8.2-1)
- lightweight window and compositing manager (development files)
- libmuffin0 (3.8.2-1)
- lightweight window and compositing manager (shared library)
- libmulticobex-dev
- virtueel pakket geboden door libmulticobex1-dev
- libmulticobex1 (0.24-5+b5)
- multi-protocol cable OBEX library
- libmulticobex1-dev (0.24-5+b5)
- multi-protocol cable OBEX library - development files
- libmultidimensional-perl (0.014-1+b1)
- Perl pragma to disable multidimensional array emulation
- libmultiverse-core-java (0.7.0-4)
- Java library implementing Software Transactional Memory (STM)
- libmultiverse-core-java-doc (0.7.0-4)
- Documentation for libmultiverse-core-java
- libmumps-5.1.2 (5.1.2-4+b2)
- Direct linear systems solver - parallel shared libraries
- libmumps-dev (5.1.2-4+b2)
- Direct linear systems solver - parallel development files
- libmumps-ptscotch-5.1.2 (5.1.2-4+b2)
- Direct linear systems solver - PTScotch-version shared libraries
- libmumps-ptscotch-dev (5.1.2-4+b2)
- Direct linear systems solver - PTScotch-version development files
- libmumps-scotch-5.1.2 (5.1.2-4+b2)
- Direct linear systems solver - Scotch-version shared libraries
- libmumps-scotch-dev (5.1.2-4+b2)
- Direct linear systems solver - Scotch-version development files
- libmumps-seq-5.1.2 (5.1.2-4+b2)
- Direct linear systems solver - non-parallel shared libraries
- libmumps-seq-dev (5.1.2-4+b2)
- Direct linear systems solver - non-parallel development files
- libmunge-dev (0.5.13-2)
- authentication service for credential -- development package
- libmunge-maven-plugin-java (1.0-2)
- Maven plugin to pre-process Java code
- libmunge2 (0.5.13-2)
- authentication service for credential -- library package
- libmuparser-dev (2.2.6.1+dfsg-1)
- fast mathematical expressions parse library (development)
- libmuparser2v5 (2.2.6.1+dfsg-1)
- fast mathematical expressions parser library (runtime)
- libmuparserx-dev (4.0.7+dfsg-3+b11)
- mathematical expression parser library (development files)
- libmuparserx4.0.7 (4.0.7+dfsg-3+b11)
- mathematical expression parser library
- libmupdf-dev (1.14.0+ds1-4+deb10u3)
- development files for the MuPDF viewer
- libmupen64plus-dev (2.5-5)
- mupen64plus development package
- libmupen64plus2 (2.5-5)
- plugin-based Nintendo 64 emulator, core library
- libmurmurhash-dev (1.3-2)
- libmurmurhash header files
- libmurmurhash1 (1.3-2)
- Portable MurmurHash Implementation
- libmuroar-dev (0.1.13-4)
- minimalist RoarAudio client library (development headers)
- libmuroar0 (0.1.13-4)
- minimalist RoarAudio client library
- libmuroard3 (0.1.14-6)
- minimalist RoarAudio sound daemon (library package)
- libmuscle-3.7-1
- virtueel pakket geboden door libmuscle1
- libmuscle-3.7-dev
- virtueel pakket geboden door libmuscle-dev
- libmuscle-dev (3.7+4565-6)
- multiple alignment development library for protein sequences
- libmuscle1 (3.7+4565-6)
- multiple alignment library for protein sequences
- libmusic-dev (1.0.7-4+b1)
- Multi-Simulation Coordinator for MPI -- Development files
- libmusic1v5 (1.0.7-4+b1)
- Multi-Simulation Coordinator for MPI -- Runtime library
- libmusicbrainz-discid-perl (0.04-1+b1)
- Perl interface to the MusicBrainz libdiscid library
- libmusicbrainz5-2 (5.1.0+git20150707-9)
- Library to access the MusicBrainz.org database (C wrapper functions)
- libmusicbrainz5-dev (5.1.0+git20150707-9)
- Library to access the MusicBrainz.org database (development files)
- libmusicbrainz5-doc (5.1.0+git20150707-9)
- Library to access the MusicBrainz.org database (developer documentation)
- libmusicbrainz5cc2v5 (5.1.0+git20150707-9)
- Library to access the MusicBrainz.org database
- libmustache-d-dev (0.1.3-3+b2)
- Mustache rendering engine for D -- development files
- libmustache-d0 (0.1.3-3+b2)
- Mustache rendering engine for D
- libmustache-java (0.8.18-1)
- Mustache implementation in Java.
- libmutter-3-0 (3.30.2-9~deb10u1)
- window manager library from the Mutter window manager
- libmutter-3-dev (3.30.2-9~deb10u1)
- Development files for the Mutter window manager
- libmvel-java (2.4.0-1)
- expression language for Java-based applications - Library
- libmvel-java-doc (2.4.0-1)
- expression language for Java-based applications - Javadoc
- libmwaw-0.3-3 (0.3.14-1)
- import library for some old Mac text documents
- libmwaw-dev (0.3.14-1)
- import library for some old Mac text documents -- development
- libmwaw-doc (0.3.14-1)
- import library for some old Mac text documents -- documentation
- libmwaw-tools (0.3.14-1)
- import library for some old Mac text documents -- tools
- libmxml-bin (2.12-2)
- small XML parsing library (binaries)
- libmxml-dev (2.12-2)
- small XML parsing library (development)
- libmxml1 (2.12-2)
- small XML parsing library (runtime)
- libmygpo-qt-dev (1.1.0-3)
- Development headers for the mygpo-qt library
- libmygpo-qt5-1 (1.1.0-3)
- mygpo-qt library package
- libmygui-dev (3.2.2+dfsg-2+b2)
- Fast, simple and flexible GUI for OpenMW - development files
- libmygui.ogreplatform0debian1v5 (3.2.2+dfsg-2+b2)
- Fast, simple and flexible GUI - Ogre interface
- libmygui.openglplatform0debian1v5 (3.2.2+dfsg-2+b2)
- Fast, simple and flexible GUI - OpenGL interface
- libmyguiengine3debian1v5 (3.2.2+dfsg-2+b2)
- Fast, simple and flexible GUI - shared library
- libmypaint-1.3-0 (1.3.0-2.1)
- brush library for mypaint
- libmypaint-common (1.3.0-2.1)
- brush library for mypaint - common files
- libmypaint-dev (1.3.0-2.1)
- brush library for mypaint - development files
- libmypaint-doc (1.3.0-2.1)
- brush library for mypaint - documentation
- libmyproxy-dev (6.2.4-1)
- Credential Management Service Development
- libmyproxy-doc (6.2.4-1)
- Credential Management Service Documentation
- libmyproxy-voms (6.2.4-1)
- Credential Management Service VOMS Library
- libmyproxy6 (6.2.4-1)
- Credential Management Service Library
- libmysofa-dev (0.6~dfsg0-3+deb10u1)
- library to read HRTFs stored in the AES69-2015 SOFA format - development files
- libmysofa-utils (0.6~dfsg0-3+deb10u1)
- library to read HRTFs stored in the AES69-2015 SOFA format - utilities
- libmysofa0 (0.6~dfsg0-3+deb10u1)
- library to read HRTFs stored in the AES69-2015 SOFA format
- libmysql-diff-perl (0.60-1)
- module for comparing the table structure of two MySQL databases
- libmysql-ocaml (1.2.1-1+b3 [armhf], 1.2.1-1+b2 [amd64, arm64, i386])
- OCaml bindings for MySql (runtime package)
- libmysql-ocaml-8gkp8
- virtueel pakket geboden door libmysql-ocaml
- libmysql-ocaml-dev (1.2.1-1+b3 [armhf], 1.2.1-1+b2 [amd64, arm64, i386])
- OCaml bindings for MySql (development package)
- libmysql-ocaml-dev-8gkp8
- virtueel pakket geboden door libmysql-ocaml-dev
- libmysql-ocaml-dev-vxp12
- virtueel pakket geboden door libmysql-ocaml-dev
- libmysql-ocaml-vxp12
- virtueel pakket geboden door libmysql-ocaml
- libmysqlcppconn-dev (1.1.9-4+b1)
- MySQL Connector for C++ (development files)
- libmysqlcppconn7v5 (1.1.9-4+b1)
- MySQL Connector for C++ (library)
- libmythes-1.2-0 (2:1.2.4-3)
- simple thesaurus library
- libmythes-dev (2:1.2.4-3)
- simple thesaurus library (development files)
- libn32atomic1-mips-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mips64-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mips64el-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mips64r6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mips64r6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mipsel-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mipsr6-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (n32)
- libn32atomic1-mipsr6el-cross (8.3.0-2cross2)
- support library providing __atomic built-in functions (n32)
- libn32c-dev-mips-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mips-cross
- libn32c-dev-mips64-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mips64-cross
- libn32c-dev-mips64el-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mips64el-cross
- libn32c-dev-mips64r6-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mips64r6-cross
- libn32c-dev-mips64r6el-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mips64r6el-cross
- libn32c-dev-mipsel-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mipsel-cross
- libn32c-dev-mipsr6-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mipsr6-cross
- libn32c-dev-mipsr6el-cross
- virtueel pakket geboden door libc6-dev-mipsn32-mipsr6el-cross
- libn32gcc-8-dev-mips-cross (8.3.0-2cross1)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mips64-cross (8.3.0-2cross2)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mips64el-cross (8.3.0-2cross1)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mips64r6-cross (8.3.0-2cross2)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mipsel-cross (8.3.0-2cross1)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mipsr6-cross (8.3.0-2cross2)
- GCC support library (n32 development files)
- libn32gcc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GCC support library (n32 development files)
- libn32gcc1-mips-cross (1:8.3.0-2cross1)
- GCC support library (n32)
- libn32gcc1-mips-dcv1
- virtueel pakket geboden door libn32gcc1-mips-cross
- libn32gcc1-mips64-cross (1:8.3.0-2cross2)
- GCC support library (n32)
- libn32gcc1-mips64-dcv1
- virtueel pakket geboden door libn32gcc1-mips64-cross
- libn32gcc1-mips64el-cross (1:8.3.0-2cross1)
- GCC support library (n32)
- libn32gcc1-mips64el-dcv1
- virtueel pakket geboden door libn32gcc1-mips64el-cross
- libn32gcc1-mips64r6-cross (1:8.3.0-2cross2)
- GCC support library (n32)
- libn32gcc1-mips64r6-dcv1
- virtueel pakket geboden door libn32gcc1-mips64r6-cross
- libn32gcc1-mips64r6el-cross (1:8.3.0-2cross2)
- GCC support library (n32)
- libn32gcc1-mips64r6el-dcv1
- virtueel pakket geboden door libn32gcc1-mips64r6el-cross
- libn32gcc1-mipsel-cross (1:8.3.0-2cross1)
- GCC support library (n32)
- libn32gcc1-mipsel-dcv1
- virtueel pakket geboden door libn32gcc1-mipsel-cross
- libn32gcc1-mipsr6-cross (1:8.3.0-2cross2)
- GCC support library (n32)
- libn32gcc1-mipsr6-dcv1
- virtueel pakket geboden door libn32gcc1-mipsr6-cross
- libn32gcc1-mipsr6el-cross (1:8.3.0-2cross2)
- GCC support library (n32)
- libn32gcc1-mipsr6el-dcv1
- virtueel pakket geboden door libn32gcc1-mipsr6el-cross
- libn32gfortran-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32 development files)
- libn32gfortran5-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32)
- libn32gfortran5-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Fortran applications (n32)
- libn32go13-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (n32)
- libn32go13-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (n32)
- libn32go13-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (n32)
- libn32go13-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (n32)
- libn32go13-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (n32)
- libn32go13-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (n32)
- libn32go13-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (n32)
- libn32go13-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Go applications (n32)
- libn32gomp1-mips-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mips64-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mips64el-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mips64r6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mips64r6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mipsel-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mipsr6-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (n32)
- libn32gomp1-mipsr6el-cross (8.3.0-2cross2)
- GCC OpenMP (GOMP) support library (n32)
- libn32objc-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32 development files)
- libn32objc4-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32)
- libn32objc4-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (n32)
- libn32stdc++-8-dev-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mips)
- libn32stdc++-8-dev-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64)
- libn32stdc++-8-dev-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mips64el)
- libn32stdc++-8-dev-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64r6)
- libn32stdc++-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mips64r6el)
- libn32stdc++-8-dev-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (mipsel)
- libn32stdc++-8-dev-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mipsr6)
- libn32stdc++-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files) (mipsr6el)
- libn32stdc++6-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mips-dcv1
- virtueel pakket geboden door libn32stdc++6-mips-cross
- libn32stdc++6-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mips64-dcv1
- virtueel pakket geboden door libn32stdc++6-mips64-cross
- libn32stdc++6-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mips64el-dcv1
- virtueel pakket geboden door libn32stdc++6-mips64el-cross
- libn32stdc++6-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mips64r6-dcv1
- virtueel pakket geboden door libn32stdc++6-mips64r6-cross
- libn32stdc++6-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mips64r6el-dcv1
- virtueel pakket geboden door libn32stdc++6-mips64r6el-cross
- libn32stdc++6-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mipsel-dcv1
- virtueel pakket geboden door libn32stdc++6-mipsel-cross
- libn32stdc++6-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mipsr6-dcv1
- virtueel pakket geboden door libn32stdc++6-mipsr6-cross
- libn32stdc++6-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (n32)
- libn32stdc++6-mipsr6el-dcv1
- virtueel pakket geboden door libn32stdc++6-mipsr6el-cross
- libnabrit-dbg (0.5.1-2)
- LV2 bridging helper library (debugging symbols)
- libnabrit-dev (0.5.1-2)
- LV2 bridging helper library (development files)
- libnabrit3 (0.5.1-2)
- LV2 bridging helper library
- libnacl-dev (20110221-6.1)
- High-speed software library for network communication
- libnacore-dev (0.5.1-3)
- NASPRO core library (development files)
- libnacore-doc (0.5.1-3)
- NASPRO core library (documentation)
- libnacore5 (0.5.1-3)
- NASPRO core library
- libnaga-java (3.0+svn80-2)
- Simplified Java NIO asynchronous sockets
- libnagios-object-perl (0.21.20-2)
- module to parse and represent Nagios configuration as objects
- libnamespace-autoclean-perl (0.28-1)
- module to remove imported symbols after compilation
- libnamespace-clean-perl (0.27-1)
- module for keeping imports and functions out of the current namespace
- libnamespace-sweep-perl (0.006-2)
- sweeps up imported subs in your classes
- libnanomsg-dev (1.1.5+dfsg-1)
- nanomsg development files
- libnanomsg-raw-perl (0.10-1+b4)
- low-level interface to nanomsg for Perl
- libnanomsg5 (1.1.5+dfsg-1)
- high-performance implementation of scalability libraries
- libnanoxml2-java (2.2.3.dfsg-7)
- small XML parser for Java
- libnanoxml2-java-doc (2.2.3.dfsg-7)
- documentation for libnanoxml2-java
- libnative-platform-java (0.14-5)
- Java bindings for various native APIs
- libnative-platform-java-doc (0.14-5)
- testing and specification framework for Java and Groovy - Docs
- libnative-platform-jni (0.14-5)
- Java bindings for various native APIs - JNI library
- libnativecall-perl (0.006-1)
- Perl 5 interface to foreign functions in Perl code without XS
- libnatpmp-dev (20150609-7)
- portable and fully compliant implementation of NAT-PMP (dev files)
- libnatpmp1 (20150609-7)
- portable and fully compliant implementation of NAT-PMP
- libnautilus-extension-dev (3.30.5-2)
- libraries for nautilus components - development version
- libnautilus-extension1a (3.30.5-2)
- libraries for nautilus components - runtime version
- libnauty
- virtueel pakket geboden door libnauty2
- libnauty-dev
- virtueel pakket geboden door libnauty2-dev
- libnauty2 (2.6r10+ds-1)
- library for graph automorphisms -- library package
- libnauty2-dev (2.6r10+ds-1)
- library for graph automorphisms -- development package
- libnav-msgs-dev (1.12.7-1)
- Messages relating to Robot OS nav, C/C++ interface
- libnb-javaparser-java (9+2018-1)
- Parser for the Java language which is good for use in tools
- libnb-org-openide-modules-java (10.0-2)
- Utility classes for modules from the NetBeans Platform
- libnb-org-openide-util-java (10.0-2)
- Utility classes from the NetBeans Platform
- libnb-org-openide-util-lookup-java (10.0-2)
- Utility lookup classes from the NetBeans Platform
- libnb-platform-devel-java (10.0-2)
- Build harness for NetBeans Platform
- libnb-platform18-java (10.0-2)
- NetBeans Platform for building rich desktop applications in Java
- libnb-svnclientadapter-java
- virtueel pakket geboden door libsvnclientadapter-java
- libnb-svnclientadapter-java-doc
- virtueel pakket geboden door libsvnclientadapter-java-doc
- libnbcompat-dev (20180822-5)
- NetBSD pkgsrc compatibility library
- libncap-dev (1.9.2-3)
- static library and header files for libncap
- libncap44 (1.9.2-3)
- network capture library
- libncarg-bin (6.5.0-2)
- NCAR command-language library - development tools
- libncarg-data (6.5.0-2)
- NCAR command-language library - Data
- libncarg-dev (6.5.0-2)
- Development files for the NCAR command language library
- libncarg0 (6.5.0-2)
- NCAR command-language library
- libncbi-vdb-dev (2.9.3+dfsg-2)
- libraries for using data in the INSDC Sequence Read Archives (devel)
- libncbi-vdb2 (2.9.3+dfsg-2)
- libraries for using data in the INSDC Sequence Read Archives
- libncbi-wvdb-dev (2.9.3+dfsg-2)
- virtual layer update modul of ncbi-vdb library (devel)
- libncbi-wvdb2 (2.9.3+dfsg-2)
- virtual layer update modul of ncbi-vdb library
- libncbi6 (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for biology applications
- libncbi6-dev (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for biology applications (development files)
- libncl-dev (2.1.21+git20180827.c71b264-2)
- NEXUS Class Library (static lib and header files)
- libncl2 (2.1.21+git20180827.c71b264-2)
- NEXUS Class Library
- libncurses-dev (6.1+20181013-2+deb10u5) [security]
- developer's libraries for ncurses
- libncurses5 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (legacy version)
- libncurses5-dev (6.1+20181013-2+deb10u5) [security]
- transitional package for libncurses-dev
- libncurses5-dev
- virtueel pakket geboden door libncurses-dev
- libncurses6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling
- libncurses6-dbg (6.1+20181013-2+deb10u5) [security]
- debugging/profiling libraries for ncurses
- libncursesada-doc (6.1.20180127-3)
- Ada binding to the ncurses text interface library: documentation
- libncursesada6.2.20180127 (6.1.20180127-3)
- Ada binding to the ncurses text interface library: shared library
- libncursesada6.2.20180127-dev (6.1.20180127-3)
- Ada binding to the ncurses text interface library: development
- libncursesw5 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (wide character legacy version)
- libncursesw5-dev (6.1+20181013-2+deb10u5) [security]
- transitional package for libncurses-dev
- libncursesw5-dev
- virtueel pakket geboden door libncurses-dev
- libncursesw6 (6.1+20181013-2+deb10u5) [security]
- shared libraries for terminal handling (wide character support)
- libncursesw6-dbg (6.1+20181013-2+deb10u5) [security]
- debugging/profiling libraries for ncursesw
- libndctl-dev (63-1.3)
- Development files for libndctl
- libndctl6 (63-1.3)
- Utility library for managing the libnvdimm subsystem
- libndp-dbg (1.6-1+b1)
- Library for Neighbor Discovery Protocol (debug symbols)
- libndp-dev (1.6-1+b1)
- Library for Neighbor Discovery Protocol (development files)
- libndp-tools (1.6-1+b1)
- Library for Neighbor Discovery Protocol (tools)
- libndp0 (1.6-1+b1)
- Library for Neighbor Discovery Protocol
- libndpi-bin (2.6-3+deb10u1) [security]
- extensible deep packet inspection library - ndpiReader
- libndpi-dev (2.6-3+deb10u1) [security]
- extensible deep packet inspection library - development files
- libndpi-wireshark (2.6-3+deb10u1) [security]
- extensible deep packet inspection library - wireshark dissector
- libndpi2.6 (2.6-3+deb10u1) [security]
- extensible deep packet inspection library - shared library
- libne10-10 (1.2.1-4)
- ARM neon (SIMD) library
- libne10-dev (1.2.1-4)
- ARM neon (SIMD) library - development files
- libneko2 (2.2.0-2+b12)
- Lightweight virtual machine - shared library
- libnekohtml-java (1.9.22-1)
- NekoHTML - HTML parser for Java
- libnekohtml-java-doc (1.9.22-1)
- NekoHTML - HTML parser for Java (Documentation)
- libnemesis3 (6.02.dfsg.1-8+b1)
- Parallel extension to the EXODUSII datamodel
- libnemo-extension-dev (3.8.5-1+b1)
- Nemo component system facilities (development files)
- libnemo-extension1 (3.8.5-1+b1)
- Nemo component system facilities
- libneo4j-client
- virtueel pakket geboden door libneo4j-client11
- libneo4j-client-dev (2.2.0-1+b1)
- Development files for libneo4j-client, a client library for Neo4j
- libneo4j-client-doc (2.2.0-1)
- Documentation for libneo4j-client, a client library for Neo4j
- libneo4j-client11 (2.2.0-1+b1)
- Client library for the Neo4j graph database
- libneon-dev
- virtueel pakket geboden door libneon27-gnutls-dev, libneon27-dev
- libneon27 (0.30.2-3)
- HTTP and WebDAV client library
- libneon27-dbg (0.30.2-3)
- Detached symbols for libneon27
- libneon27-dev (0.30.2-3)
- Header and static library files for libneon27
- libneon27-gnutls (0.30.2-3)
- HTTP and WebDAV client library (GnuTLS enabled)
- libneon27-gnutls-dbg (0.30.2-3)
- Detached symbols for libneon27 (GnuTLS enabled)
- libneon27-gnutls-dev (0.30.2-3)
- Header and static library files for libneon27 (GnuTLS enabled)
- libnes1
- virtueel pakket geboden door ibverbs-providers
- libnet-abuse-utils-perl (0.27-1)
- Routines useful for processing network abuse
- libnet-address-ip-local-perl (0.1.2-3)
- Perl module for discovering the local system's IP address
- libnet-akamai-perl (0.15-1)
- Perl module to interact with Akamai
- libnet-akismet-perl (0.05-3)
- Perl interface to Akismet - comment and trackback spam fighter
- libnet-amazon-ec2-perl (0.36-1)
- Perl interface to the Amazon Elastic Compute Cloud (EC2)
- libnet-amazon-s3-perl (0.85-1)
- Amazon S3 Perl API - Simple Storage Service
- libnet-amazon-s3-tools-perl (0.08-2)
- Command line tools for Amazon AWS S3
- libnet-amqp-perl (0.06~dfsg-2)
- AMQP (de)serialization and representation
- libnet-appliance-session-perl (4.300001-1)
- module for running command-line sessions to network appliances
- libnet-arp-perl (1.0.9-1+b1)
- module to create ARP packets and lookup for ARP information
- libnet-async-fastcgi-perl (0.25-1)
- FastCGI engine using IO::Async
- libnet-async-irc-perl (0.11-1)
- IRC client for use with IO::Async
- libnet-async-tangence-perl (0.14-1)
- use Tangence with IO::Async
- libnet-bluetooth-perl (0.41-2+b1)
- Perl interface to Bluetooth libraries
- libnet-bonjour-perl (0.96-2)
- Perl module for DNS service discovery
- libnet-cidr-lite-perl (0.21-2+debu10u1) [security]
- module for merging IPv4 or IPv6 CIDR address ranges
- libnet-cidr-perl (0.19-1)
- module to manipulate IPv4/IPv6 netblocks in CIDR notation
- libnet-cidr-set-perl (0.13-2)
- Net::CIDR::Set perl module to manipulate sets of IP addresses
- libnet-cisco-mse-rest-perl (0.2-1)
- Perl wrapper for Cisco MSE REST interface
- libnet-citadel-perl (0.25-1)
- Citadel.org protocol coverage
- libnet-cli-interact-perl (2.300002-1)
- toolkit for CLI Automation
- libnet-cups-perl (0.64-1+b1)
- Perl module for printing through CUPS
- libnet-daap-dmap-perl (1.27-1)
- Perl module for reading and writing DAAP structures
- libnet-daemon-perl (0.48-1.1)
- Perl module for building portable Perl daemons easily
- libnet-dbus-glib-perl (0.33.0-3+b1)
- extension for the DBus GLib bindings
- libnet-dbus-perl (1.1.0-5+b1)
- Perl extension for the DBus bindings
- libnet-dev
- virtueel pakket geboden door libnet1-dev
- libnet-dhcp-perl (0.696+dfsg-1)
- Perl interface for handling DHCP packets
- libnet-dhcpv6-duid-parser-perl (1.01-2)
- OO interface to parse DHCPv6 Unique Identifiers
- libnet-dict-perl (2.21-1)
- client API for accessing dictionary servers (RFC 2229)
- libnet-dns-async-perl (1.07-2)
- Asynchronous DNS helper for high volume perl applications
- libnet-dns-cloudflare-ddns-perl (0.63.1-1)
- object orientated Dynamic DNS interface
- libnet-dns-fingerprint-perl (20190131-1)
- library to determine DNS server vendor, product and version
- libnet-dns-lite-perl (0.12-1)
- pure-perl DNS resolver with support for timeout
- libnet-dns-perl (1.19-1)
- Perform DNS queries from a Perl script
- libnet-dns-resolver-mock-perl (1.20171219-1)
- Mock a DNS Resolver object for testing
- libnet-dns-resolver-programmable-perl (0.009-1)
- programmable DNS resolver class for offline emulation of DNS
- libnet-dns-sec-perl (1.11-1)
- DNSSEC extension to NET::DNS
- libnet-domain-tld-perl (1.75-1)
- list of currently available Top-level Domains (TLDs)
- libnet-dpap-client-perl (0.26-3)
- Perl module for cnnecting to iPhoto shares (DPAP)
- libnet-dropbox-api-perl (1.9-1)
- Perl module providing a dropbox API interface
- libnet-duo-perl (1.02-1)
- Perl API for Duo multifactor authentication service
- libnet-easytcp-perl (0.26-4)
- Easily create secure, bandwidth-friendly TCP/IP clients and servers
- libnet-epp-perl (0.22-1)
- module implementing the Extensible Provisioning Protocol
- libnet-facebook-oauth2-perl (0.11-1)
- simple Perl wrapper around Facebook OAuth v2.0 protocol
- libnet-fastcgi-perl (0.14-1)
- Perl toolkit to write FastCGI applications
- libnet-finger-perl (1.06-6.1)
- perl Module providing an API for Finger queries
- libnet-frame-device-perl (1.11-1)
- module to get network device information
- libnet-frame-dump-perl (1.17-1)
- base-class for a tcpdump like implementation
- libnet-frame-layer-icmpv6-perl (1.10-1)
- module for encoding and decoding of the ICMPv6 layer
- libnet-frame-layer-ipv6-perl (1.08-1)
- module for encoding and decoding of the IPv6 layer
- libnet-frame-perl (1.19-1)
- framework for crafting raw frames
- libnet-frame-simple-perl (1.09-1)
- module to make crafting network frames easier
- libnet-freedb-perl (0.10-2~deb10u1)
- Perl interface to freedb servers
- libnet-github-perl (0.95-1)
- Perl interface for GitHub
- libnet-gmail-imap-label-perl (0.008-1)
- IMAP proxy for Google's Gmail that retrieves message labels
- libnet-google-authsub-perl (0.5-2)
- module to interact with sites that implement Google style AuthSub
- libnet-google-safebrowsing2-perl (1.07-6)
- Perl extension for the Google Safe Browsing v2 API
- libnet-gpsd3-perl (0.19-1)
- Perl interface to the gpsd server daemon protocol version 3 (JSON)
- libnet-hotline-perl (0.83-3)
- Hotline interface module for Perl
- libnet-http-perl (6.18-1)
- module providing low-level HTTP connection client
- libnet-https-any-perl (0.12-1)
- wrapper around Net::SSLeay
- libnet-https-nb-perl (0.15-1)
- low-level non-blocking HTTPS client
- libnet-httpserver-perl (1.1.1-3)
- extensible HTTP server framework for Perl
- libnet-ident-perl (1.24-1)
- Perl module to lookup the username on the remote end of a TCP/IP connection
- libnet-idn-encode-perl (2.500-1)
- Internationalizing Domain Names in Applications (IDNA)
- libnet-idn-nameprep-perl (1.102+dfsg-1)
- stringprep profile for Internationalized Domain Names (RFC 3491)
- libnet-ifconfig-wrapper-perl (0.16-1)
- multiplatform Perl wrapper for ifconfig
- libnet-imap-client-perl (0.9505-1)
- Perl module to communicate with IMAP servers
- libnet-imap-perl (0.02-9)
- client interface to IMAP (Internet Message Access Protocol)
- libnet-imap-simple-perl (1.2211-1)
- Perl module to manage an IMAP account
- libnet-imap-simple-ssl-perl (1.3-4)
- Subclass of Net::IMAP::Simple with SSL support
- libnet-inet6glue-perl (0.603-2)
- glue module to make perl modules IPv6 ready
- libnet-interface-perl (1.016-1+b3)
- Perl module for manipulating host network interfaces
- libnet-ip-minimal-perl (0.06-1)
- module to check if an IP address is version 4 or 6
- libnet-ip-perl (1.26-2)
- Perl extension for manipulating IPv4/IPv6 addresses
- libnet-ip-xs-perl (0.21-1+b1)
- Perl extension for manipulating IPv4/IPv6 addresses (XS)
- libnet-ipaddress-perl (1.10-3)
- Functions used to manipulate IP addresses, masks and FQDN's
- libnet-iptrie-perl (0.7-2)
- Perl module for building IPv4 and IPv6 address space hierarchies
- libnet-ipv6addr-perl (0.96-1)
- module to validate/manipulate IPv6 addresses
- libnet-irc-perl (0.79-2)
- IRC (Internet Relay Chat) interface module for Perl
- libnet-irr-perl (0.08-1)
- perl interface to the Internet Route Registry daemon
- libnet-jabber-bot-perl (2.1.5-1)
- automated Bot creation with safeties
- libnet-jabber-loudmouth-perl (0.07-3+b5 [amd64, armhf, i386], 0.07-3+b4 [arm64])
- Perl interface for the loudmouth jabber library
- libnet-jabber-perl (2.0-8)
- Perl modules for accessing the Jabber protocol
- libnet-ldap-filterbuilder-perl (1.200000-1)
- module to build LDAP filter statements
- libnet-ldap-perl (1:0.6500+dfsg-1)
- client interface to LDAP servers
- libnet-ldap-server-perl (0.43-1)
- LDAP server-side protocol handling in Perl
- libnet-ldap-server-test-perl (0.22-1)
- provides a test LDAP server for Net::LDAP testing
- libnet-ldap-sid-perl (0.001-1)
- module for Active Directory Security Identifier (SID) manipulation
- libnet-ldapapi-perl (3.0.5-1+b1)
- Perl bindings for OpenLDAP C API
- libnet-ldns-perl (0.75-6)
- Perl wrapper for the ldns DNS library.
- libnet-libdnet-perl (0.98-2+b1)
- interface to libdumbnet's low-level network functions
- libnet-libdnet6-perl (0.27-1)
- module to add IPv6 support to Net::Libdnet
- libnet-libidn-perl (0.12.ds-3+b1)
- Perl bindings for GNU Libidn
- libnet-luminis-build-plugin-java (0.2.0-3)
- Wrapper around Bnd to allow easy bundle creation from ant builds.
- libnet-mac-perl (2.103622-2)
- module for representing and manipulating MAC addresses
- libnet-mac-vendor-perl (1.262-1)
- module to look up the vendor by OUI
- libnet-managesieve-perl (0.13-1)
- Perl client module for ManageSieve
- libnet-nbname-perl (0.26-2)
- NetBIOS Name Service Requests
- libnet-nessus-rest-perl (0.7.0-1)
- REST interface for Nessus 6.0
- libnet-nessus-xmlrpc-perl (0.30-2)
- module for communication with Nessus scanner(v4.2+) via XMLRPC
- libnet-netmask-perl (1.9104-1)
- module to parse, manipulate and lookup IP network blocks
- libnet-nis-perl (0.44-1+b6)
- Interface to Sun's Network Information Service
- libnet-nslookup-perl (2.04-2)
- simple DNS lookup module for perl
- libnet-ntp-perl (1.5-1)
- Perl module to query NTP servers
- libnet-oauth-perl (0.28-3)
- implementation of the OAuth 1.0 protocol
- libnet-oauth2-authorizationserver-perl (0.20-1)
- implementation of an OAuth2 Authorization Server
- libnet-oauth2-perl (0.64-1)
- implementation of the OAuth 2.0 protocol
- libnet-openid-common-perl (1.20-1)
- libraries shared between Net::OpenID::Consumer and Net::OpenID::Server
- libnet-openid-consumer-perl (1.18-1)
- library for consumers of OpenID identities
- libnet-openid-server-perl (1.09-3)
- library for servers of OpenID identities
- libnet-opensrs-perl (0.06-2)
- Perl interface for domain registration via the Tucows OpenSRS HTTPS XML API.
- libnet-openssh-compat-perl (0.09-1)
- collection of compatibility modules for Net::OpenSSH
- libnet-openssh-parallel-perl (0.12-1)
- run SSH jobs in parallel
- libnet-openssh-perl (0.78-1)
- Perl SSH client package implemented on top of OpenSSH
- libnet-oping-perl (1.10.0-1.21-2.1+b1)
- module for ICMP latency measurement
- libnet-patricia-perl (1.22-1+b5 [amd64, armhf, i386], 1.22-1+b4 [arm64])
- Perl module for fast IP address lookups
- libnet-pcap-perl (0.18-2+b3)
- Perl binding to the LBL pcap packet capture library
- libnet-perl (= 1:3.11)
- virtueel pakket geboden door perl
- libnet-ph-perl (2.21-8)
- Perl module for accessing Ph servers
- libnet-ping-perl (= 2.62)
- virtueel pakket geboden door perl
- libnet-prometheus-perl (0.07-1)
- module to export monitoring metrics for Prometheus
- libnet-proxy-perl (0.12-6)
- Framework for proxying network connections in many ways
- libnet-radius-perl (2.103+dfsg-1)
- distribution of modules interfacing to the RADIUS protocol
- libnet-rawip-perl (0.25-2+b5 [amd64, armhf, i386], 0.25-2+b4 [arm64])
- Perl interface to lowlevel TCP/IP
- libnet-rblclient-perl (0.5-3)
- module to query multiple Realtime Blackhole Lists in parallel
- libnet-remctl-perl (3.15-1+b3)
- Perl client for Kerberos-authenticated command execution
- libnet-rendezvous-publish-backend-avahi-perl (0.04-2)
- Perl module to publish zeroconf data with the Avahi library
- libnet-rendezvous-publish-perl (0.04-4)
- Perl module to publish Rendezvous services
- libnet-route-perl (0.02-2)
- portable interface to the routing table
- libnet-scp-expect-perl (0.16-2)
- Wrapper for scp to send passwords via Expect
- libnet-scp-perl (0.08-4)
- Perl extension for secure copy protocol
- libnet-server-coro-perl (1.3-2)
- co-operative multithreaded server using Coro
- libnet-server-mail-perl (0.26-4)
- versatile and extensible mail server framework
- libnet-server-perl (2.009-1)
- extensible, general perl server engine
- libnet-server-ss-prefork-perl (0.05-1)
- hot-deployable variant of Net::Server::PreFork
- libnet-sftp-foreign-perl (1.90+dfsg-1)
- client for the Secure File Transfer Protocol
- libnet-sftp-sftpserver-perl (1.1.0-6)
- Secure File Transfer Protocol Server
- libnet-sieve-perl (0.12-1)
- implementation of managesieve protocol to manage sieve scripts
- libnet-sieve-script-perl (0.09-1)
- module to parse and write sieve scripts
- libnet-sip-perl (0.820-1)
- framework for SIP modules
- libnet-smpp-perl (1.19-2)
- implementation of Short Message Peer to Peer protocol over TCP
- libnet-smtp-server-perl (1.1-6)
- native Perl SMTP Server implementation for Perl
- libnet-smtp-ssl-perl (1.04-1)
- Perl module providing SSL support to Net::SMTP
- libnet-smtp-tls-butmaintained-perl (0.24-2)
- Perl module for providing SMTP client supporting TLS and AUTH
- libnet-smtp-tls-perl (0.12-3)
- Perl SMTP client library supporting TLS and AUTH
- libnet-smtpauth-perl (0.08-4)
- Perl module that provides SMTP authentication (Net::SMTP_auth)
- libnet-smtps-perl (0.09-1)
- SSL/STARTTLS support for Net::SMTP
- libnet-snmp-perl (6.0.1-5)
- Script SNMP connections
- libnet-snpp-perl (1.17-5)
- perl module for accessing SNPP servers
- libnet-socks-perl (0.03-15)
- Perl module providing an API to communicate with SOCKS servers
- libnet-ssh-authorizedkeysfile-perl (0.18-2)
- Read and modify ssh's authorized_keys files
- libnet-ssh-perl (0.09-4)
- Perl extension for secure shell
- libnet-ssh2-perl (0.69-1+b1)
- Perl module for the SSH 2 protocol
- libnet-ssleay-perl (1.85-2+deb10u1)
- Perl module for Secure Sockets Layer (SSL)
- libnet-sslglue-perl (1.058-1)
- module to add/extend SSL support for common perl modules
- libnet-statsd-perl (0.12-1)
- perl client for Etsy's statsd daemon
- libnet-stomp-perl (0.57-1)
- Perl module providing a Streaming Text Orientated Messaging Protocol client
- libnet-subnet-perl (1.03-1)
- Fast IP-in-subnet matcher module for IPv4 and IPv6
- libnet-subnets-perl (1.02-1)
- module for IPv4 subnet calculations
- libnet-syslogd-perl (0.16-1)
- Perl implementation of Syslog Listener
- libnet-tclink-perl (3.4.0-9+b5)
- Perl interface to the TrustCommerce payment gateway
- libnet-telnet-cisco-perl (1.10-5.3)
- Additional functionality to automate Cisco management
- libnet-telnet-perl (3.04-1)
- Perl module to script telnetable connections
- libnet-tftp-perl (0.1901-1)
- Perl module for accessing TFTP servers
- libnet-tftpd-perl (0.10-1)
- Perl extension for Trivial File Transfer Protocol Server
- libnet-trac-perl (0.16-2)
- Perl client library for Trac
- libnet-traceroute-perl (1.15-1)
- traceroute(1) functionality in perl
- libnet-traceroute-pureperl-perl (0.10-2)
- traceroute(1) functionality in perl via raw sockets
- (0.12008-1)
- interface to the Twitter API
- (4.01043-1)
- Perl interface to the Twitter social network
- libnet-upnp-perl (1.4.6-1)
- Perl extensions for UPnP
- libnet-vnc-perl (0.40-2)
- Perl module implementing a simple VNC client
- libnet-whois-ip-perl (1.19-2)
- Lookup whois entries of IP addresses with Perl
- libnet-whois-parser-perl (0.08-1)
- module for parsing whois information
- libnet-whois-raw-perl (2.43-1)
- Perl Module providing an API to access whois database
- libnet-works-perl (0.22-1)
- Perl module providing improved APIs for IP addresses and networks
- libnet-write-perl (1.10-1)
- portable interface to open and send raw data to network
- libnet-xmpp-perl (1.05-1)
- XMPP Perl library
- libnet-xwhois-perl (0.90-4)
- Whois Client Interface for Perl5
- libnet-z3950-simple2zoom-perl (1.04-1)
- gateway between Z39.50 and SRU/SRW
- libnet-z3950-simpleserver-perl (1.21-1)
- simple perl API for building Z39.50 servers
- libnet-z3950-zoom-perl (1.30-2+b1)
- Perl extension implementing the ZOOM API for Information Retrieval via Z39.50
- libnet1 (1.1.6+dfsg-3.1)
- library for the construction and handling of network packets
- libnet1-dbg (1.1.6+dfsg-3.1)
- debugging symbols for libnet
- libnet1-dev (1.1.6+dfsg-3.1)
- development files for libnet
- libnet1-doc (1.1.6+dfsg-3.1)
- developers documentation files for libnet
- libnetaddr-ip-perl (4.079+dfsg-1+b3)
- IP address manipulation module
- libnetapp-perl (500.002-2)
- Perl modules for managing NetApp's NAS devices
- libnetbeans-cvsclient-java (6.5-2)
- NetBeans CVS Client library
- libnetcdf-c++4 (4.2-11)
- legacy NetCDF C++ interface
- libnetcdf-c++4-1 (4.3.0+ds-7)
- C++ interface for scientific data access to large binary data
- libnetcdf-c++4-dev (4.3.0+ds-7)
- creation, access, and sharing of scientific data in C++
- libnetcdf-c++4-doc (4.3.0+ds-7)
- NetCDF C++ API documentation
- libnetcdf-cxx-legacy-dev (4.2-11)
- legacy NetCDF C++ interface - development files
- libnetcdf-dev (1:4.6.2-1)
- creation, access, and sharing of scientific data
- libnetcdf-mpi-13 (1:4.6.2-1)
- Interface for scientific data access to large binary data
- libnetcdf-mpi-dev (1:4.6.2-1)
- creation, access, and sharing of array-oriented scientific data
- libnetcdf-pnetcdf-13 (1:4.6.2-1)
- Interface for scientific data access to large binary data
- libnetcdf-pnetcdf-dev (1:4.6.2-1)
- creation, access, and sharing of array-oriented scientific data
- libnetcdf13 (1:4.6.2-1)
- Interface for scientific data access to large binary data
- libnetcdff-dev (4.4.5-1)
- creation, access, and sharing of scientific data in Fortran
- libnetcdff-doc (4.4.5-1)
- NetCDF Fortran documentation
- libnetcdff6 (4.4.5-1)
- Fortran interface for scientific data access to large binary data
- libnetcf-dev (1:0.2.8-1+b2)
- development library and headers for netcf
- libnetcf1 (1:0.2.8-1+b2)
- cross-platform network configuration library (runtime library)
- libnetcf1-dbg (1:0.2.8-1+b2)
- cross-platform network configuration library (debug symbols)
- libnetclasses-dev (1.06.dfsg-7+b2)
- Objective-C framework for socket programming with GNUstep (devel)
- libnetclasses0 (1.06.dfsg-7+b2)
- Objective-C framework for socket programming with GNUstep (libs)
- libnetclient-ocaml-dev
- virtueel pakket geboden door libocamlnet-ocaml-dev
- libnetdot-client-rest-perl (1.03-2)
- rESTful API for Netdot
- libnetfilter-acct-dev (1.0.3-2)
- Development files for libnetfilter-acct1
- libnetfilter-acct1 (1.0.3-2)
- Netfilter acct library
- libnetfilter-conntrack-dev (1.0.7-1)
- Development files for libnetfilter-conntrack3
- libnetfilter-conntrack3 (1.0.7-1)
- Netfilter netlink-conntrack library
- libnetfilter-cthelper0 (1.0.0-1+b1)
- userspace-helper for netfilter library
- libnetfilter-cthelper0-dbg (1.0.0-1+b1)
- Debugging symbols for libnetfilter-cthelper0
- libnetfilter-cthelper0-dev (1.0.0-1+b1)
- Development files for libnetfilter-cthelper0
- libnetfilter-cttimeout-dev (1.0.0-2+b1)
- fine-grain connection tracking timeout infrastructure for netfilter
- libnetfilter-cttimeout1 (1.0.0-2+b1)
- fine-grain connection tracking timeout infrastructure for netfilter
- libnetfilter-cttimeout1-dbg (1.0.0-2+b1)
- fine-grain connection tracking timeout infrastructure for netfilter
- libnetfilter-log-dev (1.0.1-1.1+b1)
- Development files for libnetfilter-log1
- libnetfilter-log1 (1.0.1-1.1+b1)
- Netfilter netlink-log library
- libnetfilter-log1-dbg (1.0.1-1.1+b1)
- Debugging symbols for libnetfilter-log1
- libnetfilter-queue-dev (1.0.3-1)
- Development files for libnetfilter-queue1
- libnetfilter-queue1 (1.0.3-1)
- Netfilter netlink-queue library
- libnetfilter-queue1-dbg (1.0.3-1)
- Debugging symbols for libnetfilter-queue1
- libnethttpd-ocaml-dev (4.1.2-3+b1)
- OCaml application-level Internet libraries - HTTP daemon development libraries
- libnethttpd-ocaml-dev-7v8v0
- virtueel pakket geboden door libnethttpd-ocaml-dev
- libnethttpd-ocaml-dev-wjqw8
- virtueel pakket geboden door libnethttpd-ocaml-dev
- libnetlib-java (0.9.3-6)
- collection of mission-critical software components for linear algebra systems
- libnetpacket-perl (1.7.0-1)
- modules to manipulate network packets at the protocol level
- libnetpbm10 (2:10.0-15.3+b2)
- Graphics conversion tools shared libraries
- libnetpbm10-dev (2:10.0-15.3+b2)
- Graphics conversion tools development libraries and header files
- libnetpbm9 (2:10.0-15.3+b2)
- Shared libraries for netpbm (v9)
- libnetpbm9-dev (2:10.0-15.3+b2)
- Development libraries and header files (v9)
- libnetsds-kannel-perl (1.300-6)
- Service Delivery Suite framework - Kannel SMS gateway API
- libnetsds-perl (1.301-3)
- Service Delivery Suite framework
- libnetsds-util-perl (1.045-1)
- Service Delivery Suite framework - supplementary packages
- libnetsvcs-6.4.5 (6.4.5+dfsg-1+b12)
- ACE network service implementations - libraries
- libnetsvcs-dev (6.4.5+dfsg-1+b12)
- ACE network service implementations - development files
- libnettle6 (3.4.1-1+deb10u1)
- low level cryptographic library (symmetric and one-way cryptos)
- libnetty-java (1:4.1.33-1+deb10u4) [security]
- Java NIO client/server socket framework
- libnetty-reactive-streams-java (2.0.1-1)
- Netty Reactive Streams
- libnetty-tcnative-java (2.0.20-1)
- Tomcat native fork for Netty
- libnetty-tcnative-jni (2.0.20-1)
- Tomcat native fork for Netty (JNI library)
- libnetwork-ipv4addr-perl (0.10.ds-3)
- Perl extension for manipulating IPv4 addresses
- libnetx-java (0.5-4)
- An open-source JNLP client
- libnetxx-dev (0.3.2-2+b1)
- C++ library for network programming (development headers)
- libnetxx1 (0.3.2-2+b1)
- C++ library for network programming
- libnewlib-arm-none-eabi (3.1.0.20181231-1)
- C library and math library compiled for bare metal using Cortex A/R/M
- libnewlib-dev (3.1.0.20181231-1)
- C library and math library intended for use on embedded systems
- libnewlib-doc (3.1.0.20181231-1)
- C library and math library intended for use on embedded systems (doc)
- libnewlib-nano-arm-none-eabi (2.11.2-1)
- Smaller embedded C library for arm development
- libnewmat
- virtueel pakket geboden door libnewmat10ldbl
- libnewmat-dev
- virtueel pakket geboden door libnewmat10-dev
- libnewmat10-dev (1.10.4-8)
- matrix manipulations library (C++ headers files)
- libnewmat10ldbl (1.10.4-8)
- matrix manipulations library (C++)
- libnews-article-nocem-perl (0.09-1)
- module to generate accurate NoCeM notices
- libnews-article-perl (1.27-10)
- Perl modules for manipulating Usenet articles
- libnews-newsrc-perl (1.11-1)
- Perl module to manage .newsrc files
- libnews-nntpclient-perl (0.37-9)
- Perl module to access NNTP servers
- libnews-scan-perl (0.53-4)
- Perl module to report Usenet newsgroup stats
- libnewt-dev (0.52.20-8)
- Developer's toolkit for newt windowing library
- libnewt-pic (0.52.20-8)
- Not Erik's Windowing Toolkit, shared library subset kit
- libnewt0.52 (0.52.20-8)
- Not Erik's Windowing Toolkit - tekstmode windowing met slang
- libnewt0.52-udeb (0.52.20-8)
- Not Erik's Windowing Toolkit for Debian Installer
- libnewtonsoft-json-cil-dev (6.0.8+dfsg-1)
- high-performance JSON framework for .NET -- development files
- libnewtonsoft-json5.0-cil (6.0.8+dfsg-1)
- high-performance JSON framework for .NET
- libnexstar-dev (0.15-1)
- Celestron/SkyWatcher telescope control library development files
- libnexstar0 (0.15-1)
- Celestron/SkyWatcher telescope control shared library
- libnextcloudsync-dev (2.5.1-3+deb10u2)
- Nextcloud folder synchronization - development files
- libnextcloudsync0 (2.5.1-3+deb10u2)
- Nextcloud folder synchronization - libraries
- libnf2ff0 (0.0.35+dfsg.1-3)
- Near-field to far-field transform library
- libnfc-bin (1.7.1-4+b1)
- Near Field Communication (NFC) binaries
- libnfc-dev (1.7.1-4+b1)
- Near Field Communication (NFC) library (development files)
- libnfc-examples (1.7.1-4+b1)
- Near Field Communication (NFC) examples
- libnfc-pn53x-examples (1.7.1-4+b1)
- Near Field Communication (NFC) examples for PN53x chips only
- libnfc5 (1.7.1-4+b1)
- Near Field Communication (NFC) library
- libnfc5-dbg (1.7.1-4+b1)
- Near Field Communication (NFC) library (debugging information)
- libnfft3-2 (3.3.2-2)
- library for computing non-uniform Fourier transforms
- libnfft3-dev (3.3.2-2)
- development files for the NFFT library
- libnfft3-doc (3.3.2-2)
- documentation for the NFFT library
- libnfft3-double2 (3.3.2-2)
- library for computing non-uniform Fourier transforms (double precision)
- libnfft3-long2 (3.3.2-2)
- library for computing non-uniform Fourier transforms (long-double precision)
- libnfft3-single2 (3.3.2-2)
- library for computing non-uniform Fourier transforms (single precision)
- libnfnetlink-dev (1.0.1-3+b1)
- Development files for libnfnetlink0
- libnfnetlink0 (1.0.1-3+b1)
- Netfilter netlink library
- libnfnetlink0-dbg (1.0.1-3+b1)
- Debugging symbols for libnfnetlink0
- libnfo-dev (1.0.1-1.1+b2)
- an NFO file parser/writer library -- development files
- libnfo-doc (1.0.1-1.1)
- developer documentation for libnfo
- libnfo1 (1.0.1-1.1+b2)
- an NFO file parser/writer library
- libnfo1-bin (1.0.1-1.1+b2)
- an utility to read NFO files which uses libnfo
- libnfo1-dbg (1.0.1-1.1+b2)
- debugging symbols for libnfo1
- libnfs-dev (3.0.0-2)
- NFS client library (development files)
- libnfs-utils (3.0.0-2)
- NFS client library (binaries)
- libnfs12 (3.0.0-2)
- NFS client library (shared library)
- libnfsidmap-dev (0.25-5.1)
- header files and docs for libnfsidmap
- libnfsidmap2 (0.25-5.1)
- NFS idmapping library
- libnftables-dev (0.9.0-2)
- Development files for libnftables
- libnftables0 (0.9.0-2)
- Netfilter nftables high level userspace API library
- libnftnl-dev (1.1.2-2)
- Development files for libnftnl
- libnftnl11 (1.1.2-2)
- Netfilter nftables userspace API library
- libnghttp2-14 (1.36.0-2+deb10u3) [security]
- library implementing HTTP/2 protocol (shared library)
- libnghttp2-dev (1.36.0-2+deb10u3) [security]
- library implementing HTTP/2 protocol (development files)
- libnghttp2-doc (1.36.0-2+deb10u3) [security]
- library implementing HTTP/2 protocol (documentation)
- libnginx-mod-http-auth-pam (1.14.2-2+deb10u5) [security]
- PAM authentication module for Nginx
- libnginx-mod-http-cache-purge (1.14.2-2+deb10u5) [security]
- Purge content from Nginx caches
- libnginx-mod-http-dav-ext (1.14.2-2+deb10u5) [security]
- WebDAV missing commands support for Nginx
- libnginx-mod-http-echo (1.14.2-2+deb10u5) [security]
- Bring echo and more shell style goodies to Nginx
- libnginx-mod-http-fancyindex (1.14.2-2+deb10u5) [security]
- Fancy indexes module for the Nginx
- libnginx-mod-http-geoip (1.14.2-2+deb10u5) [security]
- GeoIP HTTP module for Nginx
- (1.14.2-2+deb10u5) [security]
- Set and clear input and output headers for Nginx
- libnginx-mod-http-image-filter (1.14.2-2+deb10u5) [security]
- HTTP image filter module for Nginx
- libnginx-mod-http-lua (1.14.2-2+deb10u5) [security]
- Lua module for Nginx
- libnginx-mod-http-ndk (1.14.2-2+deb10u5) [security]
- Nginx Development Kit module
- libnginx-mod-http-perl (1.14.2-2+deb10u5) [security]
- Perl module for Nginx
- libnginx-mod-http-subs-filter (1.14.2-2+deb10u5) [security]
- Substitution filter module for Nginx
- libnginx-mod-http-uploadprogress (1.14.2-2+deb10u5) [security]
- Upload progress system for Nginx
- libnginx-mod-http-upstream-fair (1.14.2-2+deb10u5) [security]
- Nginx Upstream Fair Proxy Load Balancer
- libnginx-mod-http-xslt-filter (1.14.2-2+deb10u5) [security]
- XSLT Transformation module for Nginx
- libnginx-mod-mail (1.14.2-2+deb10u5) [security]
- Mail module for Nginx
- libnginx-mod-nchan (1.14.2-2+deb10u5) [security]
- Fast, flexible pub/sub server for Nginx
- libnginx-mod-rtmp (1.14.2-2+deb10u5) [security]
- RTMP support for Nginx
- libnginx-mod-stream (1.14.2-2+deb10u5) [security]
- Stream module for Nginx
- libnglib-6.2.1804 (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator shared library
- libnglib-dev (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator development files
- libngram-dev (1.3.2-3)
- OpenGRM n-gram Language Modeling toolkit - development files
- libngram-tools (1.3.2-3)
- OpenGRM n-gram Language Modeling toolkit
- libngram2 (1.3.2-3)
- OpenGRM n-gram Language Modeling toolkit - runtime library
- libngraph0 (6.08.00-1.1)
- library to create scientific 2-dimensional graphs
- libngraph0-dev (6.08.00-1.1)
- Header files for compiling plugins for Ngraph-gtk
- libngs-java (2.9.3-1)
- Next Generation Sequencing language Bindings (Java bindings)
- libngs-sdk-dev (2.9.3-1)
- Next Generation Sequencing language Bindings (development)
- libngs-sdk2 (2.9.3-1)
- Next Generation Sequencing language Bindings
- libngspice0 (30.2-1)
- Spice circuit simulator - library
- libngspice0-dev (30.2-1)
- Spice circuit simulator - development files for libngspice
- libnhgri-blastall-perl (0.66-3)
- Perl extension for running and parsing NCBI's BLAST 2.x
- libnice-dev (0.1.14-1)
- ICE library (development files)
- libnice-doc (0.1.14-1)
- ICE library (documentation)
- libnice10 (0.1.14-1)
- ICE library (shared library)
- libnids-dev (1.24-5)
- IP defragmentation TCP segment reassembly library (development)
- libnids1.21 (1.24-5)
- IP defragmentation TCP segment reassembly library
- libnifti-dev (2.0.0-3)
- IO libraries for the NIfTI-1 data format
- libnifti-doc (2.0.0-3)
- NIfTI library API documentation
- libnifti2 (2.0.0-3)
- IO libraries for the NIfTI-1 data format
- libnih-dbus-dev (1.0.3-10+b2)
- NIH D-Bus Bindings Library (development files)
- libnih-dbus1 (1.0.3-10+b2)
- NIH D-Bus Bindings Library
- libnih-dev (1.0.3-10+b2)
- NIH Utility Library (development files)
- libnih1 (1.0.3-10+b2)
- NIH Utility Library
- libnini-cil-dev (1.1.0+dfsg.2-5)
- CLI library for managing configuration files
- libnini-doc (1.1.0+dfsg.2-5)
- CLI library for managing configuration files (Documentation)
- libnini1.1-cil (1.1.0+dfsg.2-5)
- CLI library for managing configuration files
- libnitrokey-common (3.4.1-4)
- architecture independent files for libnitrokey
- libnitrokey-dev (3.4.1-4)
- library to communicate with Nitrokey stick devices (development)
- libnitrokey3 (3.4.1-4)
- library to communicate with Nitrokey stick devices
- libnjb-dev (2.2.7~dfsg0-4+b3)
- Creative Labs Nomad Jukebox library development files
- libnjb-doc (2.2.7~dfsg0-4)
- Creative Labs Nomad Jukebox library documentation
- libnjb-tools (2.2.7~dfsg0-4+b3)
- Creative Labs Nomad Jukebox library tools
- libnjb5 (2.2.7~dfsg0-4+b3)
- Creative Labs Nomad Jukebox library
- libnkf-perl (1:2.1.5-1)
- Network Kanji code conversion Filter for Perl
- libnl-3-200 (3.4.0-1)
- library for dealing with netlink sockets
- libnl-3-200-dbg (3.4.0-1)
- debug symbols for libnl3
- libnl-3-200-udeb (3.4.0-1)
- library for dealing with netlink sockets
- libnl-3-dev (3.4.0-1)
- development library and headers for libnl-3
- libnl-cli-3-200 (3.4.0-1)
- library for dealing with netlink sockets - cli helpers
- libnl-cli-3-dev (3.4.0-1)
- development library and headers for libnl-cli-3
- libnl-genl-3-200 (3.4.0-1)
- library for dealing with netlink sockets - generic netlink
- libnl-genl-3-200-udeb (3.4.0-1)
- library for dealing with netlink sockets - generic netlink
- libnl-genl-3-dev (3.4.0-1)
- development library and headers for libnl-genl-3
- libnl-idiag-3-200 (3.4.0-1)
- library for dealing with netlink sockets - inetdiag interface
- libnl-idiag-3-dev (3.4.0-1)
- development library and headers for libnl-genl-3
- libnl-nf-3-200 (3.4.0-1)
- library for dealing with netlink sockets - netfilter interface
- libnl-nf-3-dev (3.4.0-1)
- development library and headers for libnl-nf-3
- libnl-route-3-200 (3.4.0-1)
- library for dealing with netlink sockets - route interface
- libnl-route-3-dev (3.4.0-1)
- development library and headers for libnl-route-3
- libnl-utils (3.4.0-1)
- Utilities for dealing with netlink sockets
- libnl-xfrm-3-200 (3.4.0-1)
- library for dealing with netlink sockets - package transformations
- libnl-xfrm-3-dev (3.4.0-1)
- development library and headers for libnl-xfrm-3
- libnlopt-cxx-dev (2.4.2+dfsg-8+b1)
- nonlinear optimization library -- development package for C++
- libnlopt-cxx0 (2.4.2+dfsg-8+b1)
- nonlinear optimization library (C++ interface)
- libnlopt-dev (2.4.2+dfsg-8+b1)
- nonlinear optimization library -- development package
- libnlopt-guile0 (2.4.2+dfsg-8+b1)
- nonlinear optimization library -- Guile bindings
- libnlopt0 (2.4.2+dfsg-8+b1)
- nonlinear optimization library
- libnm-dev (1.14.6-2+deb10u1)
- GObject-based client library for NetworkManager (development files)
- libnm0 (1.14.6-2+deb10u1)
- GObject-based client library for NetworkManager
- libnma-dev (1.8.20-1.1)
- library for wireless and mobile dialogs - development files
- libnma0 (1.8.20-1.1)
- library for wireless and mobile dialogs (libnm version)
- libnmap-parser-perl (1.37-1)
- module to parse nmap scan results with perl
- libnmz7 (2.0.21-22)
- full text search engine - shared library
- libnmz7-dev (2.0.21-22)
- full text search engine - header files and static libraries
- libnode-dev (10.24.0~dfsg-1~deb10u4) [security]
- evented I/O for V8 javascript (development files)
- libnode64 (10.24.0~dfsg-1~deb10u4) [security]
- evented I/O for V8 javascript - runtime library
- libnodelet-dev (1.9.16-1+b1)
- Robot OS nodelet library - service header files
- libnodelet-topic-tools-dev (1.9.16-1+b1)
- Robot OS nodelet_topic_tools library - development files
- libnodeletlib-dev (1.9.16-1+b1)
- Robot OS nodelet library - development
- libnodeletlib-tools (1.9.16-1+b1)
- Robot OS nodelet library
- libnodeletlib1d (1.9.16-1+b1)
- Robot OS nodelet library
- libnoggit-java (0.7-1)
- Fast streaming JSON parser for Java
- libnoise-dev (1.0.0+repack-1)
- Portable, coherent noise-generating library for C++
- libnoise0 (1.0.0+repack-1)
- Portable, coherent noise-generating library for C++
- libnordlicht-dev (0.4.5-1+b1)
- create colorful video barcodes -- development files
- libnordlicht0 (0.4.5-1+b1)
- create colorful video barcodes -- shared library
- libnorm-dev (1.5.8+dfsg2-1)
- NACK-Oriented Reliable Multicast (NORM) library
- libnorm-doc (1.5.8+dfsg2-1)
- NACK-Oriented Reliable Multicast (NORM) library
- libnorm1 (1.5.8+dfsg2-1)
- NACK-Oriented Reliable Multicast (NORM) library
- libnormaliz
- virtueel pakket geboden door libnormaliz3
- libnormaliz-dev (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones - libdev
- libnormaliz-dev-common (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones - headers
- libnormaliz3 (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones - libs
- libnotify-bin (0.7.7-4)
- sends desktop notifications to a notification daemon (Utilities)
- libnotify-cil-dev (0.4.0~r3032-7)
- CLI library for desktop notifications
- libnotify-dev (0.7.7-4)
- sends desktop notifications to a notification daemon (Development files)
- libnotify-doc (0.7.7-4)
- sends desktop notifications to a notification daemon (Documentation)
- libnotify0.4-cil (0.4.0~r3032-7)
- CLI library for desktop notifications
- libnotify3.0-cil (3.0.3-3)
- CLI library for desktop notifications
- libnotify3.0-cil-dev (3.0.3-3)
- CLI library for desktop notifications
- libnotify4 (0.7.7-4)
- sends desktop notifications to a notification daemon
- libnotmuch-dev (0.28.4-1)
- thread-based email index, search and tagging (development)
- libnotmuch5 (0.28.4-1)
- thread-based email index, search and tagging (runtime)
- libnova-0.16-0 (0.16-4)
- celestial mechanics, astrometry and astrodynamics library
- libnova-dev (0.16-4)
- development files for libnova astronomical library
- libnova-dev-bin (0.16-4)
- development config tool for libnova astronomical library
- libnozzle-dev (1.8-2)
- userland wrapper around kernel tap devices (developer files)
- libnozzle1 (1.8-2)
- userland wrapper around kernel tap devices
- libnppc9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives core runtime library
- libnppial9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Arithmetic and Logic
- libnppicc9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Color Conversion
- libnppicom9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Compression
- libnppidei9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Data Exchange and Initialization
- libnppif9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Filters
- libnppig9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Geometry transforms
- libnppim9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Morphological operations
- libnppist9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Statistics
- libnppisu9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Support
- libnppitc9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives lib for Image Threshold and Compare
- libnpps9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Performance Primitives for signal processing runtime library
- libnproc-ocaml-dev (0.5.1-2+b4)
- process pool implementation for OCaml
- libnproc-ocaml-dev-9ywg7
- virtueel pakket geboden door libnproc-ocaml-dev
- libnproc-ocaml-dev-ly7d9
- virtueel pakket geboden door libnproc-ocaml-dev
- libnpth-dev
- virtueel pakket geboden door libnpth0-dev
- libnpth-mingw-w64-dev (1.6-1)
- replacement for GNU Pth using system threads (Windows dev)
- libnpth0 (1.6-1)
- replacement for GNU Pth using system threads
- libnpth0-dev (1.6-1)
- headers for libnpth0
- libnrepl-clojure (0.6.0-2)
- Clojure network REPL
- libns3-3v5 (3.29+dfsg-3)
- shared libraries of ns-3
- libns3-dev (3.29+dfsg-3)
- Static and header files of ns-3
- libnspr4 (2:4.20-1)
- NetScape Portable Runtime Library
- libnspr4-dev (2:4.20-1)
- Development files for the NetScape Portable Runtime library
- libnss-cache (0.16-3)
- NSS module for using nsscache-generated files
- libnss-db (2.2.3pre1-6+b6)
- NSS module voor gebruik van Berkeley databases als een benamingsdienst
- libnss-dns-udeb
- virtueel pakket geboden door libc6-udeb
- libnss-docker (0.02-1)
- nss module for finding Docker containers
- (0.6-4)
- nss module to have an additional passwd, shadow and group file
- libnss-files-udeb
- virtueel pakket geboden door libc6-udeb
- libnss-gw-name (0.3-2+b2)
- nss module that names the current gateway’s IP address
- libnss-ldap (265-5)
- NSS module for using LDAP as a naming service
- libnss-ldap
- virtueel pakket geboden door libnss-ldapd
- libnss-ldapd (0.9.10-2)
- NSS module for using LDAP as a naming service
- libnss-libvirt (5.0.0-4+deb10u2) [security]
- nss plugin providing IP address resolution for virtual machines
- libnss-lwres (0.93-7+b4 [amd64, armhf, i386], 0.93-7+b3 [arm64])
- NSS module for using bind9's lwres as a naming service
- libnss-mdns (0.14.1-1)
- NSS module for Multicast DNS name resolution
- libnss-myhostname (241-7~deb10u10) [security]
- nss module providing fallback resolution for the current hostname
- libnss-mymachines (241-7~deb10u10) [security]
- nss module to resolve hostnames for local container instances
- libnss-pgsql1
- virtueel pakket geboden door libnss-pgsql2
- libnss-pgsql2 (1.4.0debian-8)
- NSS module for using PostgreSQL as a naming service
- libnss-rainbow2 (0.8.7-2)
- nss library for rainbow
- libnss-resolve (241-7~deb10u10) [security]
- nss module to resolve names via systemd-resolved
- libnss-sss (1.16.3-3.2+deb10u2) [security]
- Nss library for the System Security Services Daemon
- libnss-systemd (241-7~deb10u10) [security]
- nss module providing dynamic user and group name resolution
- libnss-unknown (0.0.2-2)
- nss module to handle unknown uids
- libnss-winbind (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba nameservice integration plugins
- libnss-wrapper (1.1.3-1)
- NSS wrapper library
- libnss3 (2:3.42.1-1+deb10u8) [security]
- Network Security Service libraries
- libnss3-dev (2:3.42.1-1+deb10u8) [security]
- Development files for the Network Security Service libraries
- libnss3-tools (2:3.42.1-1+deb10u8) [security]
- Network Security Service tools
- libnsspem
- virtueel pakket geboden door nss-plugin-pem
- libnsync-cpp1 (1.20.2-1)
- C library that exports various synchronization primitives (C++ lib)
- libnsync-dev (1.20.2-1)
- C library that exports various synchronization primitives (dev)
- libnsync1 (1.20.2-1)
- C library that exports various synchronization primitives (C lib)
- libntfs-3g883 (1:2017.3.23AR.3-3+deb10u3) [security]
- read/write NTFS driver for FUSE (runtime library)
- libntirpc-dev (1.7.1-1)
- new transport-independent RPC library - development files
- libntirpc1.7 (1.7.1-1)
- new transport-independent RPC library
- libntl-dev (10.5.0-2)
- Number Theory Library, development files
- libntl35 (10.5.0-2)
- Number Theory Library, shared library
- libntlm0 (1.5-1+deb10u1)
- NTLM authentication library
- libntlm0-dev (1.5-1+deb10u1)
- Development files for the NTLM authentication library
- libntrack-dev (016-1.4)
- Development package for ntrack
- libntrack-glib-dev (016-1.4)
- development package for libntrack glib API
- libntrack-glib2 (016-1.4)
- glib API for ntrack
- libntrack-gobject-dev (016-1.4)
- Development package for libntrack-gobject API
- libntrack-gobject1 (016-1.4)
- GObject API for ntrack
- libntrack-qt4-1 (016-1.4)
- Qt 4 API for ntrack
- libntrack-qt4-dev (016-1.4)
- Development package for libntrack-qt4
- libntrack0 (016-1.4)
- lightweight connectivity tracking library
- libntru-0.5 (0.5-2)
- C implementation of the public-key encryption scheme NTRUEncrypt
- libntru-0.5-dev (0.5-2)
- C implementation of the public-key encryption scheme NTRUEncrypt
- libnucleotidelikelihoodcore0 (1.10.4+dfsg-1)
- implementation of LikelihoodCore for nucleotides used by beast-mcmc
- libnuget-core-cil (2.8.7+md510+dhx1-1)
- Package manager for NuGet repos - library
- libnuget-core-cil-dev (2.8.7+md510+dhx1-1)
- Package manager for NuGet repos - development
- libnuma-dev (2.0.12-1)
- Development files for libnuma
- libnuma1 (2.0.12-1)
- Libraries for controlling NUMA policy
- libnumber-bytes-human-perl (0.11-1)
- module to convert byte count to human readable format and back
- libnumber-compare-perl (0.03-1)
- module for performing numeric comparisons in Perl
- libnumber-format-perl (1.75-1)
- Perl module to format numbers for display
- libnumber-fraction-perl (2.01-1)
- Perl extension to model fractions
- libnumber-phone-perl (3.4006-1)
- base class for parsing and dealing with phone numbers
- libnumber-range-perl (0.12-1)
- Perl extension to work with ranges of numbers
- libnumber-recordlocator-perl (0.005-2)
- Perl module that encodes integers into a short "locator string"
- libnumber-tolerant-perl (1.708-2)
- module defining tolerance ranges for inexact numbers
- libnumbertext-1.0-0 (1.0.5-1)
- Number to number name and money text conversion library
- libnumbertext-data (1.0.5-1)
- Number to number name and money text conversion library -- data files
- libnumbertext-dev (1.0.5-1)
- Number to number name and money text conversion library -- development
- libnumbertext-java (1.0.5-1)
- Number to number name and money text conversion library for Java
- libnumbertext-tools (1.0.5-1)
- Number to number name and money text conversion library -- speller utility
- libnums-ocaml-dev
- virtueel pakket geboden door ocaml-nox
- libnunit-cil-dev (2.6.4+dfsg-1)
- Unit test framework for CLI - Development files
- libnunit-console-runner2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnunit-core-interfaces2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnunit-core2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnunit-doc (2.6.4+dfsg-1)
- Unit test framework for CLI - Documentation
- libnunit-framework2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnunit-mocks2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnunit-util2.6.3-cil (2.6.4+dfsg-1)
- Unit test framework for CLI - Assemblies
- libnusoap-php (0.9.5-3)
- SOAP toolkit for PHP
- libnutclient-dev (2.7.4-8)
- network UPS tools - development files
- libnutclient0 (2.7.4-8)
- network UPS tools - new client library
- libnvblas9.2 (9.2.148-7+deb10u1) [non-free]
- NVBLAS runtime library
- libnvcuvid1 (418.226.00-3) [non-free]
- NVIDIA CUDA Video Decoder runtime library
- libnvgraph9.2 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Graph Analytics library (nvGRAPH)
- libnvidia-cbl (418.226.00-3) [non-free]
- NVIDIA binary Vulkan ray tracing (cbl) library
- libnvidia-cbl-418.226.00
- virtueel pakket geboden door libnvidia-cbl
- libnvidia-cfg.so.1 (= 390.154)
- virtueel pakket geboden door libnvidia-legacy-390xx-cfg1
- libnvidia-cfg.so.1 (= 390.157)
- virtueel pakket geboden door libnvidia-legacy-390xx-cfg1
- libnvidia-cfg.so.1 (= 418.226.00)
- virtueel pakket geboden door libnvidia-cfg1
- libnvidia-cfg1 (418.226.00-3) [non-free]
- NVIDIA binary OpenGL/GLX configuration library
- libnvidia-cfg1-any
- virtueel pakket geboden door libnvidia-legacy-340xx-cfg1, libnvidia-cfg1, libnvidia-legacy-390xx-cfg1
- libnvidia-compiler (418.226.00-3) [non-free]
- NVIDIA runtime compiler library
- libnvidia-compiler-340.108
- virtueel pakket geboden door libnvidia-legacy-340xx-compiler
- libnvidia-compiler-390.154
- virtueel pakket geboden door libnvidia-legacy-390xx-compiler
- libnvidia-compiler-390.157
- virtueel pakket geboden door libnvidia-legacy-390xx-compiler
- libnvidia-compiler-418.226.00
- virtueel pakket geboden door libnvidia-compiler
- libnvidia-egl-wayland-dev (1:1.1.2-1)
- Wayland EGL External Platform library -- development files
- libnvidia-egl-wayland1 (1:1.1.2-1)
- Wayland EGL External Platform library -- shared library
- libnvidia-eglcore (418.226.00-3) [non-free]
- NVIDIA binary EGL core libraries
- libnvidia-eglcore-340.108
- virtueel pakket geboden door libnvidia-legacy-340xx-eglcore
- libnvidia-eglcore-390.154
- virtueel pakket geboden door libnvidia-legacy-390xx-eglcore
- libnvidia-eglcore-390.157
- virtueel pakket geboden door libnvidia-legacy-390xx-eglcore
- libnvidia-eglcore-418.226.00
- virtueel pakket geboden door libnvidia-eglcore
- libnvidia-encode1 (418.226.00-3) [non-free]
- NVENC Video Encoding runtime library
- libnvidia-fatbinaryloader (418.226.00-3) [non-free]
- NVIDIA FAT binary loader
- libnvidia-fatbinaryloader-390.154
- virtueel pakket geboden door libnvidia-legacy-390xx-fatbinaryloader
- libnvidia-fatbinaryloader-390.157
- virtueel pakket geboden door libnvidia-legacy-390xx-fatbinaryloader
- libnvidia-fatbinaryloader-418.226.00
- virtueel pakket geboden door libnvidia-fatbinaryloader
- libnvidia-fbc1 (418.226.00-3) [non-free]
- NVIDIA OpenGL-based Framebuffer Capture runtime library
- libnvidia-glcore (418.226.00-3) [non-free]
- NVIDIA binary OpenGL/GLX core libraries
- libnvidia-glcore-340.108
- virtueel pakket geboden door libnvidia-legacy-340xx-glcore
- libnvidia-glcore-390.154
- virtueel pakket geboden door libnvidia-legacy-390xx-glcore
- libnvidia-glcore-390.157
- virtueel pakket geboden door libnvidia-legacy-390xx-glcore
- libnvidia-glcore-418.226.00
- virtueel pakket geboden door libnvidia-glcore
- libnvidia-glvkspirv (418.226.00-3) [non-free]
- NVIDIA binary Vulkan Spir-V compiler library
- libnvidia-glvkspirv-418.226.00
- virtueel pakket geboden door libnvidia-glvkspirv
- libnvidia-ifr1 (418.226.00-3) [non-free]
- NVIDIA OpenGL-based Inband Frame Readback runtime library
- libnvidia-legacy-340xx-cfg1 (340.108-3~deb10u1) [non-free]
- NVIDIA binary OpenGL/GLX configuration library (340xx legacy version)
- libnvidia-legacy-340xx-compiler (340.108-3~deb10u1) [non-free]
- NVIDIA runtime compiler library (340xx legacy version)
- libnvidia-legacy-340xx-cuda1 (340.108-3~deb10u1) [non-free]
- NVIDIA CUDA Driver Library (340xx legacy version)
- libnvidia-legacy-340xx-cuda1-i386 (340.108-3~deb10u1) [non-free]
- NVIDIA CUDA 32-bit runtime library (340xx legacy version)
- libnvidia-legacy-340xx-eglcore (340.108-3~deb10u1) [non-free]
- NVIDIA binary EGL core libraries (340xx legacy version)
- libnvidia-legacy-340xx-encode1 (340.108-3~deb10u1) [non-free]
- NVENC Video Encoding runtime library (340xx legacy version)
- libnvidia-legacy-340xx-fbc1 (340.108-3~deb10u1) [non-free]
- NVIDIA OpenGL-based Framebuffer Capture runtime library (340xx legacy version)
- libnvidia-legacy-340xx-glcore (340.108-3~deb10u1) [non-free]
- NVIDIA binary OpenGL/GLX core libraries (340xx legacy version)
- libnvidia-legacy-340xx-ifr1 (340.108-3~deb10u1) [non-free]
- NVIDIA OpenGL-based Inband Frame Readback runtime library (340xx legacy)
- libnvidia-legacy-340xx-ml1 (340.108-3~deb10u1) [non-free]
- NVIDIA Management Library (NVML) runtime library (340xx legacy version)
- libnvidia-legacy-340xx-nvcuvid1 (340.108-3~deb10u1) [non-free]
- NVIDIA CUDA Video Decoder runtime library (340xx legacy version)
- libnvidia-legacy-390xx-cfg1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL/GLX configuration library (390xx legacy version)
- libnvidia-legacy-390xx-compiler (390.157-1~deb10u1) [non-free] [security]
- NVIDIA runtime compiler library (390xx legacy version)
- libnvidia-legacy-390xx-cuda1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA CUDA Driver Library (390xx legacy version)
- libnvidia-legacy-390xx-cuda1-i386 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA CUDA 32-bit runtime library (390xx legacy version)
- libnvidia-legacy-390xx-eglcore (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary EGL core libraries (390xx legacy version)
- libnvidia-legacy-390xx-encode1 (390.157-1~deb10u1) [non-free] [security]
- NVENC Video Encoding runtime library (390xx legacy version)
- libnvidia-legacy-390xx-fatbinaryloader (390.157-1~deb10u1) [non-free] [security]
- NVIDIA FAT binary loader (390xx legacy version)
- libnvidia-legacy-390xx-fbc1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA OpenGL-based Framebuffer Capture runtime library (390xx legacy version)
- libnvidia-legacy-390xx-glcore (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary OpenGL/GLX core libraries (390xx legacy version)
- libnvidia-legacy-390xx-ifr1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA OpenGL-based Inband Frame Readback runtime library (390xx legacy)
- libnvidia-legacy-390xx-ml1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA Management Library (NVML) runtime library (390xx legacy version)
- libnvidia-legacy-390xx-nvcuvid1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA CUDA Video Decoder runtime library (390xx legacy version)
- libnvidia-legacy-390xx-ptxjitcompiler1 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA PTX JIT Compiler library (390xx legacy version)
- libnvidia-ml.so.1 (= 340.108)
- virtueel pakket geboden door libnvidia-legacy-340xx-ml1
- libnvidia-ml.so.1 (= 390.154)
- virtueel pakket geboden door libnvidia-legacy-390xx-ml1
- libnvidia-ml.so.1 (= 390.157)
- virtueel pakket geboden door libnvidia-legacy-390xx-ml1
- libnvidia-ml.so.1 (= 418.226.00)
- virtueel pakket geboden door libnvidia-ml1
- libnvidia-ml1 (418.226.00-3) [non-free]
- NVIDIA Management Library (NVML) runtime library
- libnvidia-opticalflow1 (418.226.00-3) [non-free]
- NVIDIA Optical Flow runtime library
- libnvidia-ptxjitcompiler1 (418.226.00-3) [non-free]
- NVIDIA PTX JIT Compiler library
- libnvidia-rtcore (418.226.00-3) [non-free]
- NVIDIA binary Vulkan ray tracing (rtcore) library
- libnvidia-rtcore-418.226.00
- virtueel pakket geboden door libnvidia-rtcore
- libnvoptix1 (418.226.00-3) [non-free]
- NVIDIA implementation of the OptiX ray tracing engine
- libnvpair-dev
- virtueel pakket geboden door libzfslinux-dev
- libnvpair1linux (0.7.12-2+deb10u3) [contrib] [security]
- Solaris name-value library for Linux
- libnvrtc9.2 (9.2.148-7+deb10u1) [non-free]
- CUDA Runtime Compilation (NVIDIA NVRTC Library)
- libnvtoolsext1 (9.2.148-7+deb10u1) [non-free]
- NVIDIA Tools Extension Library
- libnvtt-bin (2.0.8-1+dfsg-8.1+b3)
- NVIDIA Texture Tools (Binaries)
- libnvtt-dev (2.0.8-1+dfsg-8.1+b3)
- NVIDIA Texture Tools (Header)
- libnvtt2 (2.0.8-1+dfsg-8.1+b3)
- NVIDIA Texture Tools
- libnvvm3 (9.2.148-7+deb10u1) [non-free]
- NVIDIA NVVM Library
- libnx-x11-6 (2:3.5.99.19-3+deb10u2)
- nxagent's libNX_X11 client-part library
- libnx-x11-6-dev
- virtueel pakket geboden door libnx-x11-dev
- libnx-x11-dev (2:3.5.99.19-3+deb10u2)
- nxagent's libNX_X11 client-part library (development headers)
- libnxcl-bin (0.9-3.1+b1)
- NX X compression client library---runtime
- libnxcl-dev (0.9-3.1+b1)
- NX X compression client library---headers
- libnxcl1 (0.9-3.1+b1)
- NX X compression client library
- libnxml-abi-0.18.3
- virtueel pakket geboden door libnxml0
- libnxml0 (0.18.3-7)
- C library for parsing, writing and creating xml 1.0/1.1 files or streams
- libnxml0-dev (0.18.3-7)
- static library and C header files for libnxml0
- libnxt (0.3-9)
- utility library for talking to the LEGO Mindstorms NXT brick
- libnzb-dev (0.0.20050629-6.2)
- An nzb based Usenet binary grabber (development files)
- libnzb0v5 (0.0.20050629-6.2)
- An nzb based Usenet binary grabber (runtime library)
- libo2 (1.0~repack-1)
- next generation communication protocol for music systems
- libo2-dev (1.0~repack-1)
- next generation communication protocol for music systems - development files
- libo3dgc-dev (0~20131011-4)
- Open 3D Graphics Compression library (development)
- liboakleaf-dev (0.0.1-3)
- Robust statistical estimates library (development)
- liboakleaf-doc (0.0.1-3)
- Robust statistical estimates library (documentation)
- liboakleaf0 (0.0.1-3)
- Robust statistical estimates library (run-time support)
- liboar-perl (2.5.8-1+deb10u1)
- OAR batch scheduler common library package
- liboasis-ocaml (0.4.10-2+b2 [armhf], 0.4.10-2+b1 [amd64, arm64, i386])
- Build-system generation for OCaml projects -- runtime
- liboasis-ocaml-dev (0.4.10-2+b2 [armhf], 0.4.10-2+b1 [amd64, arm64, i386])
- Build-system generation for OCaml projects -- development files
- liboasis-ocaml-dev-hmmg8
- virtueel pakket geboden door liboasis-ocaml-dev
- liboasis-ocaml-dev-tpn43
- virtueel pakket geboden door liboasis-ocaml-dev
- liboasis-ocaml-doc (0.4.10-2)
- Architecture for building OCaml libraries and applications
- liboasis-ocaml-hmmg8
- virtueel pakket geboden door liboasis-ocaml
- liboasis-ocaml-tpn43
- virtueel pakket geboden door liboasis-ocaml
- liboasis3-0d (3.mct+dfsg.121022-13)
- Shared libraries for OASIS3 coupler
- liboasis3-dev (3.mct+dfsg.121022-13)
- Development files for OASIS3 climate model coupler
- liboasis3-doc (3.mct+dfsg.121022-13)
- Documentation and Examples for the OASIS climate model coupler
- liboath-dev (2.6.1-1.3)
- Development files for the OATH Toolkit Liboath library
- liboath0 (2.6.1-1.3)
- OATH Toolkit Liboath library
- liboauth-dev (1.0.3-3)
- C library implementing OAuth Core 1.0a API (development files)
- liboauth-signpost-java (1.2.1.2-2)
- simple OAuth message signing for Java
- liboauth0 (1.0.3-3)
- C library implementing OAuth Core 1.0a API (runtime)
- libobantoo-java (2.1.12+ds1-2)
- OBanToo is a Java library with banking tools
- libobexftp-dev
- virtueel pakket geboden door libobexftp0-dev
- libobexftp-perl (0.24-5+b5)
- perl binding to the object exchange file transfer library
- libobexftp-ruby
- virtueel pakket geboden door ruby-obexftp
- libobexftp0 (0.24-5+b5)
- object exchange file transfer library
- libobexftp0-dev (0.24-5+b5)
- object exchange file transfer library - development files
- libobjc-7-dev (7.4.0-6)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev (8.3.0-6)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-alpha-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-arm64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-armel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-armhf-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-hppa-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-m68k-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-powerpcspe-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-ppc64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-riscv64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-sh4-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc-8-dev-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications (development files)
- libobjc4 (8.3.0-6)
- Runtime library for GNU Objective-C applications
- libobjc4-alpha-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-arm64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-armel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-armhf
- virtueel pakket geboden door libobjc4
- libobjc4-armhf-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-dbg (8.3.0-6)
- Runtime library for GNU Objective-C applications (debug symbols)
- libobjc4-dbg-armhf
- virtueel pakket geboden door libobjc4-dbg
- libobjc4-hppa-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-m68k-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-mips-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-mips64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-mips64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-mips64r6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-mips64r6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-mipsel-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-mipsr6-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-mipsr6el-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-powerpc-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-powerpcspe-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-ppc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-ppc64el-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-riscv64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-s390x-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications
- libobjc4-sh4-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-sparc64-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobjc4-x32-cross (8.3.0-2cross2)
- Runtime library for GNU Objective-C applications
- libobject-accessor-perl (0.48-2)
- interface to create per object accessors
- libobject-authority-perl
- virtueel pakket geboden door librole-commons-perl
- libobject-container-perl (0.14-1)
- module that provides a simple object container
- libobject-declare-perl (0.24-1)
- declarative object constructor
- libobject-destroyer-perl (2.01-1)
- module to make objects with circular references DESTROY normally
- libobject-event-perl (1.230-2)
- Perl event callback interface
- libobject-forkaware-perl (0.005-1)
- module to make an object aware of process forks and threads
- libobject-id-perl (0.1.2-2)
- unique identifier for any object
- libobject-insideout-perl (4.05-1)
- comprehensive inside-out object construction kit
- libobject-multitype-perl (0.05-4)
- Perl objects as Hash, Array, Scalar, Code and Glob at once
- libobject-pluggable-perl (1.29-2)
- base class for creating plugin enabled objects
- libobject-realize-later-perl (0.21-1)
- Perl module providing delayed creation of objects
- libobject-remote-perl (0.004000-1)
- module to call methods on objects in other processes or on other hosts
- libobject-signature-perl (1.08-1)
- module perl for generate cryptographic signatures for objects
- libobject-tiny-perl (1.09-1)
- module for building classes, simply
- libobjenesis-java (3.0.1-2)
- Java library to instantiate a new object of a particular class
- libobjenesis-java-doc (3.0.1-2)
- Documentation for Objenesis
- libobrender32v5 (3.6.1-8)
- rendering library for openbox themes
- libobs-dev (22.0.3+dfsg1-1)
- recorder and streamer for live video content (development files)
- libobs0 (22.0.3+dfsg1-1)
- recorder and streamer for live video content (shared library)
- libobt2v5 (3.6.1-8)
- parsing library for openbox
- libobus-ocaml (1.1.5-6+b2)
- pure OCaml implementation of D-Bus (runtime)
- libobus-ocaml-bcr06
- virtueel pakket geboden door libobus-ocaml
- libobus-ocaml-bin (1.1.5-6+b2)
- pure OCaml implementation of D-Bus (command-line tools)
- libobus-ocaml-dev (1.1.5-6+b2)
- pure OCaml implementation of D-Bus (development files)
- libobus-ocaml-dev-bcr06
- virtueel pakket geboden door libobus-ocaml-dev
- libobus-ocaml-dev-ycxz7
- virtueel pakket geboden door libobus-ocaml-dev
- libobus-ocaml-ycxz7
- virtueel pakket geboden door libobus-ocaml
- libocamlbricks-ocaml-dev (0.90+bzr456-1)
- Miscellaneous utility functions in OCaml for Marionnet
- libocamlbricks-ocaml-dev-0mp93
- virtueel pakket geboden door libocamlbricks-ocaml-dev
- libocamlbricks-ocaml-dev-2bgk5
- virtueel pakket geboden door libocamlbricks-ocaml-dev
- libocamlbricks-ocaml-dev-3zur7
- virtueel pakket geboden door libocamlbricks-ocaml-dev
- libocamlbricks-ocaml-dev-bxsl4
- virtueel pakket geboden door libocamlbricks-ocaml-dev
- libocamlgraph-ocaml-dev (1.8.8-1.1)
- graph library for OCaml
- libocamlgraph-ocaml-dev-ms232
- virtueel pakket geboden door libocamlgraph-ocaml-dev
- libocamlgraph-ocaml-dev-wskq4
- virtueel pakket geboden door libocamlgraph-ocaml-dev
- libocamlgraph-ocaml-doc (1.8.8-1.1)
- documentation for the OCamlgraph library
- libocamlgsl-ocaml (1.19.1-2+b2)
- GNU scientific library for OCaml
- libocamlgsl-ocaml-cd4k8
- virtueel pakket geboden door libocamlgsl-ocaml
- libocamlgsl-ocaml-dev (1.19.1-2+b2)
- GNU scientific library for OCaml
- libocamlgsl-ocaml-dev-cd4k8
- virtueel pakket geboden door libocamlgsl-ocaml-dev
- libocamlgsl-ocaml-dev-e7mz1
- virtueel pakket geboden door libocamlgsl-ocaml-dev
- libocamlgsl-ocaml-e7mz1
- virtueel pakket geboden door libocamlgsl-ocaml
- libocamlnet-gtk2-ocaml-dev (4.1.2-3+b1)
- OCaml application-level Internet libraries - GTK2 development libraries
- libocamlnet-gtk2-ocaml-dev-digo3
- virtueel pakket geboden door libocamlnet-gtk2-ocaml-dev
- libocamlnet-gtk2-ocaml-dev-r9hy9
- virtueel pakket geboden door libocamlnet-gtk2-ocaml-dev
- libocamlnet-ocaml (4.1.2-3+b1)
- OCaml application-level Internet libraries - core runtime libraries
- libocamlnet-ocaml-65rj4
- virtueel pakket geboden door libocamlnet-ocaml
- libocamlnet-ocaml-bin (4.1.2-3+b1)
- OCaml application-level Internet libraries - binaries
- libocamlnet-ocaml-dev (4.1.2-3+b1)
- OCaml application-level Internet libraries - core development libraries
- libocamlnet-ocaml-dev-65rj4
- virtueel pakket geboden door libocamlnet-ocaml-dev
- libocamlnet-ocaml-dev-jkca6
- virtueel pakket geboden door libocamlnet-ocaml-dev
- libocamlnet-ocaml-dev-pkp67
- virtueel pakket geboden door libocamlnet-ocaml-dev
- libocamlnet-ocaml-doc (4.1.2-3)
- OCaml application-level Internet libraries - documentation and examples
- libocamlnet-ocaml-jkca6
- virtueel pakket geboden door libocamlnet-ocaml
- libocamlnet-ocaml-pkp67
- virtueel pakket geboden door libocamlnet-ocaml
- libocamlnet-ssl-ocaml (4.1.2-3+b1)
- OCaml application-level Internet libraries - SSL runtime libraries
- libocamlnet-ssl-ocaml-dev (4.1.2-3+b1)
- OCaml application-level Internet libraries - SSL development libraries
- libocamlnet-ssl-ocaml-m4eu9
- virtueel pakket geboden door libocamlnet-ssl-ocaml
- libocamlnet-ssl-ocaml-ndqx8
- virtueel pakket geboden door libocamlnet-ssl-ocaml
- libocamlodbc-ocaml-dev (2.15-6+b2 [armhf], 2.15-6+b1 [amd64, arm64, i386])
- UnixODBC database bindings for OCaml
- libocamlodbc-ocaml-dev-ctnf4
- virtueel pakket geboden door libocamlodbc-ocaml-dev
- libocamlodbc-ocaml-dev-epxd6
- virtueel pakket geboden door libocamlodbc-ocaml-dev
- libocamlviz-ocaml-dev (1.01-2+b7 [armhf], 1.01-2+b6 [amd64, arm64, i386])
- real-time profiling tools for Objective Caml (library)
- libocamlviz-ocaml-doc (1.01-2)
- real-time profiling tools for Objective Caml (documentation)
- libocas-dev (0.97+dfsg-5)
- Development libraries and header files for LIBOCAS
- libocas-tools (0.97+dfsg-5)
- Standalone applications implementing the OCAS solver
- libocas0 (0.97+dfsg-5)
- OCAS solver for training linear SVM classifiers
- libocct-data-exchange-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology module for CAD data format interoperability
- libocct-data-exchange-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology module for CAD data format interoperability - dev files
- libocct-doc (7.3.0+dfsg1-5)
- Open CASCADE Technology CAE platform documentation
- libocct-draw-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology command interpreter & graphical test library
- libocct-draw-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology interpreter & graphics test library - dev files
- libocct-foundation-7.3 (7.3.0+dfsg1-5)
- OCCT module underlying all other OCCT classes
- libocct-foundation-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology module underlying all other OCCT classes - dev files
- libocct-modeling-algorithms-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology geometrical & topological algorithms module
- libocct-modeling-algorithms-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology geometrical & topological algorithms module - dev files
- libocct-modeling-data-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology 2D/3D geometric primitives data structures
- libocct-modeling-data-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology 2D/3D geometric primitives data structures - dev files
- libocct-ocaf-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology application-specific data and functionality
- libocct-ocaf-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology application-specific data and functionality - dev files
- libocct-visualization-7.3 (7.3.0+dfsg1-5)
- Open CASCADE Technology graphical data visualization module
- libocct-visualization-dev (7.3.0+dfsg1-5)
- Open CASCADE Technology graphical data visualization module - dev files
- liboce-foundation-dev (0.18.2-3)
- OpenCASCADE Community Edition CAE platform library development files
- liboce-foundation11 (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- liboce-modeling-dev (0.18.2-3)
- OpenCASCADE Community Edition CAE platform library development files
- liboce-modeling11 (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- liboce-ocaf-dev (0.18.2-3)
- OpenCASCADE Community Edition CAE platform library development files
- liboce-ocaf-lite-dev (0.18.2-3)
- OpenCASCADE Community Edition CAE platform library development files
- liboce-ocaf-lite11 (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- liboce-ocaf11 (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- liboce-visualization-dev (0.18.2-3)
- OpenCASCADE Community Edition CAE platform library development files
- liboce-visualization11 (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- liboclgrind-18.3 (18.3-2)
- core library for Oclgrind
- liboclgrind-dev (18.3-2)
- development files for Oclgrind
- libocp-indent-ocaml (1.7.0-1)
- OCaml indentation tool for emacs and vim - libraries
- libocp-indent-ocaml-dev (1.7.0-1)
- OCaml indentation tool for emacs and vim - development libraries
- libocp-indent-ocaml-dev-j5la8
- virtueel pakket geboden door libocp-indent-ocaml-dev
- libocp-indent-ocaml-dev-sp7b6
- virtueel pakket geboden door libocp-indent-ocaml-dev
- libocp-indent-ocaml-j5la8
- virtueel pakket geboden door libocp-indent-ocaml
- libocp-indent-ocaml-sp7b6
- virtueel pakket geboden door libocp-indent-ocaml
- libocrad-dev (0.27-2)
- optical character recognition library
- liboctave-dev (4.4.1-5)
- development files for the GNU Octave language
- liboctave6 (4.4.1-5)
- shared libraries of the GNU Octave language
- liboctomap-dev (1.8.1+dfsg-1)
- Octomap library development files
- liboctomap1.8 (1.8.1+dfsg-1)
- 3D occupancy grid mapping approach library for mapping
- liboctovis-dev (1.8.1+dfsg-1)
- Visualization library for OctoMap development files
- liboctovis1.8 (1.8.1+dfsg-1)
- Visualization library for OctoMap
- libocxl-doc (1.1.0-1)
- Documentation files for development with libocxl
- libodb-2.4 (2.4.0-1+b1)
- Common ODB Runtime Library
- libodb-api-0d (0.18.1-5)
- Observational Data processing API for meteorology
- libodb-api-bin (0.18.1-5)
- Tools for the Observational Data Processing (ODB) API
- libodb-api-data (0.18.1-5)
- Data used by ECMWF Observational Data Processing (ODB)
- libodb-api-dev (0.18.1-5)
- Observational Data processing API for meteorology
- libodb-boost-2.4 (2.4.0-1+b1)
- Boost ODB runtime library
- libodb-boost-dev (2.4.0-1+b1)
- Boost ODB runtime library (development)
- libodb-dev (2.4.0-1+b1)
- Common ODB Runtime Library (development)
- libodb-mysql-2.4 (2.4.0-4)
- ODB Runtime Library for MySQL
- libodb-mysql-dev (2.4.0-4)
- ODB Runtime Library for MySQL (development)
- libodb-pgsql-2.4 (2.4.0-1+b1)
- ODB Runtime Library for PostgreSQL
- libodb-pgsql-dev (2.4.0-1+b1)
- ODB Runtime Library for PostgreSQL (development)
- libodb-qt-2.4 (2.4.0-2+b1)
- Qt ODB runtime library
- libodb-qt-dev (2.4.0-2+b1)
- Qt ODB runtime library (development)
- libodb-sqlite-2.4 (2.4.0-1+b1)
- ODB Runtime Library for SQLite
- libodb-sqlite-dev (2.4.0-1+b1)
- ODB Runtime Library for SQLite (development)
- libodbc1 (2.3.6-0.1)
- ODBC library for Unix
- libodbcinstq4-1 (2.3.0-4+b1)
- Qt-based ODBC configuration library
- libode-dev (2:0.16-3)
- Open Dynamics Engine - development files
- libode8 (2:0.16-3)
- Open Dynamics Engine - runtime library
- libode8-dev
- virtueel pakket geboden door libode-dev
- libodfgen-0.1-1 (0.1.7-1)
- library to generate ODF documents
- libodfgen-dev (0.1.7-1)
- library to generate ODF documents -- development
- libodil-dev (0.10.0-3)
- C++11 library for the DICOM standard (development files)
- libodil-doc (0.10.0-3)
- C++11 library for the DICOM standard (documentation)
- libodil0 (0.10.0-3)
- C++11 library for the DICOM standard
- libodil0-dev
- virtueel pakket geboden door libodil-dev
- libodil0-doc
- virtueel pakket geboden door libodil-doc
- libodin-dev (2.0.3-1)
- static libraries and header for ODIN sequences
- libodn-ocaml (0.0.11-2+b2 [armhf], 0.0.11-2+b1 [amd64, arm64, i386])
- Store data using OCaml notation
- libodn-ocaml-dev (0.0.11-2+b2 [armhf], 0.0.11-2+b1 [amd64, arm64, i386])
- Store data using OCaml notation
- libodn-ocaml-dev-wjxo4
- virtueel pakket geboden door libodn-ocaml-dev
- libodn-ocaml-dev-wqex4
- virtueel pakket geboden door libodn-ocaml-dev
- libodn-ocaml-wjxo4
- virtueel pakket geboden door libodn-ocaml
- libodn-ocaml-wqex4
- virtueel pakket geboden door libodn-ocaml
- libodsstream-doc (0.7.0-2)
- doxygen documentation of the ODSstream library
- libodsstream-qt5-0 (0.7.0-2)
- C++ library to read or write ODS files
- libodsstream-qt5-dev (0.7.0-2)
- C++ library to read or write ODS files (development files)
- libofa0 (0.9.3-19)
- library for acoustic fingerprinting
- libofa0-dev (0.9.3-19)
- library for acoustic fingerprinting (development files)
- libofapi-dev (0git20070620-8)
- OpenFirmware device-tree parsing library - development files
- libofapi-example (0git20070620-8)
- OpenFirmware device-tree parsing library - example files
- libofapi0 (0git20070620-8)
- OpenFirmware device-tree parsing library - runtime
- libofx-dev (1:0.9.14-1+deb10u1)
- development package for libofx7
- libofx-doc (1:0.9.14-1+deb10u1)
- documentation for libofx7
- libofx7 (1:0.9.14-1+deb10u1)
- library to support the Open Financial Exchange format
- libogdi3.2 (3.2.1+ds-4)
- Open Geographic Datastore Interface Library -- library
- libogdi3.2-dev (3.2.1+ds-4)
- Open Geographic Datastore Interface Library -- development
- libogg-dbg (1.3.2-1+b1)
- debugging symbols for libogg
- libogg-dev (1.3.2-1+b1)
- Ogg bitstream library development files
- libogg-ocaml (0.5.2-1)
- OCaml bindings for the Ogg bitstream library
- libogg-ocaml-916q8
- virtueel pakket geboden door libogg-ocaml
- libogg-ocaml-dev (0.5.2-1)
- OCaml bindings for the Ogg bitstream library
- libogg-ocaml-dev-916q8
- virtueel pakket geboden door libogg-ocaml-dev
- libogg-ocaml-dev-myib0
- virtueel pakket geboden door libogg-ocaml-dev
- libogg-ocaml-myib0
- virtueel pakket geboden door libogg-ocaml
- libogg-vorbis-decoder-perl (0.9-3+b5)
- module for decoding Ogg Vorbis streams
- (1.0-4)
- pure Perl interface to Ogg Vorbis information fields
- libogg0 (1.3.2-1+b1)
- Ogg bitstream library
- liboggkate-dev (0.4.1-9)
- Codec for karaoke and text encapsulation for Ogg (dev)
- liboggkate1 (0.4.1-9)
- Codec for karaoke and text encapsulation for Ogg
- liboggplay-dev
- virtueel pakket geboden door liboggplay1-dev
- liboggplay1 (0.2.1~git20091227-5)
- Library for playing OGG multimedia
- liboggplay1-dev (0.2.1~git20091227-5)
- Library for playing OGG multimedia (development files)
- liboggz-dev
- virtueel pakket geboden door liboggz2-dev
- liboggz2 (1.1.1-7)
- convenience interface for Ogg stream I/O
- liboggz2-dev (1.1.1-7)
- convenience interface for Ogg stream I/O (development files)
- liboglappth-dev (1.0.0-2)
- Oglappth Library (development files)
- liboglappth2 (1.0.0-2)
- Oglappth Library
- libogmrip-dev (1.0.1-1+b2)
- Application for ripping and encoding DVD - development files
- libogmrip1 (1.0.1-1+b2)
- Application for ripping and encoding DVD - libraries files
- libognl-java (2.7.3-7)
- Java expression language
- libognl-java-doc (2.7.3-7)
- Java expression language - Documentation
- libogre-1.9-dev (1.9.0+dfsg1-12+b1)
- 3D Object-Oriented Graphics Rendering Engine (development files)
- libogre-1.9.0v5 (1.9.0+dfsg1-12+b1)
- 3D Object-Oriented Graphics Rendering Engine (libraries)
- libois-1.3.0v5 (1.3.0+dfsg0-6+b11)
- Object Oriented Input System library (C++)
- libois-dev (1.3.0+dfsg0-6+b11)
- Object Oriented Input System library (C++ development headers)
- libois-perl (0.10-1+b1)
- Perl binding for the OIS C++ input framework
- libokhttp-java (3.13.1-1)
- HTTP+HTTP/2 client for Android and Java applications
- libokio-java (1.16.0-1)
- Modern I/O API for Java
- libokio-java-doc (1.16.0-1)
- Modern I/O API for Java - Documentations
- libokteta2core2 (5:0.25.5-1)
- core Okteta library
- libokteta2gui2 (5:0.25.5-1)
- gui Okteta library
- libokular5core8 (4:17.12.2-2.2+deb10u1)
- libraries for the Okular document viewer
- libola-dev (0.10.7.nojsmin-1+b1)
- Open Lighting Architecture - development libraries
- libola1 (0.10.7.nojsmin-1+b1)
- Open Lighting Architecture - shared libraries
- libolap4j-java (1.2.0-2)
- unified Java API to access an OLAP server
- libolap4j-java-doc (1.2.0-2)
- unified Java API to access an OLAP server - documentation
- libole-storage-lite-perl (0.19-2+deb10u1)
- simple class for OLE document interface
- libolecf-dev (20181231-1)
- OLE2 Compound File format access library -- development files
- libolecf-utils (20181231-1)
- OLE2 Compound File format access library -- Utilities
- libolecf1 (20181231-1)
- OLE2 Compound File format access library
- libolm-dev (2.2.2+git20170526.0fd768e+dfsg-1+b11)
- development files for the olm Double Ratchet implementation
- libolm2 (2.2.2+git20170526.0fd768e+dfsg-1+b11)
- implementation of the Double Ratchet cryptographic ratchet in C++
- libomnievents-dev (1:2.6.2-5+b1)
- omniORB event service development files
- libomnievents2 (1:2.6.2-5+b1)
- omniORB event service shared library
- libomniorb4-2 (4.2.2-0.9+b1)
- omniORB core libraries
- libomniorb4-2-dbg (4.2.2-0.9+b1)
- omniORB core libraries debugging symbols
- libomniorb4-dev (4.2.2-0.9+b1)
- omniORB core libraries development files
- libomnithread4 (4.2.2-0.9+b1)
- C++ threading library
- libomnithread4-dbg (4.2.2-0.9+b1)
- C++ threading library debugging symbols
- libomnithread4-dev (4.2.2-0.9+b1)
- C++ threading library development files
- libomp-11-dev (1:11.0.1-2~deb10u1)
- LLVM OpenMP runtime - dev package
- libomp-11-doc (1:11.0.1-2~deb10u1)
- LLVM OpenMP runtime - Documentation
- libomp-13-dev (1:13.0.1-6~deb10u4)
- LLVM OpenMP runtime - dev package
- libomp-13-doc (1:13.0.1-6~deb10u4)
- LLVM OpenMP runtime - Documentation
- libomp-7-dev (1:7.0.1-8+deb10u2)
- LLVM OpenMP runtime - dev package
- libomp-7-doc (1:7.0.1-8+deb10u2)
- LLVM OpenMP runtime - Documentation
- libomp-dev (1:7.0-47)
- LLVM OpenMP runtime - dev package
- libomp-x.y
- virtueel pakket geboden door libomp5-11, libomp5-7, libomp5-13
- libomp-x.y-dev
- virtueel pakket geboden door libomp-11-dev, libomp-7-dev, libomp-13-dev
- libomp5 (1:7.0-47)
- LLVM OpenMP runtime
- libomp5-11 (1:11.0.1-2~deb10u1)
- LLVM OpenMP runtime
- libomp5-13 (1:13.0.1-6~deb10u4)
- LLVM OpenMP runtime
- libomp5-7 (1:7.0.1-8+deb10u2)
- LLVM OpenMP runtime
- libomxil-bellagio-bin (0.9.3-4.1)
- implementation of OpenMAX IL, run-time library (utilities)
- libomxil-bellagio-dev (0.9.3-4.1)
- implementation of OpenMAX IL, development files
- libomxil-bellagio-doc (0.9.3-4.1)
- Documentation of the Bellagio OpenMAX IL
- libomxil-bellagio0 (0.9.3-4.1)
- implementation of OpenMAX IL, run-time library
- libomxil-bellagio0-components-alsa (0.1-2)
- ALSA source/sink components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-base (0.9.3-4.1)
- components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-base-dbg (0.9.3-4.1)
- components for Bellagio OpenMAX IL, debugging symbols
- libomxil-bellagio0-components-camera (0.1-2)
- Motorola Camera components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-fbdevsink (0.1-2)
- Frame Buffer Video Sink components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-mad (0.1-1)
- MAD MP3 decoder components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-videosrc (0.1-1)
- V4L2 video source components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-vorbis (0.1-3)
- vorbis decoder components for Bellagio OpenMAX IL
- libomxil-bellagio0-components-xvideo (0.1-3)
- XVideo components for Bellagio OpenMAX IL
- libomxil-bellagio0-dbg (0.9.3-4.1)
- implementation of OpenMAX IL, debugging symbols
- libomxil-dev
- virtueel pakket geboden door libomxil-bellagio-dev
- libonemind-commons-invoke-java (1.1.0+cvs20090227-4)
- Java invocation framework library
- libonemind-commons-java-java (1.5.5-6)
- common java library used to support other developments
- libonig-dev (6.9.1-1)
- regular expressions library — development files
- libonig5 (6.9.1-1)
- regular expressions library
- liboobs-1-5 (3.0.0-4)
- GObject based interface to system-tools-backends - shared library
- liboobs-1-5-dbg (3.0.0-4)
- GObject based interface to system-tools-backends - debug symbols
- liboobs-1-dev (3.0.0-4)
- GObject based interface to system-tools-backends - dev files
- libooolib-perl (0.1.9-1.1)
- Perl library for creating OpenOffice.org documents (calc/writer)
- liboop
- virtueel pakket geboden door liboop4
- liboop-dev (1.0.1-2)
- Event loop management library - development files
- liboop-doc (1.0.1-2)
- Event loop management library - documentation
- liboop4 (1.0.1-2)
- Event loop management library
- libooptools-dev (2.8-1+b3)
- Development files of LoopTools
- libopam-file-format-ocaml-dev (2.0.0-1)
- Parser and printer for the opam file syntax
- libopamgt-dev (10.8.0.0.201+dfsg.1-1)
- Development files for libopamgt0
- libopamgt0 (10.8.0.0.201+dfsg.1-1)
- Omni-Path fabric management API library
- libopasadb-dev (10.8.0.0.201+dfsg.1-1)
- Development files for libopasadb1
- libopasadb1 (10.8.0.0.201+dfsg.1-1)
- Omni-Path dsap API library
- libopen-trace-format-dev (1.12.5+dfsg-4)
- Open Trace Format support library - development files
- libopen-trace-format1 (1.12.5+dfsg-4)
- Open Trace Format support library - shared library
- libopenafs-dev (1.8.2-1+deb10u1)
- AFS distributed filesystem development libraries
- libopenal-data (1:1.19.1-1)
- Software implementation of the OpenAL audio API (data files)
- libopenal-dev (1:1.19.1-1)
- Software implementation of the OpenAL audio API (development files)
- libopenal1 (1:1.19.1-1)
- Software implementation of the OpenAL audio API (shared library)
- libopenalpr-data (2.3.0-1.1)
- Runtime data for the OpenALPR library
- libopenalpr-dev (2.3.0-1.1)
- Development files for the OpenALPR library
- libopenalpr2 (2.3.0-1.1)
- Automated License Plate Recognition library (OpenALPR)
- libopenbabel-dev (2.4.1+dfsg-3)
- Chemical toolbox library (development files)
- libopenbabel-doc (2.4.1+dfsg-3)
- Chemical toolbox library (documentation)
- libopenbabel5 (2.4.1+dfsg-3)
- Chemical toolbox library
- libopenblas-base (0.3.5+ds-3)
- Optimized BLAS (linear algebra) library (shared library)
- libopenblas-dev (0.3.5+ds-3)
- Optimized BLAS (linear algebra) library (development files)
- libopencc-dev (1.0.5-2)
- simplified-traditional Chinese conversion library - development
- libopencc2 (1.0.5-2)
- simplified-traditional Chinese conversion library - runtime
- libopencc2-data (1.0.5-2)
- simplified-traditional Chinese conversion library - data files
- libopencl-1.1-1
- virtueel pakket geboden door nvidia-libopencl1, ocl-icd-libopencl1
- libopencl-1.2-1
- virtueel pakket geboden door nvidia-libopencl1, ocl-icd-libopencl1
- libopencl-2.0-1
- virtueel pakket geboden door ocl-icd-libopencl1, nvidia-libopencl1
- libopencl-2.1-1
- virtueel pakket geboden door ocl-icd-libopencl1
- libopencl-2.2-1
- virtueel pakket geboden door ocl-icd-libopencl1
- libopencl1
- virtueel pakket geboden door mali-t76x-fbdev-driver, mali-t76x-wayland-driver, ocl-icd-libopencl1, mali-t62x-x11-driver, mali-t62x-fbdev-driver, nvidia-libopencl1, mali-t62x-wayland-driver, mali-t76x-x11-driver
- libopencolorio-dev (1.1.0~dfsg0-5)
- complete color management solution - development
- libopencolorio1v5 (1.1.0~dfsg0-5)
- complete color management solution - runtime
- libopenconnect-dev (8.02-1+deb10u1)
- open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - development files
- libopenconnect5 (8.02-1+deb10u1)
- open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - shared library
- libopencore-amrnb-dev (0.1.3-2.1+b2)
- Adaptive Multi Rate speech codec - development files
- libopencore-amrnb0 (0.1.3-2.1+b2)
- Adaptive Multi Rate speech codec - shared library
- libopencore-amrnb0-dbg (0.1.3-2.1+b2)
- Adaptive Multi Rate speech codec - debugging symbols
- libopencore-amrwb-dev (0.1.3-2.1+b2)
- Adaptive Multi-Rate - Wideband speech codec - development files
- libopencore-amrwb0 (0.1.3-2.1+b2)
- Adaptive Multi-Rate - Wideband speech codec - shared library
- libopencore-amrwb0-dbg (0.1.3-2.1+b2)
- Adaptive Multi-Rate - Wideband speech codec - debugging symbols
- libopencryptoki-dev (3.8.1+dfsg-3.1)
- PKCS#11 implementation (development)
- libopencryptoki0 (3.8.1+dfsg-3.1)
- PKCS#11 implementation (library)
- libopencsd-bin (0.10.1-1)
- ARM CoreSight trace decode utility
- libopencsd-dev (0.10.1-1)
- ARM CoreSight trace decode library development files
- libopencsd-doc (0.10.1-1)
- ARM CoreSight trace decode library documentation
- libopencsd0 (0.10.1-1)
- ARM CoreSight Trace decode library
- libopencsg-dev (1.4.2-1+b1)
- image-based CSG library using OpenGL (development files)
- libopencsg-example (1.4.2-1+b1)
- image-based CSG library using OpenGL (example program)
- libopencsg1 (1.4.2-1+b1)
- image-based CSG (Constructive Solid Geometry) library using OpenGL
- libopencsg1-dbg (1.4.2-1+b1)
- debugging symbols for libopencsg
- libopencsv-java (2.3-1)
- opencsv - Library for reading and writing CSV in Java
- libopencsv-java-doc (2.3-1)
- Documentation for opencsv
- libopenctm-dev (1.0.3+dfsg1-2+b1)
- Library headers for compression of 3D triangle meshes
- libopenctm1 (1.0.3+dfsg1-2+b1)
- Library for compression of 3D triangle meshes
- libopencv-apps-dev (1.12.0-2)
- Opencv_apps Robot OS package - development files
- libopencv-apps1d (1.12.0-2)
- opencv_apps Robot OS package - runtime files
- libopencv-calib3d-dev (3.2.0+dfsg-6)
- development files for libopencv-calib3d3.2
- libopencv-calib3d3.2 (3.2.0+dfsg-6)
- computer vision Camera Calibration library
- libopencv-contrib-dev (3.2.0+dfsg-6)
- development files for libopencv-contrib3.2
- libopencv-contrib3.2 (3.2.0+dfsg-6)
- computer vision contrlib library
- libopencv-core-dev (3.2.0+dfsg-6)
- development files for libopencv-core3.2
- libopencv-core3.2 (3.2.0+dfsg-6)
- computer vision core library
- libopencv-dev (3.2.0+dfsg-6)
- development files for opencv
- libopencv-features2d-dev (3.2.0+dfsg-6)
- development files for libopencv-features2d3.2
- libopencv-features2d3.2 (3.2.0+dfsg-6)
- computer vision Feature Detection and Descriptor Extraction library
- libopencv-flann-dev (3.2.0+dfsg-6)
- development files for libopencv-flann3.2
- libopencv-flann3.2 (3.2.0+dfsg-6)
- computer vision Clustering and Search in Multi-Dimensional spaces library
- libopencv-highgui-dev (3.2.0+dfsg-6)
- development files for libopencv-highgui3.2
- libopencv-highgui3.2 (3.2.0+dfsg-6)
- computer vision High-level GUI and Media I/O library
- libopencv-imgcodecs-dev (3.2.0+dfsg-6)
- development files for libopencv-imgcodecs3.2
- libopencv-imgcodecs3.2 (3.2.0+dfsg-6)
- computer vision Image Codecs library
- libopencv-imgproc-dev (3.2.0+dfsg-6)
- development files for libopencv-imgproc3.2
- libopencv-imgproc3.2 (3.2.0+dfsg-6)
- computer vision Image Processing library
- libopencv-ml-dev (3.2.0+dfsg-6)
- development files for libopencv-ml3.2
- libopencv-ml3.2 (3.2.0+dfsg-6)
- computer vision Machine Learning library
- libopencv-objdetect-dev (3.2.0+dfsg-6)
- development files for libopencv-objdetect3.2
- libopencv-objdetect3.2 (3.2.0+dfsg-6)
- computer vision Object Detection library
- libopencv-photo-dev (3.2.0+dfsg-6)
- development files for libopencv-photo3.2
- libopencv-photo3.2 (3.2.0+dfsg-6)
- computer vision computational photography library
- libopencv-shape-dev (3.2.0+dfsg-6)
- development files for libopencv-shape3.2
- libopencv-shape3.2 (3.2.0+dfsg-6)
- computer vision shape descriptors and matchers library
- libopencv-stitching-dev (3.2.0+dfsg-6)
- development files for libopencv-stitching3.2
- libopencv-stitching3.2 (3.2.0+dfsg-6)
- computer vision image stitching library
- libopencv-superres-dev (3.2.0+dfsg-6)
- development files for libopencv-superres3.2
- libopencv-superres3.2 (3.2.0+dfsg-6)
- computer vision Super Resolution library
- libopencv-ts-dev (3.2.0+dfsg-6)
- development files for TS library of OpenCV (Open Computer Vision)
- libopencv-video-dev (3.2.0+dfsg-6)
- development files for libopencv-video3.2
- libopencv-video3.2 (3.2.0+dfsg-6)
- computer vision Video analysis library
- libopencv-videoio-dev (3.2.0+dfsg-6)
- development files for libopencv-videoio3.2
- libopencv-videoio3.2 (3.2.0+dfsg-6)
- computer vision Video I/O library
- libopencv-videostab-dev (3.2.0+dfsg-6)
- development files for libopencv-videostab3.2
- libopencv-videostab3.2 (3.2.0+dfsg-6)
- computer vision video stabilization library
- libopencv-viz-dev (3.2.0+dfsg-6)
- development files for libopencv-viz3.2
- libopencv-viz3.2 (3.2.0+dfsg-6)
- computer vision 3D data visualization library
- libopencv3.2-java (3.2.0+dfsg-6)
- Java bindings for the computer vision library
- libopencv3.2-jni (3.2.0+dfsg-6)
- Java jni library for the computer vision library
- libopendbx-dev
- virtueel pakket geboden door libopendbx1-dev
- libopendbx1 (1.4.6-13+b1)
- Lightweight database access abstraction layer
- libopendbx1-backend
- virtueel pakket geboden door libopendbx1-mssql, libopendbx1-mysql, libopendbx1-firebird, libopendbx1-sqlite, libopendbx1-odbc, libopendbx1-pgsql, libopendbx1-sqlite3, libopendbx1-sybase
- libopendbx1-dev (1.4.6-13+b1)
- Lightweight database access abstraction layer (dev)
- libopendbx1-firebird (1.4.6-13+b1)
- Firebird backend for OpenDBX
- libopendbx1-mssql (1.4.6-13+b1)
- MSSQL backend for OpenDBX
- libopendbx1-mysql (1.4.6-13+b1)
- MySQL backend for OpenDBX
- libopendbx1-odbc (1.4.6-13+b1)
- ODBC backend for OpenDBX
- libopendbx1-pgsql (1.4.6-13+b1)
- PostgreSQL backend for OpenDBX
- libopendbx1-sqlite (1.4.6-13+b1)
- SQLite backend for OpenDBX
- libopendbx1-sqlite3 (1.4.6-13+b1)
- SQLite3 backend for OpenDBX
- libopendbx1-sybase (1.4.6-13+b1)
- Sybase ctlib backend for OpenDBX
- libopendht-dev (1.8.1-1)
- Development files for the libopendht library
- libopendht-dev
- virtueel pakket geboden door libopendht-dev
- libopendkim-dev (2.11.0~alpha-12+deb10u1) [security]
- Headers and development libraries for the OpenDKIM library
- libopendkim11 (2.11.0~alpha-12+deb10u1) [security]
- Library for signing and verifying DomainKeys Identified Mail signatures
- libopendmarc-dev (1.3.2-6+deb10u4) [security]
- Headers and development libraries for the OpenDMARC library
- libopendmarc2 (1.3.2-6+deb10u4) [security]
- Library for DMARC validation and reporting
- libopenems-dev (0.0.35+dfsg.1-3)
- Development files for openems
- libopenems0 (0.0.35+dfsg.1-3)
- Library for the electromagnetic simulator using the FDTD method
- libopenexr-dev (2.2.1-4.1+deb10u2) [security]
- development files for the OpenEXR image library
- libopenexr23 (2.2.1-4.1+deb10u2) [security]
- runtime files for the OpenEXR image library
- libopenfoam (1812+dfsg1-2)
- Open source toolbox for Computational Fluid Dynamics (CFD) - libraries
- libopengl-image-perl (1.03-1)
- Image loader for OpenGL
- libopengl-perl (0.7000+dfsg-3)
- Perl interface providing graphics display using OpenGL
- libopengl-xscreensaver-perl (0.05-1+b6 [amd64, armhf, i386], 0.05-1+b5 [arm64])
- Perl module for writing OpenGL-based XScreenSaver hacks
- libopengl0 (1.1.0-1)
- Vendor neutral GL dispatch library -- OpenGL support
- libopenhft-affinity-java (2.2-2)
- OpenHFT Java Thread Affinity library
- libopenhft-chronicle-core-java (2.17.5-v1.1.8-2)
- OpenHFT core library
- libopenhft-chronicle-threads-java (1.1.6-2)
- OpenHFT thread pool library
- libopenhft-compiler-java (2.2.4-2)
- Java Runtime Compiler library
- libopenhft-lang-java (6.7.6-2)
- High Performance Java library for High Frequency Trading
- libopenhmd-dev (0.2.0-5)
- API and drivers for immersive technology (development files)
- libopenhmd0 (0.2.0-5)
- API and drivers for immersive technology (shared library)
- libopenhpi-dev (3.8.0-2)
- OpenHPI libraries (development files)
- libopenhpi3 (3.8.0-2)
- OpenHPI libraries (runtime and support files)
- libopenid4java-java (1.0.0-1)
- This library allows you to OpenID-enable your Java webapp
- libopenigtlink-dev (1.11.0-4)
- Open IGT Link is a simple network protocol - development
- libopenigtlink1.11 (1.11.0-4)
- Open IGT Link is a simple network protocol - runtime
- libopenimageio-dev (2.0.5~dfsg0-1+deb10u2) [security]
- Library for reading and writing images - development
- libopenimageio-doc (2.0.5~dfsg0-1+deb10u2) [security]
- Library for reading and writing images - documentation
- libopenimageio2.0 (2.0.5~dfsg0-1+deb10u2) [security]
- Library for reading and writing images - runtime
- libopenipmi-dev (2.0.25-2.1)
- Intelligent Platform Management Interface - development
- libopenipmi0 (2.0.25-2.1)
- Intelligent Platform Management Interface - runtime
- libopenjfx-java (11.0.2+1-1)
- JavaFX/OpenJFX - Rich client application platform for Java (Java libraries)
- libopenjfx-java-doc (11.0.2+1-1)
- JavaFX/OpenJFX - Rich client application platform for Java (Javadoc)
- libopenjfx-jni (11.0.2+1-1)
- JavaFX/OpenJFX - Rich client application platform for Java (native libraries)
- libopenjp2-7 (2.3.0-2+deb10u2)
- JPEG 2000 image compression/decompression library
- libopenjp2-7-dev (2.3.0-2+deb10u2)
- development files for OpenJPEG, a JPEG 2000 image library
- libopenjp2-tools (2.3.0-2+deb10u2)
- command-line tools using the JPEG 2000 library
- libopenjp3d-tools (2.3.0-2+deb10u2)
- command-line tools using the JPEG 2000 - 3D library
- libopenjp3d7 (2.3.0-2+deb10u2)
- JP3D (JPEG 2000 / Part 10) image compression/decompression library
- libopenjpa-java (2.4.2-6)
- Java Persistence 2.0 API (JPA) implementation library
- libopenjpip-dec-server (2.3.0-2+deb10u2)
- tool to allow caching of JPEG 2000 files using JPIP protocol
- libopenjpip-server (2.3.0-2+deb10u2)
- JPIP server for JPEG 2000 files
- libopenjpip-viewer (2.3.0-2+deb10u2)
- JPEG 2000 java based viewer for advanced remote JPIP access
- libopenjpip7 (2.3.0-2+deb10u2)
- JPEG 2000 Interactive Protocol
- libopenlayer-dev (2.1-2.1+b1)
- hardware accelerated 2D Graphics library : development files
- libopenlayer2v5 (2.1-2.1+b1)
- hardware accelerated 2D Graphics library
- libopenlibm-dev (0.6.0+dfsg-2)
- standalone implementation of C mathematical functions (development files)
- libopenlibm2 (0.6.0+dfsg-2)
- standalone implementation of C mathematical functions (shared library)
- libopenmpi-dev (3.1.3-11)
- high performance message passing library -- header files
- libopenmpi3 (3.1.3-11)
- high performance message passing library -- shared library
- libopenmpt-dev (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- development files
- libopenmpt-doc (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- documentation
- libopenmpt-modplug-dev (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- modplug compat development files
- libopenmpt-modplug1 (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- modplug compat library
- libopenmpt0 (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- shared library
- libopenms-dev (2.4.0-real-1)
- library for LC/MS data management and analysis - dev files
- libopenms2.4.0 (2.4.0-real-1)
- library for LC/MS data management and analysis - runtime
- libopenni-dev (1.5.4.0+dfsg-2)
- headers for OpenNI 'Natural Interaction' frameworks
- libopenni-java (1.5.4.0+dfsg-2)
- Java framework for sensor-based 'Natural Interaction'
- libopenni-sensor
- virtueel pakket geboden door libopenni-sensor-primesense0, libopenni-sensor-pointclouds0
- libopenni-sensor-dev
- virtueel pakket geboden door libopenni-sensor-pointclouds-dev, libopenni-sensor-primesense-dev
- libopenni-sensor-pointclouds-dev (5.1.0.41.9-2)
- headers for Kinect sensor modules for the OpenNI framework
- libopenni-sensor-pointclouds0 (5.1.0.41.9-2)
- Microsoft Kinect sensor modules for the OpenNI framework
- libopenni-sensor-primesense-dev (5.1.0.41-9)
- headers for working with PrimeSense sensor OpenNI modules
- libopenni-sensor-primesense0 (5.1.0.41-9)
- PrimeSense sensor modules for the OpenNI framework
- libopenni0 (1.5.4.0+dfsg-2)
- framework for sensor-based 'Natural Interaction'
- libopenni2-0 (2.2.0.33+dfsg-11)
- framework for sensor-based 'Natural Interaction'
- libopenni2-dev (2.2.0.33+dfsg-11)
- headers for OpenNI 'Natural Interaction' frameworks
- libopenobex-dev
- virtueel pakket geboden door libopenobex2-dev
- libopenobex2 (1.7.2-1)
- OBEX protocol library
- libopenobex2-dev (1.7.2-1)
- OBEX protocol library - development files
- libopenoffice-oodoc-perl (2.125-3)
- module for working with Open Document Format files
- libopenr2-3 (1.3.3-2)
- MFC/R2 (telephony) call setup library
- libopenr2-bin (1.3.3-2)
- MFC/R2 (telephony) call setup library - test programs
- libopenr2-dev (1.3.3-2)
- MFC/R2 (telephony) call setup library - development headers
- libopenraw-dev (0.1.2-0.2)
- free implementation for RAW decoding - development files
- libopenraw7 (0.1.2-0.2)
- free implementation for RAW decoding
- libopenrawgnome-dev (0.1.2-0.2)
- GNOME integration for libopenraw - development files
- libopenrawgnome7 (0.1.2-0.2)
- free implementation for RAW decoding (GNOME integration)
- libopenrpt-dev (3.3.14-2+b2)
- graphical SQL report writer, designer and rendering engine (development)
- libopenrpt1v5 (3.3.14-2+b2)
- graphical SQL report writer, designer and rendering library
- libopensc-openssl
- virtueel pakket geboden door libengine-pkcs11-openssl
- libopenscap-dev (1.2.16-2+b2)
- Set of libraries enabling integration of the SCAP line of standards
- libopenscap-perl (1.2.16-2+b2)
- Set of libraries enabling integration of the SCAP line of standards
- libopenscap8 (1.2.16-2+b2)
- Set of libraries enabling integration of the SCAP line of standards
- libopenscap8-dbg (1.2.16-2+b2)
- Set of libraries enabling integration of the SCAP line of standards
- libopenscenegraph-3.4-131 (3.4.1+dfsg1-5)
- 3D scene graph, shared libs
- libopenscenegraph-3.4-dev (3.4.1+dfsg1-5)
- 3D scene graph, development files
- libopenscenegraph-dev (3.2.3+dfsg1-3)
- 3D scene graph, development files
- libopenscenegraph100v5 (3.2.3+dfsg1-3)
- 3D scene graph, shared libs
- libopenshot-audio-dev (0.1.7+dfsg1-1)
- development files for the OpenShot audio library
- libopenshot-audio-doc (0.1.7+dfsg1-1)
- documentation for the OpenShot audio library
- libopenshot-audio6 (0.1.7+dfsg1-1)
- library for high-quality audio editing
- libopenshot-dev (0.2.2+dfsg1-1+b1)
- development files for the OpenShot video library
- libopenshot-doc (0.2.2+dfsg1-1)
- documentation for the OpenShot video library
- libopenshot16 (0.2.2+dfsg1-1+b1)
- library for high-quality video editing
- libopenslide-dev (3.4.1+dfsg-4)
- Development files for the OpenSlide library
- libopenslide0 (3.4.1+dfsg-4)
- library for reading whole slide image files
- libopenslide0-dev
- virtueel pakket geboden door libopenslide-dev
- libopensm-dev (3.3.21-2)
- Header files for compiling against libopensm
- libopensm8 (3.3.21-2)
- InfiniBand subnet manager library
- libopensurgsim (0.7.0-8)
- Free platform for surgical simulation - runtime
- libopensurgsim-dev (0.7.0-8)
- Free platform for surgical simulation - development
- libopenthreads-dev (3.2.3+dfsg1-3)
- Object-Oriented (OO) thread interface for C++, development files
- libopenthreads20 (3.2.3+dfsg1-3)
- Object-Oriented (OO) thread interface for C++, shared libs
- libopentk-cil-dev (1.1.4c+dfsg-2.1)
- Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Dev files
- libopentk1.1-cil (1.1.4c+dfsg-2.1)
- Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Assemblies
- libopentoken-doc (6.0b-9)
- OpenToken lexical analysis library for Ada: documentation
- libopentoken10 (6.0b-9)
- OpenToken lexical analysis library for Ada: shared library
- libopentoken7-dev (6.0b-9)
- OpenToken lexical analysis library for Ada: development
- libopenusb-dev (1.1.11-2)
- alternative userspace USB programming library
- libopenusb-doc (1.1.11-2)
- alternative userspace USB programming library
- libopenusb0 (1.1.11-2)
- alternative userspace USB programming library
- libopenvas-dev (9.0.3-1+b1)
- remote network security auditor - static libraries and headers
- libopenvas-doc (9.0.3-1)
- remote network security auditor - libraries documentation
- libopenvas9 (9.0.3-1+b1)
- remote network security auditor - shared libraries
- libopenvdb-dev (5.2.0-5)
- Sparse Volume Processing toolkit - dev
- libopenvdb-doc (5.2.0-5)
- Sparse Volume Processing toolkit - doc
- libopenvdb-tools (5.2.0-5)
- Sparse Volume Processing toolkit - runtime
- libopenvdb5.2 (5.2.0-5)
- Sparse Volume Processing toolkit - lib
- libopenzwave-doc (1.5+ds-6)
- documentation for the openzwave library
- libopenzwave1.5 (1.5+ds-6)
- API to use a Z-Wave controller
- libopenzwave1.5-dev (1.5+ds-6)
- header files for the openzwave library
- liboping-dev (1.10.0-2.1+b1)
- C/C++ library to generate ICMP ECHO_REQUESTs (development files)
- liboping0 (1.10.0-2.1+b1)
- C/C++ library to generate ICMP ECHO_REQUESTs
- libopkele-dev (2.0.4+git20140305.9651b55-4)
- OpenID support library in C++ (development)
- libopkele3v5 (2.0.4+git20140305.9651b55-4)
- OpenID support library in C++ (runtime)
- libopsin-java (2.3.1-1)
- Chemical name to structure converter
- libopsin-java-doc (2.3.1-1)
- Chemical name to structure converter (documentation)
- liboptcomp-camlp4-dev (1.6-2+b2 [armhf], 1.6-2+b1 [amd64, arm64, i386])
- syntax extension for optional compilation with cpp-like directives (library)
- liboptcomp-camlp4-dev-1ahc3
- virtueel pakket geboden door liboptcomp-camlp4-dev
- liboptcomp-camlp4-dev-xmqj6
- virtueel pakket geboden door liboptcomp-camlp4-dev
- liboptions-java (0.0.20120113-3)
- command line option parsing library for Java
- liboptions-java-doc (0.0.20120113-3)
- command line option parsing library for Java (documentation)
- libopts25 (1:5.18.12-4)
- automated option processing library based on autogen
- libopts25-dev (1:5.18.12-4)
- automated option processing library based on autogen
- libopus-dbg (1.3-1)
- debugging symbols for libopus
- libopus-dev (1.3-1)
- Opus codec library development files
- libopus-doc (1.3-1)
- libopus API documentation
- libopus-ocaml (0.1.2-2)
- OCaml interface to the opus library -- runtime files
- libopus-ocaml-4vvb1
- virtueel pakket geboden door libopus-ocaml
- libopus-ocaml-bcna2
- virtueel pakket geboden door libopus-ocaml
- libopus-ocaml-dev (0.1.2-2)
- OCaml interface to the opus library -- development files
- libopus-ocaml-dev-4vvb1
- virtueel pakket geboden door libopus-ocaml-dev
- libopus-ocaml-dev-bcna2
- virtueel pakket geboden door libopus-ocaml-dev
- libopus0 (1.3-1)
- Opus codec runtime library
- libopusfile-dbg (0.9+20170913-1)
- debugging symbols for libopusfile
- libopusfile-dev (0.9+20170913-1)
- High-level API for basic manipulation of Ogg Opus audio streams
- libopusfile-doc (0.9+20170913-1)
- libopusfile API documentation
- libopusfile0 (0.9+20170913-1)
- High-level API for basic manipulation of Ogg Opus audio streams
- liborc-0.4-0 (1:0.4.28-3.1)
- Library of Optimized Inner Loops Runtime Compiler
- liborc-0.4-0-dbg (1:0.4.28-3.1)
- Library of Optimized Inner Loops Runtime Compiler (debug package)
- liborc-0.4-dev (1:0.4.28-3.1)
- Library of Optimized Inner Loops Runtime Compiler (development headers)
- liborc-0.4-dev-bin (1:0.4.28-3.1)
- Library of Optimized Inner Loops Runtime Compiler (development tools)
- liborc-0.4-doc (1:0.4.28-3.1)
- Library of Optimized Inner Loops Runtime Compiler (documentation)
- liborc-dev
- virtueel pakket geboden door liborc-0.4-dev
- liborc-dev-bin
- virtueel pakket geboden door liborc-0.4-dev-bin
- liborcania-dev (1.2.9-5)
- library for angharad programs, development files
- liborcania1.2 (1.2.9-5)
- library for angharad programs
- liborcus-0.14-0 (0.14.1-6)
- library for processing spreadsheet documents
- liborcus-bin (0.14.1-6)
- library for processing spreadsheet documents - binaries
- liborcus-dev (0.14.1-6)
- library for processing spreadsheet documents -- development
- liborcus-doc (0.14.1-6)
- library for processing spreadsheet documents - documentation
- liborcus-spreadsheet-model-0.14-0 (0.14.1-6)
- library for processing spreadsheet documents - spreadsheet model library
- liborigin2-1v5 (2:20110117-3)
- library for reading OriginLab Origin 7.5 project files (runtime)
- liborigin2-dev (2:20110117-3)
- library for reading OriginLab Origin 7.5 project files (development)
- liborlite-migrate-perl (1.10-2)
- Perl helper module for SQLite-to-ORLite schema migration
- liborlite-mirror-perl (1.24-1)
- ORLite extension to use remote SQLite databases
- liborlite-perl (1.98-2)
- lightweight SQLite-specific ORM
- liborlite-statistics-perl (0.03-2)
- ORLite extension providing simple statistics
- liboro-java (2.0.8a-13)
- Regular expression library for Java
- liboro-java-doc (2.0.8a-13)
- Regular expression library for Java -- documentation
- liborocos-bfl-dev (0.8.0-5)
- Orocos Bayesian Filtering Library development files
- liborocos-bfl-examples (0.8.0-5)
- Orocos Bayesian Filtering Library examples
- liborocos-bfl0.8 (0.8.0-5)
- Orocos Bayesian Filtering Library
- liborocos-kdl-dev (1.4.0-7+deb10u2)
- Kinematics and Dynamics Library development files
- liborocos-kdl1.4 (1.4.0-7+deb10u2)
- Kinematics and Dynamics Library runtime
- libortp-dev (1:1.0.2-1)
- Development files for the ortp RTP library
- libortp-doc (1:1.0.2-1)
- oRTP API documentation
- libortp13 (1:1.0.2-1)
- Real-time Transport Protocol (RTP) stack
- liboscache-java (2.4.1+ds1-7)
- caching solution for java server pages (JSP)
- liboscpack-dev (1.1.0-2)
- C++ library for packing and unpacking OSC packets - dev files
- liboscpack1 (1.1.0-2)
- C++ library for packing and unpacking OSC packets
- libosgearth-dev (2.10.0+dfsg-1+b1)
- osgEarth development files
- libosgearth5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (shared lib)
- libosgearthannotation5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthAnnotation)
- libosgearthfeatures5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthFeatures)
- libosgearthsplat5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthSplat)
- libosgearthsymbology5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthSymbology)
- libosgearthutil5 (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthUtil)
- libosgi-annotation-java (6.0.0-2)
- Java OSGi API - annotation module
- libosgi-annotation-java-doc (6.0.0-2)
- Javadoc for Java OSGi API - annotation module
- libosgi-compendium-java (6.0.0-1)
- Java OSGi API - Compendium module
- libosgi-compendium-java-doc (6.0.0-1)
- Javadoc for Java OSGi API - Compendium module
- libosgi-core-java (6.0.0-1)
- Java OSGi API - Core module
- libosgi-core-java-doc (6.0.0-1)
- Javadoc for Java OSGi API - Core module
- libosgi-foundation-ee-java (4.2.0-4)
- Java OSGi API - Foundation Execution Environment
- libosinfo-1.0-0 (1.2.0-1)
- Library for managing information about operating systems and hypervisors
- libosinfo-1.0-dev (1.2.0-1)
- libosinfo development files
- libosinfo-bin (1.2.0-1)
- Tools for querying the osinfo database via libosinfo
- libosinfo-l10n (1.2.0-1)
- Translations for libosinfo
- libosip2-11 (4.1.0-2.1)
- Session Initiation Protocol (SIP) library
- libosip2-dev (4.1.0-2.1)
- development files for the SIP library
- libosm-gary68-perl (0.0~svn26727-4)
- OpenStreetMap Perl modules by gary68
- libosmcomp4 (3.3.21-2)
- InfiniBand subnet manager - component library
- libosmesa-dev
- virtueel pakket geboden door libosmesa6-dev
- libosmesa6 (18.3.6-2+deb10u1)
- Mesa Off-screen rendering extension
- libosmesa6-dev (18.3.6-2+deb10u1)
- Mesa Off-screen rendering extension -- development files
- libosmgpsmap-1.0-1 (1.1.0-5)
- GTK+ library to embed OpenStreetMap maps
- libosmgpsmap-1.0-dev (1.1.0-5)
- GTK+ library to embed OpenStreetMap maps - development files
- libosmium-dev
- virtueel pakket geboden door libosmium2-dev
- libosmium2-dev (2.15.1-1)
- C++ framework for working with OSM data files
- libosmium2-doc (2.15.1-1)
- C++ framework for working with OSM data files - documentation
- libosmo-abis-dev (0.5.1-1)
- Development headers for A-bis interface
- libosmo-fl2k-dev (0.1.0+20180423git9e79bde-2)
- use a VGA USB adapter as DAC and SDR transmitter - development
- libosmo-fl2k0 (0.1.0+20180423git9e79bde-2)
- use a VGA USB adapter as DAC and SDR transmitter - library
- libosmo-legacy-mgcp-dev (1.4.0-1)
- Osmocom's Legacy Media Gateway server library - development files
- libosmo-legacy-mgcp0 (1.4.0-1)
- Osmocom's Legacy Media Gateway server library
- libosmo-mgcp-client-dev (1.4.0-1)
- Osmocom's Media Gateway Control Protocol client utilities - development files
- libosmo-mgcp-client3 (1.4.0-1)
- Osmocom's Media Gateway Control Protocol client utilities
- libosmo-netif-dev (0.3.0-1)
- Development headers for Osmocom network interface
- libosmo-ranap-dev (0.3.0-5)
- Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), development
- libosmo-ranap2 (0.3.0-5)
- Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), library
- libosmo-sccp-dev (0.10.0-4)
- Development files for libsccp, libmtp and libxua
- libosmo-sigtran-dev (0.10.0-4)
- Development headers for the Osmocom SIGTRAN library
- libosmo-sigtran-doc (0.10.0-4)
- Documentation for the Osmocom SIGTRAN library
- libosmo-sigtran0 (0.10.0-4)
- Osmocom SIGTRAN library (SCCP, SUA, M3UA and more)
- libosmoabis6 (0.5.1-1)
- GSM A-bis handling
- libosmocodec-doc (0.12.1-2)
- Documentation for the osmo codec library
- libosmocodec0 (0.12.1-2)
- Osmo codec library
- libosmocoding-doc (0.12.1-2)
- Documentation for the osmo coding library
- libosmocoding0 (0.12.1-2)
- Osmo coding library
- libosmocore (0.12.1-2)
- Open Source MObile COMmunications CORE library (metapackage)
- libosmocore-dev (0.12.1-2)
- Development headers for Open Source MObile COMmunications CORE library
- libosmocore-doc (0.12.1-2)
- Documentation for the Osmo Core library
- libosmocore-utils (0.12.1-2)
- Utilities for gsm
- libosmocore11 (0.12.1-2)
- Osmo Core library
- libosmoctrl-doc (0.12.1-2)
- Documentation for the Osmocom CTRL library
- libosmoctrl0 (0.12.1-2)
- Osmo control library
- libosmogb6 (0.12.1-2)
- Osmo GPRS GB library
- libosmogsm-doc (0.12.1-2)
- Documentation for the Osmo GSM utility library
- libosmogsm10 (0.12.1-2)
- Osmo GSM utility library
- libosmomtp0 (0.10.0-4)
- Message Transfer Part for Signaling System 7
- libosmonetif6 (0.3.0-1)
- Common/shared code regarding network interface for OpenBSC
- libosmosccp0 (0.10.0-4)
- Library for Signalling Connection Control Part (SCCP)
- libosmosdr-dev (0.1.8.effcaa7-7+b1)
- Software defined radio support for OsmoSDR hardware (development files)
- libosmosdr0 (0.1.8.effcaa7-7+b1)
- Software defined radio support for OsmoSDR hardware (library)
- libosmosim0 (0.12.1-2)
- Osmo SIM library
- libosmotrau2 (0.5.1-1)
- GSM trau handling
- libosmovty-doc (0.12.1-2)
- Documentation for the Osmo VTY library
- libosmovty4 (0.12.1-2)
- Osmo VTY library
- libosmoxua0 (0.10.0-4)
- Osmocom SCCP support library for generating and parsing messages
- libosmpbf-dev (1.3.3-11+deb10u1)
- C headers for OpenStreetMap PBF file format
- libosmpbf-java (1.3.3-11+deb10u1)
- Java access library for OpenStreetMap PBF file format
- libosmvendor4 (3.3.21-2)
- InfiniBand subnet manager - vendor library
- libosp-dev (1.5.2-13+b1)
- OpenJade group's SP suite, developer support
- libosp5 (1.5.2-13+b1)
- Runtime library for OpenJade group's SP suite
- libosptk-dev (4.13.0-1)
- OSP Toolkit development library and header files
- libosptk4 (4.13.0-1)
- OSP Toolkit shared library
- libossim-dev (2.6.2-1)
- OSSIM library -- development files
- libossim1 (2.6.2-1)
- OSSIM library -- shared library
- libossp-sa-dev (1.2.6-2)
- Abstraction library for the Unix socket API
- libossp-sa12 (1.2.6-2)
- Abstraction library for the Unix socket API
- libossp-uuid-dev (1.6.2-1.5+b7)
- OSSP uuid ISO-C and C++ - headers and static libraries
- libossp-uuid-perl (1.6.2-1.5+b7)
- perl OSSP::UUID - OSSP uuid Perl Binding
- libossp-uuid16 (1.6.2-1.5+b7)
- OSSP uuid ISO-C and C++ - shared library
- libostree-1-1 (2019.1-1)
- content-addressed filesystem for operating system binaries (library)
- libostree-dev (2019.1-1)
- Development files for the libostree library
- libostree-doc (2019.1-1)
- Development documentation for the libostree library
- libostyle-dev (1.4devel1-21.3+b1)
- OpenJade libraries, developer support
- libostyle1c2 (1.4devel1-21.3+b1)
- Runtime libraries for OpenJade
- libotb (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library metapackage
- libotb-apps (6.6.1+dfsg-1+b1)
- Plugins for ORFEO Toolbox applications
- libotb-dev (6.6.1+dfsg-1+b1)
- Free library of image processing algorithms - development
- libotbapplicationengine-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBApplicationEngine
- libotbcarto-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBCarto
- libotbcommandline-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBCommandLine
- libotbcommandlineparser-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBCommandLinePaser
- libotbcommon-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBCommon
- libotbcurladapters-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBCurlAdapters
- libotbedge-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBEdge
- libotbextendedfilename-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBExtendedFileName
- libotbfuzzy-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBFuzzy
- libotbgdaladapters-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBGdalAdapters
- libotbice-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIce
- libotbimagebase-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBImageBase
- libotbimageio-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBImageIO
- libotbimagemanipulation-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBImageManipulation
- libotbiobsq-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOBSQ
- libotbiogdal-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOGDAL
- libotbiokml-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOKML
- libotbiolum-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOLUM
- libotbiomstar-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOMSTAR
- libotbioonera-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOONERA
- libotbiorad-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIORAD
- libotbiotilemap-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBIOTileMap
- libotblearningbase-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBLearningBase
- libotbmapla-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMapla
- libotbmathparser-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMathParser
- libotbmetadata-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMetadata
- libotbmonteverdi-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMonteverdi
- libotbmonteverdicore-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMonteverdiCore
- libotbmonteverdigui-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBMonteverdiGUI
- libotbopenthreadsadapters-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBOpenThreadsAdapters
- libotbossimadapters-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBOssimAdapters
- libotbossimplugins-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBOssimPlugins
- libotbpolarimetry-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBPolarimetry
- libotbprojection-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBProjection
- libotbqtadapters-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBQtAdapters
- libotbqtwidget-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBQtWidget
- libotbrcc8-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBRCC8
- libotbsampling-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBSampling
- libotbsiftfast-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBSiftFast
- libotbstatistics-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBStatistics
- libotbstreaming-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBStreaming
- libotbsupervised-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBSupervised
- libotbtestkernel-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBTestKernel
- libotbtransform-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBTransform
- libotbvectordatabase-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBVectorDataBase
- libotbvectordataio-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBVectorDataIO
- libotbwavelet-6.6-1 (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBWavelet
- libotcl1 (1.14+dfsg-4)
- shared library of OTcl
- libotcl1-dev (1.14+dfsg-4)
- static library of OTcl
- libotf-bin (0.9.13-4)
- Library for handling OpenType Font - utilities
- libotf-dev (0.9.13-4)
- Library for handling OpenType Font - development
- libotf-trace-dev (1.12.5+dfsg-4)
- Open Trace format support library - compatibility symlink
- libotf0 (0.9.13-4)
- Library for handling OpenType Font - runtime
- libotfaux0 (1.12.5+dfsg-4)
- Open Trace Format support library - shared library
- libotp0-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - OTP support library
- libotpw-dev (1.5-2)
- OTPW library development files and documentation
- libotr5 (4.1.1-3)
- Off-the-Record Messaging library
- libotr5-bin (4.1.1-3)
- toolkit for Off-the-Record Messaging library
- libotr5-dev (4.1.1-3)
- Off-the-Record Messaging library development files
- libots-dev (0.5.0-3)
- Open Text Summarizer (development)
- libots0 (0.5.0-3)
- Open Text Summarizer (library)
- libouch-perl (0.0501-1)
- exception handling module
- libounit-ocaml-dev (2.0.8-1)
- Unit testing framework for OCaml
- libounit-ocaml-dev-q0yf4
- virtueel pakket geboden door libounit-ocaml-dev
- libounit-ocaml-dev-uokv8
- virtueel pakket geboden door libounit-ocaml-dev
- libout123-0 (1.25.10-2)
- MPEG layer 1/2/3 audio decoder (libout123 shared library)
- libow-3.2-3 (3.2p3+dfsg1-2+deb10u1)
- 1-Wire File System full library
- libow-dev (3.2p3+dfsg1-2+deb10u1)
- 1-Wire File System (development files)
- libow-perl (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: Perl5 bindings
- libow-php7 (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: PHP5 bindings
- libow-tcl (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: Tcl bindings
- libowasp-antisamy-java (1.5.3+dfsg-1)
- OWASP AntiSamy
- libowasp-antisamy-java-doc (1.5.3+dfsg-1)
- Documentation for OWASP AntiSamy
- libowasp-esapi-java (2.1.0-3)
- Enterprise Security API (ESAPI)
- libowasp-esapi-java-doc (2.1.0-3)
- Documentation for ESAPI
- libowasp-java-html-sanitizer-java (0.1+r88-2)
- OWASP Java HTML Sanitizer
- libowasp-java-html-sanitizer-java-doc (0.1+r88-2)
- Documentation for OWASP Java HTML Sanitizer
- libowcapi-3.2-3 (3.2p3+dfsg1-2+deb10u1)
- 1-Wire File System C library
- libowfat-dev (0.30-2)
- Reimplementation of libdjb, development files
- libowfat-dietlibc-dev (0.30-2)
- Reimplementation of libdjb, dietlibc version
- libowfat0 (0.30-2)
- Reimplementation of libdjb, shared library
- libowl-directsemantics-perl (0.001-2)
- representation of the direct semantics of OWL2
- libowncloudsync-dev (2.5.1.10973+dfsg-1)
- development files for owncloudsync
- libowncloudsync0 (2.5.1.10973+dfsg-1)
- ownCloudSync folder synchronization - libraries
- libownet-3.2-3 (3.2p3+dfsg1-2+deb10u1)
- owserver protocol library
- libownet-dev (3.2p3+dfsg1-2+deb10u1)
- owserver protocol library (development files)
- libownet-perl (3.2p3+dfsg1-2+deb10u1)
- Perl module for accessing 1-wire networks
- libownet-php (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: PHP OWNet library
- liboxford-calendar-perl (2.11-1)
- University of Oxford calendar conversion routines
- liboxli-dev (2.1.2+dfsg-6)
- in-memory DNA sequence analysis dev library
- liboxli1 (2.1.2+dfsg-6)
- in-memory DNA sequence analysis library from the khmer project
- liboxygenstyle5-5 (4:5.14.5-1)
- style library for the Oxygen desktop theme
- liboxygenstyleconfig5-5 (4:5.14.5-1)
- style library configuration for the Oxygen desktop theme
- libp11-3 (0.4.9-4)
- pkcs#11 convenience library
- libp11-dev (0.4.9-4)
- pkcs#11 convenience library - development files
- libp11-kit-dev (0.23.15-2+deb10u1)
- library for loading and coordinating access to PKCS#11 modules - development
- libp11-kit0 (0.23.15-2+deb10u1)
- library for loading and coordinating access to PKCS#11 modules - runtime
- libp4est-1.1 (1.1-5+b1)
- Algorithms for Parallel Adaptive Mesh Refinement - shared library
- libp4est-dev (1.1-5+b1)
- Algorithms for Parallel Adaptive Mesh Refinement - development files
- libp4est-sc-1.1 (1.1-5+b1)
- Algorithms for Parallel Adaptive Mesh Refinement - support library
- libp8-platform
- virtueel pakket geboden door libp8-platform2
- libp8-platform-dev (2.1.0.1+dfsg1-2)
- Pulse-Eight's platform support library -- development files
- libp8-platform-dev
- virtueel pakket geboden door libp8-platform-dev
- libp8-platform2 (2.1.0.1+dfsg1-2)
- Pulse-Eight's platform support library
- libpa-bench-camlp4-dev (113.00.00-2+b2 [armhf], 113.00.00-2+b1 [amd64, arm64, i386])
- OCaml syntax extension for writing inline benchmarks
- libpa-bench-camlp4-dev-3gwb2
- virtueel pakket geboden door libpa-bench-camlp4-dev
- libpa-bench-camlp4-dev-5fbo9
- virtueel pakket geboden door libpa-bench-camlp4-dev
- libpa-bench-camlp4-dev-gugy9
- virtueel pakket geboden door libpa-bench-camlp4-dev
- libpa-bench-camlp4-dev-zu5m0
- virtueel pakket geboden door libpa-bench-camlp4-dev
- libpa-bench-ocaml-dev
- virtueel pakket geboden door libpa-bench-camlp4-dev
- libpa-ounit-camlp4-dev (113.00.00-2+b2 [armhf], 113.00.00-2+b1 [amd64, arm64, i386])
- OCaml Syntax extension for writing inline tests
- libpa-ounit-camlp4-dev-cozf7
- virtueel pakket geboden door libpa-ounit-camlp4-dev
- libpa-ounit-camlp4-dev-dgn06
- virtueel pakket geboden door libpa-ounit-camlp4-dev
- libpa-ounit-camlp4-dev-uth18
- virtueel pakket geboden door libpa-ounit-camlp4-dev
- libpa-ounit-camlp4-dev-ykyy3
- virtueel pakket geboden door libpa-ounit-camlp4-dev
- libpa-ounit-ocaml-dev
- virtueel pakket geboden door libpa-ounit-camlp4-dev
- libpackage-constants-perl (0.06-1)
- module to list constants defined in a package
- libpackage-deprecationmanager-perl (0.17-1)
- module for managing deprecation warnings for Perl distributions
- libpackage-locator-perl (0.10-2)
- module to find a package among CPAN-like repositories
- libpackage-new-perl (0.07-2)
- simple base package from which to inherit
- libpackage-pkg-perl (0.0020-1)
- collection of package manipulation utilities
- libpackage-stash-perl (0.38-1)
- module providing routines for manipulating stashes
- libpackage-stash-xs-perl (0.29-1)
- Perl module providing routines for manipulating stashes (XS version)
- libpackage-variant-perl (1.003002-1)
- parameterizable packages
- libpackagekit-glib2-18 (1.1.12-5)
- Library for accessing PackageKit using GLib
- libpackagekit-glib2-dev (1.1.12-5)
- Library for accessing PackageKit using GLib (development files)
- libpackagekitqt5-1 (1.0.1-1)
- Library for accessing PackageKit using Qt5
- libpackagekitqt5-dev (1.0.1-1)
- Library for accessing PackageKit using Qt5 (development files)
- libpacketdump-dev
- virtueel pakket geboden door libpacketdump3-dev
- libpacketdump3 (3.0.21-1+b2)
- network packet parsing and human-readable display library
- libpacketdump3-dev (3.0.21-1+b2)
- development headers for the libpacketdump library
- libpacklib-lesstif1-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core GUI library (development files)
- libpacklib-lesstif1-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core GUI library
- libpacklib1-dev (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core library (development files)
- libpacklib1-gfortran (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - core library
- libpacparser-dev (1.3.6-1.1+b4)
- library to parse proxy auto-config files (development files)
- libpacparser1 (1.3.6-1.1+b4)
- library to parse proxy auto-config files
- libpacparser1-dev
- virtueel pakket geboden door libpacparser-dev
- libpadwalker-perl (2.3-1+b1)
- module to inspect and manipulate lexical variables
- libpagemaker-0.0-0 (0.0.4-1)
- Library for importing and converting PageMaker Documents
- libpagemaker-dev (0.0.4-1)
- Library for importing and converting PageMaker Documents -- development
- libpaje-dev (1.3.4-3)
- Parsing, manipulation and simulation of paje trace files (dev)
- libpaje2 (1.3.4-3)
- Parsing, manipulation and simulation of paje trace files
- libpal-java (1.5.1+dfsg-5)
- Phylogenetic Analysis Library
- libpal-java-doc (1.5.1+dfsg-5)
- Phylogenetic Analysis Library - documentation
- libpalm-pdb-perl (1.400-1)
- modules to parse Palm database files
- libpalm-perl (1:1.400-1)
- modules for manipulating pdb and prc database files
- libpam-abl (0.6.0-5)
- blocks hosts attempting a brute force attack
- libpam-afs-session (2.6-2)
- PAM module to set up a PAG and obtain AFS tokens
- libpam-alreadyloggedin (0.3-6)
- PAM module to skip password authentication for logged users
- libpam-apparmor (2.13.2-10)
- changehat AppArmor library as a PAM module
- libpam-barada (0.5-3.1+b8 [amd64], 0.5-3.1+b7 [armhf, i386], 0.5-3.1+b6 [arm64])
- PAM module to provide two-factor authentication based on HOTP
- libpam-biometric (1.0.2-1)
- Insertable authentication module for PAM
- libpam-blue (0.9.0-3)
- PAM module for local authenticaction with bluetooth devices
- libpam-cap (1:2.25-2)
- POSIX 1003.1e capabilities (PAM module)
- libpam-ccreds (10-7)
- Pam module to cache authentication credentials
- libpam-cgfs (1:3.1.0+really3.0.3-8+deb10u1) [security]
- PAM module for managing cgroups for LXC
- libpam-cgm (0.41-2)
- Central cgroup manager daemon (PAM module)
- libpam-cgroup (0.41-8.1)
- control and monitor control groups (PAM)
- libpam-chroot (0.9-4.3)
- Chroot Pluggable Authentication Module for PAM
- libpam-cracklib (1.3.1-5)
- PAM module to enable cracklib support
- libpam-dbus (0.2.1-3)
- A PAM module which asks the logged in user for confirmation
- libpam-dev
- virtueel pakket geboden door libpam0g-dev
- libpam-doc (1.3.1-5)
- Documentation of PAM
- libpam-duo (1.9.21-1.1)
- PAM module for Duo Security two-factor authentication
- libpam-elogind (239.3+20190131-1+debian1)
- elogind PAM module
- libpam-encfs (0.1.4.4-10)
- PAM module to automatically mount encfs filesystems on login
- libpam-fprintd (0.8.1-1)
- PAM module for fingerprint authentication through fprintd
- libpam-freerdp2 (2.0.0-2)
- PAM Module to auth against an RDP server using FreeRDPv2
- libpam-freerdp2-dev (2.0.0-2)
- PAM Module to auth against an RDP server using FreeRDPv2 (development files)
- libpam-fscrypt (0.2.4-2+deb10u1) [security]
- PAM module for Linux filesystem encryption
- libpam-geoip (1.1-4)
- PAM module checking access of source IPs with a GeoIP database
- libpam-gnome-keyring (3.28.2-5)
- PAM module to unlock the GNOME keyring upon login
- libpam-google-authenticator (20170702-2)
- Two-step verification
- libpam-heimdal (4.8-2+deb10u1)
- PAM module for Heimdal Kerberos
- libpam-krb5 (4.8-2+deb10u1)
- PAM module for MIT Kerberos
- libpam-krb5-migrate-heimdal (0.0.11-5)
- PAM module for migrating to Heimdal Kerberos
- libpam-krb5-migrate-mit (0.0.11-5)
- PAM module for migrating to MIT Kerberos
- libpam-kwallet-common (5.14.5-1)
- KWallet integration with PAM (common files)
- libpam-kwallet4 (5.14.5-1)
- KWallet (KDE 4) integration with PAM
- libpam-kwallet5 (5.14.5-1)
- KWallet (Kf5) integration with PAM
- libpam-ldap (186-4)
- Pluggable Authentication Module for LDAP
- libpam-ldap
- virtueel pakket geboden door libpam-ldapd
- libpam-ldapd (0.9.10-2)
- PAM module for using LDAP as an authentication service
- libpam-mkhomedir
- virtueel pakket geboden door libpam-modules
- libpam-mklocaluser (0.14)
- Configure PAM to create a local user if it do not exist already
- libpam-modules (1.3.1-5)
- Pluggable Authentication Modules for PAM
- libpam-modules-bin (1.3.1-5)
- Pluggable Authentication Modules for PAM - helper binaries
- libpam-motd
- virtueel pakket geboden door libpam-modules
- libpam-mount (2.16-9)
- PAM module that can mount volumes for a user session
- libpam-mount-bin (2.16-9)
- PAM module that can mount volumes for a user session - helper
- libpam-mysql (0.8.1-1+b1)
- PAM module interfacing with MySQL databases
- libpam-oath (2.6.1-1.3)
- OATH Toolkit libpam_oath PAM module
- libpam-ocaml (1.1-5+b2 [armhf], 1.1-5+b1 [amd64, arm64, i386])
- OCaml bindings for the PAM library (runtime)
- libpam-ocaml-1eju6
- virtueel pakket geboden door libpam-ocaml
- libpam-ocaml-dev (1.1-5+b2 [armhf], 1.1-5+b1 [amd64, arm64, i386])
- OCaml bindings for the PAM library (development files)
- libpam-ocaml-dev-1eju6
- virtueel pakket geboden door libpam-ocaml-dev
- libpam-ocaml-dev-nz9r0
- virtueel pakket geboden door libpam-ocaml-dev
- libpam-ocaml-nz9r0
- virtueel pakket geboden door libpam-ocaml
- libpam-opensc
- virtueel pakket geboden door libpam-p11
- libpam-otpw (1.5-2)
- Use OTPW for PAM authentication
- libpam-p11 (0.2.0-2)
- PAM module for using PKCS#11 smart cards
- libpam-passwdqc (1.3.0-1+b1)
- PAM module for password strength policy enforcement
- libpam-pkcs11 (0.6.9-3+b1)
- Fully featured PAM module for using PKCS#11 smart cards
- libpam-poldi (0.4.2+git20161115.553060d-1)
- PAM module allowing authentication using a OpenPGP smartcard
- libpam-pwdfile (1.0-1+b1)
- PAM module allowing authentication via an /etc/passwd-like file
- libpam-pwquality (1.4.0-3)
- PAM module to check password strength
- libpam-python (1.0.6-1.1+deb10u1)
- Enables PAM modules to be written in Python
- libpam-python-doc (1.0.6-1.1+deb10u1)
- Documentation for the bindings provided by libpam-python
- libpam-radius-auth (1.4.0-3~deb10u1)
- PAM RADIUS authentication module
- libpam-runtime (1.3.1-5)
- Runtime ondersteuning voor de PAM bibliotheek
- libpam-script (1.1.9-4)
- PAM module which allows executing a script
- libpam-shield (0.9.6-1.3+b2)
- locks out remote attackers trying password guessing
- libpam-shishi (1.0.2-6.2)
- PAM module for Shishi Kerberos v5
- libpam-slurm (18.08.5.2-1+deb10u2)
- PAM module to authenticate using the SLURM resource manager
- libpam-snapper (0.8.2-1)
- PAM module for Linux filesystem snapshot management tool
- libpam-ssh (2.3+ds-1)
- Authenticate using SSH keys
- libpam-ssh-agent-auth (0.10.3-3)
- PAM Authentication via forwarded ssh-agent
- libpam-sss (1.16.3-3.2+deb10u2) [security]
- Pam module for the System Security Services Daemon
- libpam-systemd (241-7~deb10u10) [security]
- system and service manager - PAM module
- libpam-tacplus (1.3.8-2+deb10u1)
- PAM module for using TACACS+ as an authentication service
- libpam-tmpdir (0.09+b2)
- automatic per-user temporary directories
- libpam-u2f (1.0.7-1+deb10u1)
- universal 2nd factor (U2F) PAM module
- libpam-ufpidentity (1.0-1)
- PAM library for UFP identity
- libpam-umask
- virtueel pakket geboden door libpam-modules
- libpam-winbind (2:4.9.5+dfsg-5+deb10u5) [security]
- Windows domain authentication integration plugin
- libpam-wrapper (1.0.7-1+b1)
- Tool to test PAM applications and PAM modules
- libpam-x2go (0.0.2.0-2)
- PAM Module to check credentials against X2Go servers
- libpam-x2go-dev (0.0.2.0-2)
- PAM Module to check credentials against X2Go servers (development files)
- libpam-yubico (2.26-1)
- two-factor password and YubiKey OTP PAM module
- libpam0g (1.3.1-5)
- Pluggable Authentication Modules library
- libpam0g-dev (1.3.1-5)
- Development files for PAM
- libpandoc-elements-perl (0.38-1)
- create and process Pandoc documents
- libpandoc-wrapper-perl (0.9.0-1)
- wrapper for the mighty Pandoc document converter
- libpanel-applet-dev (3.30.0-2)
- library for GNOME Panel applets - development files
- libpanel-applet-doc (3.30.0-2)
- library for GNOME Panel applets - documentation files
- libpanel-applet3 (3.30.0-2)
- library for GNOME Panel applets
- libpango-1.0-0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text
- libpango-perl (1.227-3+b1)
- Perl module to layout and render international text
- libpango1.0-0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text (transitional package)
- libpango1.0-dev (1.42.4-8~deb10u1)
- Development files for the Pango
- libpango1.0-doc (1.42.4-8~deb10u1)
- Documentation files for the Pango
- libpango1.0-udeb (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text - minimal runtime
- libpango3.0-cil (2.99.3-3)
- CLI binding for Pango
- libpangocairo-1.0-0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text
- libpangoft2-1.0-0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text
- libpangomm-1.4-1v5 (2.42.0-2)
- C++ Wrapper for pango (shared libraries)
- libpangomm-1.4-dev (2.42.0-2)
- C++ Wrapper for pango (development files)
- libpangomm-1.4-doc (2.42.0-2)
- C++ Wrapper for pango (documentation)
- libpangox-1.0-0 (0.0.2-5+b2)
- pango library X backend
- libpangox-1.0-dev (0.0.2-5+b2)
- pango library X backend - development files
- libpangoxft-1.0-0 (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text
- libpano13-3 (2.9.19+dfsg-3+deb10u1)
- panorama tools library
- libpano13-bin (2.9.19+dfsg-3+deb10u1)
- panorama tools utilities
- libpano13-dev (2.9.19+dfsg-3+deb10u1)
- panorama tools library development files
- libpantomime-clojure (2.1.0+dfsg-1)
- Clojure library dealing with MIME types
- libpantomime-dev (1.3.0+dfsg1-3)
- GNUstep framework for mail handling (development files)
- libpantomime1.3 (1.3.0+dfsg1-3)
- GNUstep framework for mail handling (runtime library)
- libpaper-dev (1.1.28)
- library for handling paper characteristics (development files)
- libpaper-utils (1.1.28)
- library for handling paper characteristics (utilities)
- libpaper1 (1.1.28)
- library for handling paper characteristics
- libpaperclips-java (1.0.4-2)
- Simplified Java Printing Support for SWT
- libpaperclips-java-doc (1.0.4-2)
- Documentation for libpaperclips-java
- libpaperg
- virtueel pakket geboden door libpaper1
- libpaperg-dev
- virtueel pakket geboden door libpaper-dev
- libpapi-dev (5.7.0+dfsg-2)
- PAPI development files (headers and API documentation)
- libpapi5.7 (5.7.0+dfsg-2)
- PAPI runtime (shared libraries)
- libpaps-dev (0.6.8-7.1)
- Development files for libpaps
- libpaps0 (0.6.8-7.1)
- UTF-8 to PostScript converter library using Pango
- libpapyrus3-dev (3.7.1+dfsg-3)
- DICOM compatible file format library
- libpaq-dev (1.0.5-4+b1)
- library for parsing and querying RDF data (header files)
- libpaq0 (1.0.5-4+b1)
- library for parsing and querying RDF data
- libpar-dist-perl (0.49-2)
- perl module to create and manipulate PAR distributions
- libpar-packer-perl (1.047-1+b2)
- utility for creating PAR archives and stand-alone executables
- libpar-perl (1.015-1)
- Perl redistributable module packaging framework
- libparallel-forkmanager-perl (2.02-1)
- simple parallel processing fork manager for Perl
- libparallel-iterator-perl (1.00-2)
- Simple parallel execution
- libparallel-prefork-perl (0.17-1)
- simple prefork server framework
- libparallel-runner-perl (0.013-2)
- Perl module to manage running things in parallel processes
- libparams-callbackrequest-perl (1.20-2)
- handler for functional and object-oriented callbacks in Perl
- libparams-check-perl (= 0.38)
- virtueel pakket geboden door perl
- libparams-classify-perl (0.015-1+b1)
- Perl module for argument type classification
- libparams-coerce-perl (0.14-2)
- Perl module to permit parameter coercion for classes
- libparams-util-perl (1.07-3+b4)
- Perl extension for simple stand-alone param checking functions
- libparams-validate-perl (1.29-1+b1)
- Perl module to validate parameters to Perl method/function calls
- libparams-validationcompiler-perl (0.30-1)
- module to build an optimized subroutine parameter validator
- libparanamer-java (2.8-4)
- Java library to access method parameter names at runtime
- libparanamer-java-doc (2.8-4)
- Java library to access method parameter names at runtime -- docs
- libparanamer-maven-plugin-java (2.8-4)
- Paranamer Maven Plugin
- libparanoid-perl (2.06-1)
- module for safer, more secure programming
- libparboiled-java (1.1.7-2)
- Parser library based on Parsing Expression Grammars (PEGs)
- libparent-perl (= 0.236)
- virtueel pakket geboden door perl
- libpari-dev (2.11.1-2)
- PARI/GP Computer Algebra System development files
- libpari-gmp-tls6 (2.11.1-2)
- PARI/GP Computer Algebra System shared library
- libpari-gmp-tls6-dev
- virtueel pakket geboden door libpari-dev
- libparistraceroute-dev (0.93+git20160927-1)
- network measurement tool library (development files)
- libparistraceroute1 (0.93+git20160927-1)
- network measurement tool library
- libparlatype-dev (1.5.6-1)
- Library for Parlatype - development version
- libparlatype-doc (1.5.6-1)
- Documentation files for the Parlatype library
- libparlatype1 (1.5.6-1)
- Library for Parlatype - runtime version
- libparmap-ocaml (1.0~rc10-1)
- small OCaml library allowing to exploit multicore architectures
- libparmap-ocaml-0ssj0
- virtueel pakket geboden door libparmap-ocaml
- libparmap-ocaml-dev (1.0~rc10-1)
- small OCaml library allowing to exploit multicore architectures
- libparmap-ocaml-dev-0ssj0
- virtueel pakket geboden door libparmap-ocaml-dev
- libparmap-ocaml-dev-eue62
- virtueel pakket geboden door libparmap-ocaml-dev
- libparmap-ocaml-eue62
- virtueel pakket geboden door libparmap-ocaml
- libparmetis-dev (4.0.3-5+b1) [non-free]
- Parallel Graph Partitioning and Sparse Matrix Ordering Libs: Devel
- libparmetis4.0 (4.0.3-5+b1) [non-free]
- Parallel Graph Partitioning and Sparse Matrix Ordering Shared Libs
- libparpack2 (3.7.0-2)
- Parallel subroutines to solve large scale eigenvalue problems
- libparpack2-dev (3.7.0-2)
- Parallel subroutines to solve large scale eigenvalue problems (development)
- libparse-bbcode-perl (0.15-1)
- Perl module to parse BBCode and render it as HTML or text
- libparse-binary-perl (0.11-1)
- Binary data structure parser
- libparse-cpan-meta-perl (= 2.150010)
- virtueel pakket geboden door perl
- libparse-cpan-packages-perl (2.40-1)
- module to parse the CPAN Packages file
- libparse-debcontrol-perl (2.005-4)
- parser for debian control-like files
- libparse-debian-packages-perl (0.03-2)
- module for parsing the data from a Debian Packages.gz
- libparse-debianchangelog-perl (1.2.0-13)
- parse Debian changelogs and output them in other formats
- libparse-dia-sql-perl (0.30-1)
- module for parsing Dia diagram files and generating SQL
- libparse-dmidecode-perl (0.03-2)
- interface to SMBIOS using dmidecode
- libparse-edid-perl (1.0.7-1)
- extended display identification data (EDID) parser
- libparse-errorstring-perl-perl (0.27-1)
- module for parsing error messages from the Perl interpreter
- libparse-exuberantctags-perl (1.02-1+b6)
- exuberant ctags parser for Perl
- libparse-fixedlength-perl (5.39-2)
- Perl module to parse a string containing fixed length fields
- libparse-http-useragent-perl (0.42-1)
- parser for the HTTP User Agent string
- libparse-keyword-perl (0.08-2+b4)
- write syntax extensions in perl (with only limited use)
- libparse-mediawikidump-perl (1.0.6-2)
- Perl module to parse MediaWiki dump files
- libparse-method-signatures-perl (1.003019-1)
- Perl module to parse Perl6-like method signatures
- libparse-mime-perl (1.003-2)
- parse mime-types, match against media ranges
- libparse-nessus-nbe-perl (1.1-1)
- module to extract specific data from Nessus NBE files
- libparse-netstat-perl (0.14-1)
- module to parse the output of the "netstat" command
- libparse-plainconfig-perl (3.05-1)
- parser for plain-text configuration files
- libparse-pmfile-perl (0.41-1)
- module to parse .pm file as PAUSE does
- libparse-recdescent-perl (1.967015+dfsg-2)
- Perl module to create and use recursive-descent parsers
- libparse-syslog-perl (1.10-3)
- perl module for parsing syslog entries
- libparse-win32registry-perl (1.0-2+deb10u1)
- Perl module for parsing Windows registry files
- libparse-yapp-perl (1.21-2)
- Perl module for creating fully reentrant LALR parser OO Perl modules
- libparser++-dev (0.2.3-2)
- Generic parser base class for C++ (development files)
- libparser-mgc-perl (0.16-1)
- builder for simple recursive-descent parsers
- libparsington-java (1.0.1-1)
- mathematical expression parser for Java
- libparsington-java-doc (1.0.1-1)
- documentation for parsington
- libparsley-clojure (0.9.3-2)
- DSL for creating total and truly incremental parsers in Clojure
- libparted
- virtueel pakket geboden door libparted2
- libparted-dev (3.2-25)
- disk partition manipulator - development files
- libparted-fs-resize0 (3.2-25)
- disk partition manipulator - shared FS resizing library
- libparted-fs-resize0-udeb (3.2-25)
- disk partition manipulator - FS resizing library udeb
- libparted-i18n (3.2-25)
- disk partition manipulator - i18n support
- libparted2 (3.2-25)
- disk partition manipulator - shared library
- libparted2-udeb (3.2-25)
- disk partition manipulator - library udeb
- libpasswd-unix-perl (0.700-1)
- object-oriented and function interface to standard Unix files
- libpasswdqc-dev (1.3.0-1)
- password checking and policy enforcement library (devel)
- libpasswdqc0 (1.3.0-1+b1)
- password strength checking and policy enforcement library
- libpath-class-file-stat-perl (0.05-1)
- cache of stat calls for a Path::Class::File object
- libpath-class-perl (0.37-1)
- module for cross-platform path specification manipulation
- libpath-finddev-perl (0.5.3-1)
- Perl module to find a development source tree somewhere in an upper hierarchy
- libpath-isdev-perl (1.001003-1)
- Perl module to determine if a given Path resembles a development source tree
- libpath-iter-perl (0.2-1)
- module for simple efficient path iteration
- libpath-iterator-rule-perl (1.014-1)
- iterative, recursive file finder
- libpath-router-perl (0.15-1)
- tool for routing paths
- libpath-tiny-perl (0.108-1)
- file path utility
- libpath-utils-dev (0.6.1-2)
- Development files for libpath_utils
- libpath-utils1 (0.6.1-2)
- Filesystem Path Utilities
- libpathplan4 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - pathplan library
- libpawlib-lesstif3-dev (1:2.14.04.dfsg.2-9.1+b4)
- CERNLIB PAW library (Lesstif-dependent part - development files)
- libpawlib-lesstif3-gfortran (1:2.14.04.dfsg.2-9.1+b4)
- CERNLIB PAW library (Lesstif-dependent part)
- libpawlib2-dev (1:2.14.04.dfsg.2-9.1+b4)
- CERNLIB PAW library - portion without Lesstif (development files)
- libpawlib2-gfortran (1:2.14.04.dfsg.2-9.1+b4)
- CERNLIB PAW library - portion without Lesstif dependencies
- libpbbam-dev (0.19.0+dfsg-4)
- Pacific Biosciences binary alignment/map (BAM) library (headers)
- libpbbam0.19.0 (0.19.0+dfsg-4)
- Pacific Biosciences binary alignment/map (BAM) library
- libpbcopper-dev (0.4.1+dfsg-2)
- data structures, algorithms, and utilities for C++ applications -- header files
- libpbdata (5.3.1+dfsg-2.1)
- tools for handling PacBio sequences
- libpbdata-dev (5.3.1+dfsg-2.1)
- tools for handling PacBio sequences (development files)
- libpbihdf (5.3.1+dfsg-2.1)
- tools for handling PacBio hdf5 files
- libpbihdf-dev (5.3.1+dfsg-2.1)
- tools for handling PacBio hdf5 files (development files)
- libpbkdf2-tiny-perl (0.005-2)
- Minimalist PBKDF2 (RFC 2898) with HMAC-SHA1 or HMAC-SHA2
- libpbseq (5.3.1+dfsg-2.1)
- library for analyzing PacBio sequencing data
- libpbseq-dev (5.3.1+dfsg-2.1)
- library for analyzing PacBio sequencing data (development files)
- libpcap-dev (1.8.1-6+deb10u1)
- development library for libpcap (transitional package)
- libpcap0.8 (1.8.1-6+deb10u1)
- system interface for user-level packet capture
- libpcap0.8-dbg (1.8.1-6+deb10u1)
- debugging symbols for libpcap0.8
- libpcap0.8-dev (1.8.1-6+deb10u1)
- development library and header files for libpcap0.8
- libpcaudio-dev (1.1-3+deb10u1)
- C API to different audio devices - development files
- libpcaudio0 (1.1-3+deb10u1)
- C API to different audio devices - shared library
- libpcc-dev (1.2.0~DEVEL+20180604-2.1)
- Portable C Compiler (development files)
- libpcg-cpp-dev (0.98.1-2)
- compile-time library for PCG random number generation
- libpci-dev (1:3.5.2-1)
- Linux PCI Utilities (development files)
- libpci2
- virtueel pakket geboden door libpci3
- libpci3 (1:3.5.2-1)
- Linux PCI Utilities (shared library)
- libpci3-udeb (1:3.5.2-1)
- Linux PCI Utilities (shared library)
- libpciaccess-dev (0.14-1)
- Generic PCI access library for X - development files
- libpciaccess0 (0.14-1)
- Generic PCI access library for X
- libpciaccess0-udeb (0.14-1)
- Generic PCI access library for X
- libpcl-apps1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - apps library
- libpcl-common1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - common library
- libpcl-conversions-dev (0.2.1-4)
- Robot OS library to convert from/to PCL data types
- libpcl-dev (1.9.1+dfsg1-10)
- Point Cloud Library - development files
- libpcl-doc (1.9.1+dfsg1-10)
- Point Cloud Library - documentation
- libpcl-features1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - features library
- libpcl-filters1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - filters library
- libpcl-io1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - I/O library
- libpcl-kdtree1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - kdtree library
- libpcl-keypoints1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - keypoints library
- libpcl-ml1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - ml library
- libpcl-msgs-dev (0.2.0-8)
- C/C++ headers for PCL-related Robot OS Messages
- libpcl-octree1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - octree library
- libpcl-outofcore1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - outofcore library
- libpcl-people1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - people library
- libpcl-recognition1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - recognition library
- libpcl-registration1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - registration library
- libpcl-sample-consensus1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - sample consensus library
- libpcl-search1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - search library
- libpcl-segmentation1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - segmentation library
- libpcl-stereo1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - stereo library
- libpcl-surface1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - surface library
- libpcl-tracking1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - tracking library
- libpcl-visualization1.9 (1.9.1+dfsg1-10)
- Point Cloud Library - visualization library
- libpcl1 (1.12-1)
- Portable Coroutine Library (PCL)
- libpcl1-dev (1.12-1)
- Portable Coroutine Library (PCL), development files
- libpcp-gui2 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot graphical client tools library
- libpcp-gui2-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot graphical client tools library and headers
- libpcp-import-perl (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot log import Perl module
- libpcp-import1 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot data import library
- libpcp-import1-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot data import library and headers
- libpcp-logsummary-perl (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot historical log summary module
- libpcp-mmv-perl (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Memory Mapped Value Perl module
- libpcp-mmv1 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Memory Mapped Value client library
- libpcp-mmv1-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Memory Mapped Value library and headers
- libpcp-pmda-perl (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Domain Agent Perl module
- libpcp-pmda3 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Domain Agent library
- libpcp-pmda3-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Domain Agent library and headers
- libpcp-trace2 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot application tracing library
- libpcp-trace2-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot application tracing library and headers
- libpcp-web1 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot data import library
- libpcp-web1-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot web tooling
- libpcp3 (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot library
- libpcp3-dev (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot library and headers
- libpcre++-dev (0.9.5-6.1+b11)
- C++ wrapper class for pcre (development)
- libpcre++0v5 (0.9.5-6.1+b11)
- C++ wrapper class for pcre (runtime)
- libpcre-ocaml (7.2.3-2+b2 [armhf], 7.2.3-2+b1 [amd64, arm64, i386])
- OCaml bindings for PCRE (runtime)
- libpcre-ocaml-2h5n2
- virtueel pakket geboden door libpcre-ocaml
- libpcre-ocaml-dev (7.2.3-2+b2 [armhf], 7.2.3-2+b1 [amd64, arm64, i386])
- OCaml bindings for PCRE (Perl Compatible Regular Expression)
- libpcre-ocaml-dev-2h5n2
- virtueel pakket geboden door libpcre-ocaml-dev
- libpcre-ocaml-dev-jd8d7
- virtueel pakket geboden door libpcre-ocaml-dev
- libpcre-ocaml-jd8d7
- virtueel pakket geboden door libpcre-ocaml
- libpcre16-3 (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - 16 bit runtime files
- libpcre2-16-0 (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - 16 bit runtime files
- libpcre2-32-0 (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - 32 bit runtime files
- libpcre2-8-0 (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library- 8 bit runtime files
- libpcre2-8-0-udeb (10.32-5)
- New Perl Compatible Regular Expression Library- 8 bit runtime files (udeb)
- libpcre2-dbg (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - debug symbols
- libpcre2-dev (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - development files
- libpcre2-posix0 (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - posix-compatible runtime files
- libpcre3 (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - runtime files
- libpcre3-dbg (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - debug symbols
- libpcre3-dev (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - development files
- libpcre3-udeb (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - runtime files (udeb)
- libpcre32-3 (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - 32 bit runtime files
- libpcrecpp0v5 (2:8.39-12)
- Old Perl 5 Compatible Regular Expression Library - C++ runtime files
- libpcsc-perl (1.4.14-4+b1)
- Perl interface to the PC/SC smart card library
- libpcscada0.7.4 (0.7.4-2)
- Ada bindings to PC/SC middleware
- libpcscada6-dev (0.7.4-2)
- Ada bindings to PC/SC middleware (development)
- libpcsclite-dev (1.8.24-1)
- Middleware to access a smart card using PC/SC (development files)
- libpcsclite1 (1.8.24-1)
- Middleware to access a smart card using PC/SC (library)
- libpdal-base7 (1.8.0+ds-1+b2)
- Point Data Abstraction Library - libpdal_base
- libpdal-dev (1.8.0+ds-1+b2)
- Point Data Abstraction Library - development files
- libpdal-plugin-faux (1.8.0+ds-1+b2)
- Point Data Abstraction Library - Faux plugin
- libpdal-plugin-greyhound (1.8.0+ds-1+b2)
- Point Data Abstraction Library - Greyhound plugin
- libpdal-plugin-icebridge (1.8.0+ds-1+b2)
- Point Data Abstraction Library - Icebridge plugin
- libpdal-plugin-pgpointcloud (1.8.0+ds-1+b2)
- Point Data Abstraction Library - PostgreSQL PointCloud plugin
- libpdal-plugin-python (1.8.0+ds-1+b2)
- Point Data Abstraction Library - Python plugin
- libpdal-plugin-sqlite (1.8.0+ds-1+b2)
- Point Data Abstraction Library - SQLite plugin
- libpdal-plugins (1.8.0+ds-1+b2)
- Point Data Abstraction Library - plugins
- libpdal-util7 (1.8.0+ds-1+b2)
- Point Data Abstraction Library - libpdal_util
- libpdf-api2-perl (2.033-1)
- module for creating or modifying PDF documents in Perl
- libpdf-api2-simple-perl (1.1.4u-3)
- simple interface for manipulating PDF files
- libpdf-create-perl (1.43-1)
- Perl module to create PDF files
- libpdf-fdf-simple-perl (0.21-3)
- Perl module to read and write (Acrobat) FDF files
- libpdf-report-perl (1.36-1)
- simplified PDF report generator
- libpdf-reuse-barcode-perl (0.07-1)
- Create barcodes for PDF documents with PDF::Reuse
- libpdf-reuse-perl (0.39-1)
- Reuse and mass produce PDF documents
- libpdf-table-perl (1:0.10.1-1)
- utility class for building table layouts in a PDF::API2 object
- libpdf-writer-perl (0.06-1)
- PDF writer abstraction layer
- libpdfbox-java (1:1.8.16-2)
- PDF library for Java
- libpdfbox-java-doc (1:1.8.16-2)
- PDF library for Java (documentation)
- libpdfbox2-java (2.0.13-2)
- PDF library for Java
- libpdfbox2-java-doc (2.0.13-2)
- PDF library for Java (documentation)
- libpdflib804-2-dev (20061220+dfsg3-3.1+b4)
- [Physics] Comprehensive library of parton density functions
- libpdflib804-2-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] Comprehensive library of parton density functions
- libpdfrenderer-java (0.9.0-1)
- Java PDF renderer and viewer
- libpdl-ccs-perl (1.23.12-1+b1)
- module to provide various utilities for PDL CSS
- libpdl-graphics-gnuplot-perl (2.011-3)
- gnuplot-based plotting backend for PDL
- libpdl-io-hdf5-perl (1:0.73-5+b2)
- PDL Interface to the HDF5 Data Format
- libpdl-io-matlab-perl (0.005-3+b1)
- PDL support to read/write Matlab format data files
- libpdl-linearalgebra-perl (0.12-3+b1)
- Linear Algebra utils for PDL
- libpdl-netcdf-perl (4.20-6+b1)
- Netcdf-IO for PDL
- libpdl-stats-perl (0.75-2+b1)
- collection of statistics modules in Perl Data Language
- libpdl-vectorvalued-perl (1.0.9-1+b1)
- module to provide some utilities for vector-valued PDLs
- libpe-rules26 (2.0.1-5+deb10u2)
- cluster resource manager Policy Engine rules library
- libpe-status28 (2.0.1-5+deb10u2)
- cluster resource manager Policy Engine status library
- libpeas-1.0-0 (1.22.0-4)
- Application plugin library
- libpeas-1.0-python2loader (1.22.0-4)
- Application plugin library (Python 2 support)
- libpeas-common (1.22.0-4)
- Application plugin library (common files)
- libpeas-dev (1.22.0-4)
- Application plugin library (development files)
- libpeas-doc (1.22.0-4)
- Application plugin library (documentation)
- libpegdown-java (1.6.0-1)
- Lightweight Markdown processing library
- libpegex-perl (0.70-1)
- Acmeist PEG Parser Framework
- libpengine-dev (2.0.1-5+deb10u2)
- transitional package
- libpengine27 (2.0.1-5+deb10u2)
- cluster resource manager Policy Engine library
- libpentaho-reporting-flow-engine-java (0.9.4-5)
- report library for java
- libpentaho-reporting-flow-engine-java-doc (0.9.4-5)
- report library for java documentation
- libpeony-extension
- virtueel pakket geboden door libpeony-extension1
- libpeony-extension-dev (1.1.5-1+b1)
- libraries for Peony components (development files)
- libpeony-extension-doc (1.1.5-1)
- libraries for Peony components (API documentation files)
- libpeony-extension1 (1.1.5-1+b1)
- libraries for Peony components
- libperformance-dev (0.5.0-1+b2)
- GNUstep performance library (development files)
- libperformance0.5 (0.5.0-1+b2)
- GNUstep performance library (runtime library)
- libperformance0.5-dbg (0.5.0-1+b2)
- GNUstep performance library (debugging symbols)
- libperinci-cmdline-perl (1.811.0-1)
- Rinci/Riap-based command-line application framework
- libperinci-object-perl (0.310-1)
- module that provides a object-oriented interface for Rinci
- libperinci-sub-normalize-perl (0.200-1)
- Perl module to normalize Rinci function metadata
- libperinci-sub-util-perl (0.46-1)
- Perl module that is a helper to write functions
- libperinci-sub-util-propertymodule-perl (0.46-1)
- module to detect which property modules are used with Perinci
- libperl-critic-freenode-perl (0.028-1)
- Perl::Critic policies inspired by #perl on freenode IRC
- libperl-critic-perl (1.132-1)
- Perl module to critique code for best practices
- libperl-critic-policy-variables-prohibitlooponhash-perl (0.007-1)
- Perl::Critic policy to avoid writing loops on hashes
- libperl-critic-pulp-perl (96-1)
- add-on policies for Perl::Critic
- libperl-destruct-level-perl (0.02-2+b6)
- Perl module to change Perl's destruction level
- libperl-dev (5.28.1-6+deb10u1)
- Perl library: development files
- libperl-metrics-simple-perl (0.18-1)
- module to provide metrics of Perl code
- libperl-minimumversion-perl (1.38-2)
- module to determine minimum required version of perl required
- libperl-osnames-perl (0.11-1)
- Perl module to list possible $^O ($OSNAME) values with description
- libperl-ostype-perl (= 1.010)
- virtueel pakket geboden door perl
- libperl-prereqscanner-perl (1.023-1)
- module for extracting prerequisites from Perl code
- libperl-version-perl (1.013-2)
- module to parse and manipulate Perl version strings
- libperl4-corelibs-perl (0.004-1+deb10u1)
- libraries historically supplied with Perl 4
- libperl4caml-ocaml (0.9.5-5+b5 [armhf], 0.9.5-5+b4 [amd64, arm64, i386])
- Use Perl code in OCaml programs, runtime library
- libperl4caml-ocaml-3lko6
- virtueel pakket geboden door libperl4caml-ocaml
- libperl4caml-ocaml-dev (0.9.5-5+b5 [armhf], 0.9.5-5+b4 [amd64, arm64, i386])
- Use Perl code in OCaml programs, development files
- libperl4caml-ocaml-dev-3lko6
- virtueel pakket geboden door libperl4caml-ocaml-dev
- libperl4caml-ocaml-dev-rkmb8
- virtueel pakket geboden door libperl4caml-ocaml-dev
- libperl4caml-ocaml-doc (0.9.5-5)
- Use Perl code in OCaml programs, documentation
- libperl4caml-ocaml-rkmb8
- virtueel pakket geboden door libperl4caml-ocaml
- libperl5.28 (5.28.1-6+deb10u1)
- shared Perl library
- libperl5i-0-perl
- virtueel pakket geboden door libperl5i-perl
- libperl5i-1-perl
- virtueel pakket geboden door libperl5i-perl
- libperl5i-2-perl
- virtueel pakket geboden door libperl5i-perl
- libperl5i-perl (2.13.2-1)
- pragma to fix as much of Perl 5 as possible
- libperl6-caller-perl (0.100-3)
- Perl6-like OO caller() interface for Perl 5
- libperl6-export-attrs-perl (0.000006-1)
- Perl 6 'is export(...)' trait as a Perl 5 attribute
- libperl6-export-perl (0.009-1)
- implementation of the Perl 6 'is export(...)' trait
- libperl6-form-perl (0.090-1)
- Perl 5 implementation of the Perl 6 'form' built-in
- libperl6-junction-perl (1.60000-1)
- module providing Perl6-style Junction operators in Perl5
- libperl6-say-perl (0.16-1)
- module to print without newline needed
- libperl6-slurp-perl (0.051005-1)
- module implementing the Perl 6 'slurp' built-in
- libperlanet-perl (1.1.3-1)
- program for creating programs that aggregate web feeds
- libperlbal-perl (1.80-3)
- Perlbal libraries; embed perlbal into another app
- (0.20-2+b8 [amd64, armhf, i386], 0.20-2+b6 [arm64])
- Perlbal extension for processing HTTP headers faster
- libperldoc-search-perl (0.01-3)
- Index and Search local Perl Documentation
- libperlio-eol-perl (0.17-1+b1)
- PerlIO layer for normalizing line endings
- libperlio-gzip-perl (0.19-1+b5)
- module providing a PerlIO layer to gzip/gunzip
- libperlio-layers-perl (0.011-2+b1)
- Perl module to query a filehandle's capabilities
- libperlio-utf8-strict-perl (0.007-2+b1)
- fast and correct UTF-8 Perl IO module
- libperlio-via-dynamic-perl (0.14-1)
- module to create dynamic PerlIO layers
- libperlio-via-symlink-perl (0.05-3)
- PerlIO layer for creating symlinks
- libperlio-via-timeout-perl (0.32-1)
- PerlIO layer that adds read & write timeout to a handle
- (4.0-5)
- Menu and Template (curses-based) UI for Perl
- libperlx-assert-perl (0.905-1)
- yet another assertion keyword
- libperlx-define-perl (0.101-4)
- cute syntax for defining constants
- libperlx-maybe-perl (1.201-1)
- return a pair only if they are both defined
- libperlx-maybe-xs-perl (1.001-2+b6 [amd64, armhf, i386], 1.001-2+b5 [arm64])
- XS backend for PerlX::Maybe
- libpetal-perl (2.25-1)
- Perl Template Attribute Language - TAL for perl
- libpetal-utils-perl (0.06-4)
- Useful template modifiers for Petal
- libpetsc-complex-dev (3.10.3+dfsg1-5)
- Virtual package depending on latest PETSc development package
- libpetsc-complex3.10 (3.10.3+dfsg1-5)
- Shared libraries for version 3.10 of PETSc with Complex Numbers
- libpetsc-complex3.10-dbg (3.10.3+dfsg1-5)
- Debugging libraries for PETSc
- libpetsc-complex3.10-dev (3.10.3+dfsg1-5)
- Static libraries, shared links, header files for PETSc
- libpetsc-real-dev (3.10.3+dfsg1-5)
- Virtual package depending on latest PETSc development package
- libpetsc-real3.10 (3.10.3+dfsg1-5)
- Shared libraries for version 3.10 of PETSc
- libpetsc-real3.10-dbg (3.10.3+dfsg1-5)
- Debugging libraries for PETSc
- libpetsc-real3.10-dev (3.10.3+dfsg1-5)
- Static libraries, shared links, header files for PETSc
- libpetsc3.10
- virtueel pakket geboden door libpetsc-real3.10
- libpetsc3.10-dev-common (3.10.3+dfsg1-5)
- Common header and support dev files for PETSc
- libpetsc3.10-dev-examples (3.10.3+dfsg1-5)
- Static libraries, shared links, header files for PETSc
- libpff-dev (20180714-1)
- library to access various ms outlook files formats (development)
- libpff1 (20180714-1)
- library to access various ms outlook files formats
- libpfm4 (4.10.1+git10-gd2a5b56-1)
- Library to program the performance monitoring events
- libpfm4-dev (4.10.1+git10-gd2a5b56-1)
- Development files for the libpfm4 library
- libpfqueue-dev (0.5.6-9+b3)
- interactive console-based tool to control MTA queues (development)
- libpfqueue0 (0.5.6-9+b3)
- interactive console-based tool to control MTA queues (library)
- libpfs-dev (2.1.0-3+b5)
- C++ library to read and write pfs files (development files)
- libpfs2 (2.1.0-3+b5)
- C++ library to read and write pfs files
- libpg-hstore-perl (1.06-2+b1)
- Perl module for working with PostgreSQLs HSTORE data type
- libpg-java
- virtueel pakket geboden door libpostgresql-jdbc-java
- libpg-java-doc
- virtueel pakket geboden door libpostgresql-jdbc-java-doc
- libpg-perl (1:2.1.1-7)
- Perl modules for PostgreSQL
- libpgf-dev (6.14.12-3.2)
- Progressive Graphics File (PGF) library - development files
- libpgf6 (6.14.12-3.2)
- Progressive Graphics File (PGF) library - runtime files
- libpgf6-dbg (6.14.12-3.2)
- Progressive Graphics File (PGF) library - debugging symbols
- libpgjava
- virtueel pakket geboden door libpostgresql-jdbc-java
- libpgm-5.2-0 (5.2.122~dfsg-3)
- OpenPGM shared library
- libpgm-dev (5.2.122~dfsg-3)
- OpenPGM development files
- libpgobject-perl (2.000002-1)
- base class for PG Object subclasses
- libpgobject-simple-perl (3.000002-1)
- minimalist stored procedure mapper based on LedgerSMB's DBObject
- libpgobject-simple-role-perl (2.000002-1)
- Moo/Moose mappers for minimalist PGObject framework
- libpgobject-type-bigfloat-perl (2.001-1)
- Math::BigFloat wrappers for PGObject classes
- libpgobject-type-bytestring-perl (1.2.3-1)
- wrapper for raw strings mapping to BYTEA columns
- libpgobject-type-datetime-perl (2.000001-1)
- DateTime Wrappers for PGObject
- libpgobject-type-json-perl (2.000001-2)
- JSON wrappers for PGObject
- libpgobject-util-dbadmin-perl (0.130.1-1)
- PostgreSQL Database Management Facilities for PGObject
- libpgobject-util-dbchange-perl (0.050.2-1)
- module to track applied change files in the PostgreSQL database
- libpgobject-util-dbmethod-perl (1.00.002-1)
- object mappings for the PGObject Framework
- libpgobject-util-pseudocsv-perl (2-1)
- PostgreSQL text representations parser
- libpgocaml-ocaml (2.3-1+b2)
- OCaml type-safe bindings for PostgreSQL (runtime)
- libpgocaml-ocaml-1lem7
- virtueel pakket geboden door libpgocaml-ocaml
- libpgocaml-ocaml-6bg65
- virtueel pakket geboden door libpgocaml-ocaml
- libpgocaml-ocaml-92a25
- virtueel pakket geboden door libpgocaml-ocaml
- libpgocaml-ocaml-dev (2.3-1+b2)
- OCaml type-safe bindings for PostgreSQL (development)
- libpgocaml-ocaml-dev-1lem7
- virtueel pakket geboden door libpgocaml-ocaml-dev
- libpgocaml-ocaml-dev-6bg65
- virtueel pakket geboden door libpgocaml-ocaml-dev
- libpgocaml-ocaml-dev-92a25
- virtueel pakket geboden door libpgocaml-ocaml-dev
- libpgocaml-ocaml-dev-kedq6
- virtueel pakket geboden door libpgocaml-ocaml-dev
- libpgocaml-ocaml-kedq6
- virtueel pakket geboden door libpgocaml-ocaml
- libpgp-sign-perl (0.20-4)
- Perl module to create detached PGP signatures
- libpgplot-perl (1:2.21-8)
- perl interface to the pgplot plotting library
- libpgplot0 (1.1.0-1)
- PGPLOT replacement shared lib based on giza
- libpgpool-dev (4.0.2-1)
- pgpool control protocol library - headers
- libpgpool0 (4.0.2-1)
- pgpool control protocol library
- libpgraphutil-smlnj (110.79-4)
- Portable graph utility library for SML Compilation Manager
- libpgsbox6 (6.2-2)
- Draw and label curvilinear coordinate grids with pgplot
- libpgtcl (1:2.6.1-1)
- Tcl client library binding for PostgreSQL
- libpgtcl-dev (1:2.6.1-1)
- Tcl client library binding for PostgreSQL - development files
- libpgtypes3 (11.22-0+deb10u2) [security]
- shared library libpgtypes for PostgreSQL 11
- libphobos-dev
- virtueel pakket geboden door libgphobos-dev
- libphobos2-ldc-dev
- virtueel pakket geboden door libphobos2-ldc-shared-dev
- libphobos2-ldc-shared-dev (1:1.12.0-1)
- LLVM D Compiler - Standard and runtime libraries, imports
- libphobos2-ldc-shared82 (1:1.12.0-1)
- LLVM D Compiler - Standard and runtime libraries
- libphodav-2.0-0 (2.2-3)
- WebDAV server implementation using libsoup
- libphodav-2.0-common (2.2-3)
- WebDAV server implementation using libsoup (common files)
- libphodav-2.0-dev (2.2-3)
- WebDAV server implementation using libsoup (development files)
- libphonenumber-dev (7.1.0-5+b4)
- parsing/formatting/validating phone numbers - development files
- libphonenumber7 (7.1.0-5+b4)
- parsing/formatting/validating phone numbers
- libphonenumber7-java (7.1.0-5)
- parsing/formatting/validating phone numbers - java
- libphonon-dev (4:4.10.2-1)
- multimedia framework from KDE using Qt 4 - development files
- libphonon4 (4:4.10.2-1)
- multimedia framework from KDE - core library
- libphonon4qt5-4 (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - core library
- libphonon4qt5-dev (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - development files
- libphonon4qt5experimental-dev (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - experimental development files
- libphonon4qt5experimental4 (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - experimental library (internal)
- libphononexperimental-dev (4:4.10.2-1)
- multimedia framework from KDE - experimental development files
- libphononexperimental4 (4:4.10.2-1)
- multimedia framework from KDE using Qt 4 - experimental library (internal)
- libphotos202-1-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo simulation of photon radiation in decays
- libphotos202-dev (20061220+dfsg3-3.1+b4)
- [Physics] Monte Carlo simulation of photon radiation in decays
- libphp-adodb (5.20.14-1+deb10u1)
- ADOdb is a PHP database abstraction layer library
- libphp-embed (2:7.3+69)
- HTML-embedded scripting language (Embedded SAPI library) (default)
- libphp-embed
- virtueel pakket geboden door libphp7.3-embed
- libphp-jabber (0.4.3-5)
- Object-oriented PHP interface for the Jabber/XMPP protocol
- libphp-jpgraph (1.5.2-13)
- Object oriented graph library for php
- libphp-jpgraph-examples (1.5.2-13)
- Object oriented graph library for php (examples)
- (0.72-11)
- provides an XML-based RSS parser in PHP
- libphp-phpmailer (6.0.6-0.1)
- full featured email transfer class for PHP
- libphp-predis
- virtueel pakket geboden door php-nrk-predis
- libphp-serialization-perl (0.34-2)
- Perl module to manipulate serialized PHP data structures
- libphp-simplepie (1.3.1+dfsg-3.1)
- RSS and Atom feed parsing in PHP
- libphp-snoopy (2.0.0-2)
- Snoopy is a PHP class that simulates a web browser
- libphp-swiftmailer (5.4.2-1.1)
- transitional dummy package
- libphp-swiftmailer
- virtueel pakket geboden door php-swiftmailer
- libphp7.3-embed (7.3.31-1~deb10u6) [security]
- HTML-embedded scripting language (Embedded SAPI library)
- libphtools2-dev (20061220+dfsg3-3.1+b4)
- [Physics] General purpose Monte Carlo routines (development files)
- libphtools2-gfortran (20061220+dfsg3-3.1+b4)
- [Physics] General purpose Monte Carlo routines
- libphutil (0~git20190207-1)
- Shared library for Arcanist and Phabricator
- libphysfs-1.0-0
- virtueel pakket geboden door libphysfs1
- libphysfs-dev (3.0.1-3.1)
- filesystem abstraction library for game programmers (development headers)
- libphysfs1 (3.0.1-3.1)
- filesystem abstraction library for game programmers
- libpiano-dev (2019.02.14-1)
- library to interface with Pandora radio -- development
- libpiano0 (2019.02.14-1)
- library to interface with Pandora radio -- runtime files
- libpicard-java (2.18.25+dfsg-2)
- Java library to manipulate SAM and BAM files
- libpicard-java-doc (2.18.25+dfsg-2)
- Documentation for the java picard library
- libpiccolo-java (1.2-1.1)
- toolkit for development of 2D structured graphics
- libpicocli-java (3.9.2-1)
- Tiny command line interpreter library for Java applications
- libpicocontainer-java (2.15+repack-1)
- Java library implementing the Dependency Injection pattern
- libpicocontainer-java-doc (2.15+repack-1)
- Java library implementing the Dependency Injection pattern -- docs
- libpigpiod-if-dev (1.68-3)
- Development headers for client libraries for Raspberry Pi GPIO control
- libpigpiod-if1 (1.68-3)
- Client library for Raspberry Pi GPIO control (deprecated)
- libpigpiod-if2-1 (1.68-3)
- Client library for Raspberry Pi GPIO control
- libpillowfight (0.2.4-2)
- Various image processing algorithms library
- libpillowfight-dev (0.2.4-2)
- Various image processing algorithms library - development files
- libpils2 (1.0.12-12)
- Reusable cluster libraries -- libpils2
- libpils2-dev (1.0.12-12)
- Reusable cluster development files -- libpils2
- libpinyin
- virtueel pakket geboden door libpinyin13
- libpinyin-common-dev (2.2.2-1)
- library to deal with PinYin / zhuyin - common development files
- libpinyin-data (2.2.2-1)
- Data for PinYin / zhuyin input method library
- libpinyin-dev
- virtueel pakket geboden door libpinyin13-dev
- libpinyin-utils (2.2.2-1)
- library to deal with PinYin - utilities
- libpinyin13 (2.2.2-1)
- library to deal with PinYin
- libpinyin13-dev (2.2.2-1)
- library to deal with PinYin - development files
- libpipebang-camlp4-dev (113.00.00-2+b2 [armhf], 113.00.00-2+b1 [amd64, arm64, i386])
- OCaml Syntax extension to transform pipe expressions
- libpipebang-camlp4-dev-otz43
- virtueel pakket geboden door libpipebang-camlp4-dev
- libpipebang-camlp4-dev-yl578
- virtueel pakket geboden door libpipebang-camlp4-dev
- libpipebang-ocaml-dev
- virtueel pakket geboden door libpipebang-camlp4-dev
- libpipeline-dev (1.5.1-2)
- pipeline manipulation library (development files)
- libpipeline1 (1.5.1-2)
- pipeline manipulation library
- libpipewire-0.2-1 (0.2.5-1)
- libraries for the PipeWire multimedia server
- libpipewire-0.2-dev (0.2.5-1)
- libraries for the PipeWire multimedia server - development
- libpipewire-0.2-doc (0.2.5-1)
- libraries for the PipeWire multimedia server - documentation
- libpisock-dev (0.12.5-dfsg-2+b3)
- development files for communicating with a PalmOS PDA
- libpisock9 (0.12.5-dfsg-2+b3)
- library for communicating with a PalmOS PDA
- libpisync1 (0.12.5-dfsg-2+b3)
- synchronization library for PalmOS devices
- libpithub-perl (0.01034-1)
- Github v3 API
- libpixelif-common (0.8.4-3)
- Provides localization and font data for libpixelif
- libpixelif-dev (0.8.4-3)
- Interface translating fizmo output into pixel data
- libpixelmed-codec-java (20170512-2)
- some imaging codecs for pixelmed DICOM image and ECG viewer
- libpixelmed-java (20150917+git20151209.36f3174+dfsg-1)
- DICOM implementation containing Image Viewer and a ECG Viewer
- libpixelmed-java-doc (20150917+git20151209.36f3174+dfsg-1)
- DICOM implementation containing Image Viewer and a ECG Viewer - doc
- libpixels-java (2.1.3+svn.42-2)
- manipulation and filtering of images in Java
- libpixie-java (1:1.1.6-3)
- Java Vector Format Viewer Library
- libpixman-1-0 (0.36.0-1+deb10u1) [security]
- pixel-manipulation library for X and cairo
- libpixman-1-0-udeb (0.36.0-1)
- pixel-manipulation library for X and cairo
- libpixman-1-dev (0.36.0-1+deb10u1) [security]
- pixel-manipulation library for X and cairo (development files)
- libpkcs11
- virtueel pakket geboden door libsofthsm2
- libpkcs11-helper-dev
- virtueel pakket geboden door libpkcs11-helper1-dev
- libpkcs11-helper1 (1.25.1-1)
- library that simplifies the interaction with PKCS#11
- libpkcs11-helper1-dev (1.25.1-1)
- library that simplifies the interaction with PKCS#11
- libpkgconfig-perl (0.23026-1)
- Pure-Perl Core-Only replacement for pkg-config
- libplacebo-dev (1.7.0-2)
- GPU-accelerated video/image rendering primitives (development files)
- libplacebo7 (1.7.0-2)
- GPU-accelerated video/image rendering primitives (shared library)
- libplack-app-proxy-perl (0.29-1)
- Plack::App::Proxy - middleware-aware proxy application for Plack
- libplack-builder-conditionals-perl (0.05-1)
- Plack::Builder extension for Conditionals
- libplack-handler-anyevent-fcgi-perl (0.01-1)
- asynchronous FCGI handler for PSGI using AnyEvent::FCGI
- libplack-handler-fcgi-ev-perl (0.01-1)
- asynchronous PSGI handler using FCGI::EV
- libplack-middleware-cache-perl (0.19-1)
- Plack middleware for caching responses of certain URLs
- libplack-middleware-crossorigin-perl (0.013-1)
- Plack middleware adding headers to allow CORS
- libplack-middleware-csrfblock-perl (0.10-2)
- Plack middleware to block CSRF (cross-site request forgery)
- libplack-middleware-debug-perl (0.17+dfsg-1)
- Plack middleware for displaying request/response debug information
- libplack-middleware-deflater-perl (0.12-2)
- middleware to compress response body with gzip or deflate
- libplack-middleware-expires-perl (0.06-1)
- mod_expires for plack
- libplack-middleware-file-sass-perl (0.03-4)
- Sass and SCSS support for all Plack-based PSGI frameworks
- libplack-middleware-fixmissingbodyinredirect-perl (0.11-1)
- Plack::Middleware which ensures redirect response have a body
- (0.04-1)
- Plack middleware to modify HTTP response headers
- libplack-middleware-logany-perl (0.001-1)
- use Log::Any to handle logging from your Plack app
- libplack-middleware-logerrors-perl (0.003-1)
- map psgi.errors to psgix.logger or other logger
- libplack-middleware-logwarn-perl (0.001002-1)
- converts warnings to log messages
- libplack-middleware-methodoverride-perl (0.20-1)
- Plack::Middleware to override REST methods via POST
- libplack-middleware-removeredundantbody-perl (0.07-1)
- Plack::Middleware which removes an unnecessary HTTP response body
- libplack-middleware-reverseproxy-perl (0.16-1)
- module supporting apps to run as a reverse proxy backend
- libplack-middleware-session-perl (0.32-1)
- Perl module for Plack middleware session management
- libplack-middleware-status-perl (1.101150-2)
- Perl module for Plack middleware mapping URLs to status codes
- libplack-middleware-test-stashwarnings-perl (0.08-1)
- module for testing plack application warnings
- libplack-perl (1.0047-1)
- interface between web servers and Perl web applications
- libplack-request-withencoding-perl (0.12-1)
- Perl module subclass of Plack::Request which supports encoding
- libplack-test-agent-perl (1.4-2)
- OO interface for testing low-level Plack/PSGI apps
- libplack-test-anyevent-perl (0.08-1)
- run Plack::Test on AnyEvent-based PSGI applications
- libplack-test-externalserver-perl (0.02-1)
- module for running HTTP tests on external live servers
- libplanarity-dev (3.0.0.5-3)
- Library of planarity-related graph algorithms (devel files)
- libplanarity0 (3.0.0.5-3)
- Library of planarity-related graph algorithms
- libplank-common (0.11.4-4)
- Library to build an elegant, simple, clean dock (shared files)
- libplank-dev (0.11.4-4)
- Library to build an elegant, simple, clean dock (development files)
- libplank-doc (0.11.4-4)
- Library to build an elegant, simple, clean dock (documentation)
- libplank1 (0.11.4-4)
- Library to build an elegant, simple, clean dock
- libplasma-geolocation-interface5 (4:5.14.5.1-1)
- Plasma Workspace for KF5 library
- libplasma3 (4:4.14.38-3)
- Plasma Library for the KDE Platform
- libplayerctl-dev (2.0.1-1)
- utility to control media players via MPRIS (development files)
- libplayerctl-doc (2.0.1-1)
- utility to control media players via MPRIS (documentation)
- libplayerctl2 (2.0.1-1)
- utility to control media players via MPRIS (library)
- libplb-dev (1.5~r1+repack1-4)
- CFD solver based on the lattice Boltzmann method. Development files
- libplb-doc (1.5~r1+repack1-4)
- CFD solver based on the lattice Boltzmann method. Documentation
- libplb1 (1.5~r1+repack1-4)
- CFD solver based on the lattice Boltzmann method. Shared library
- libplexus-ant-factory-java (1.0~alpha2.1-4)
- Plexus Ant Factory
- libplexus-archiver-java (3.6.0-2)
- Archiver plugin for the Plexus compiler system
- libplexus-bsh-factory-java (1.0~alpha7-4)
- Plexus Beanshell Factory
- libplexus-build-api-java (0.0.7-3)
- Incremental build API for Plexus components
- libplexus-cipher-java (1.7-3)
- Plexus Cipher Component used by Maven
- libplexus-cipher-java-doc (1.7-3)
- Documentation for Plexus Cipher Component used by Maven
- libplexus-classworlds-java (2.6.0-1)
- Class loading utilities for the Plexus framework
- libplexus-classworlds-java-doc (2.6.0-1)
- Class loading utilities for the Plexus framework - documentation
- libplexus-classworlds2-java (2.6.0-1)
- Class loading utilities for the Plexus framework (transitional package)
- libplexus-cli-java (1.2-7)
- Easily create CLIs with Plexus components
- libplexus-cli-java-doc (1.2-7)
- Documentation for Plexus CLI
- libplexus-compiler-java (2.8.5-1)
- Plexus compiler system
- libplexus-compiler-java-doc (2.8.5-1)
- Documentation for The API for the Plexus compiler system
- libplexus-component-annotations-java (1.7.1-7)
- Plexus Component Annotations
- libplexus-component-metadata-java (1.7.1-7)
- Component Metadata Maven plugin for Plexus
- libplexus-container-default-java (1.7.1-7)
- Plexus Inversion-of-control Container
- libplexus-container-default1.5-java (1.7.1-7)
- Plexus Inversion-of-control Container (transitional package)
- libplexus-digest-java (1.1-6)
- Digest utilities for the Plexus system
- libplexus-i18n-java (1.0-beta-10-5)
- a component to support internationalization of applications using Plexus
- libplexus-interactivity-api-java (1.0-alpha-6-8)
- interactivity API for the Plexus framework
- libplexus-interactivity-api-java-doc (1.0-alpha-6-8)
- API Documentation for plexus-interactivity-api
- libplexus-interpolation-java (1.25-1)
- Plexus Interpolation API
- libplexus-interpolation-java-doc (1.25-1)
- Documentation for Plexus Interpolation API
- libplexus-io-java (3.1.1-1)
- Plexus IO Components
- libplexus-languages-java (0.9.10-1)
- Plexus shared language features
- libplexus-resources-java (1.1.0-2)
- Plexus Resource Component
- libplexus-sec-dispatcher-java (1.4-4)
- Plexus Security Dispatcher Component used by Maven
- libplexus-sec-dispatcher-java-doc (1.4-4)
- Documentation for Plexus Security Dispatcher Component
- libplexus-utils-java (= 1:1.5.15-5)
- virtueel pakket geboden door libplexus-utils2-java
- libplexus-utils-java-doc
- virtueel pakket geboden door libplexus-utils2-java-doc
- libplexus-utils2-java (3.1.1-1)
- utilities for the Plexus framework
- libplexus-utils2-java-doc (3.1.1-1)
- utilities for the Plexus framework - documentation
- libplexus-velocity-java (1.2-3)
- Plexus component interface to velocity
- libplexus-velocity-java-doc (1.2-3)
- API Documentation for plexus-velocity
- libplib-dev (1.8.5-8+deb10u1)
- Portability Libraries: Development package
- libplib-doc (1:1.8.5-3.1)
- Portability Libraries: documentation and examples
- libplib1 (1.8.5-8+deb10u1)
- Portability Libraries: Run-time package
- libplist++-dev (2.0.1~git20190104.3f96731-1)
- C++ library for handling Apple binary and XML property lists - dev files
- libplist++3v5 (2.0.1~git20190104.3f96731-1)
- C++ Library for handling Apple binary and XML property lists
- libplist-dev (2.0.1~git20190104.3f96731-1)
- Library for handling Apple binary and XML property lists - development files
- libplist-doc (2.0.1~git20190104.3f96731-1)
- Library for handling Apple binary and XML property lists - docs
- libplist-utils (2.0.1~git20190104.3f96731-1)
- Apple property list converter
- libplist3 (2.0.1~git20190104.3f96731-1)
- Library for handling Apple binary and XML property lists
- libpll-dev (0.3.2-2)
- Phylogenetic Likelihood Library (development)
- libpll0 (0.3.2-2)
- Phylogenetic Likelihood Library
- libploop-dev (1.15-6)
- ploop API development library
- libploop1 (1.15-6)
- ploop API library
- libplot-dev (2.6-10)
- GNU plotutils libraries (development files)
- libplot-perl
- virtueel pakket geboden door libgraphics-libplot-perl
- libplot2c2 (2.6-10)
- GNU plotutils libraries
- libplpc2a
- virtueel pakket geboden door plptools
- libplplot-dev (5.14.0+dfsg-3)
- Scientific plotting library (development files)
- libplplot-java (5.14.0+dfsg-3)
- Scientific plotting library (Java bindings)
- libplplot-lua (5.14.0+dfsg-3)
- Scientific plotting library (Lua bindings)
- libplplot-ocaml (5.14.0+dfsg-3)
- OCaml bindings for the scientific plotting library
- libplplot-ocaml-3jrv7
- virtueel pakket geboden door libplplot-ocaml
- libplplot-ocaml-buht9
- virtueel pakket geboden door libplplot-ocaml
- libplplot-ocaml-dev (5.14.0+dfsg-3)
- OCaml support for the PLplot plotting library (development files)
- libplplot-ocaml-dev-3jrv7
- virtueel pakket geboden door libplplot-ocaml-dev
- libplplot-ocaml-dev-buht9
- virtueel pakket geboden door libplplot-ocaml-dev
- libplplot16 (5.14.0+dfsg-3)
- Scientific plotting library
- libplplotada1-dev (5.14.0+dfsg-3)
- Ada support for PLplot, a plotting library (development files)
- libplplotada4 (5.14.0+dfsg-3)
- Ada support for PLplot, a plotting library
- libplplotcxx14 (5.14.0+dfsg-3)
- Scientific plotting library (C++ binding)
- libplplotfortran0 (5.14.0+dfsg-3)
- Scientific plotting library (fortran bindings)
- libplplotqt2 (5.14.0+dfsg-3)
- Scientific plotting library (Qt bindings)
- libplplotwxwidgets1 (5.14.0+dfsg-3)
- Scientific plotting library (wxWidgets bindings)
- libplucene-perl (1.25-4)
- Perl port of the Lucene search engine
- libplumb2 (1.0.12-12)
- Reusable cluster libraries -- libplumb2
- libplumb2-dev (1.0.12-12)
- Reusable cluster development files -- libplumb2
- libplumbgpl2 (1.0.12-12)
- Reusable cluster libraries -- libplumbgpl2
- libplumbgpl2-dev (1.0.12-12)
- Reusable cluster development files -- libplumbgpl2
- libpluto-jpl-eph-dev (0.0~git20180228-1.1)
- development files to interact with JPL ephemeres data
- libpluto-lunar-dev (0.0~git20180825.e34c1d1-1)
- development files for astronomical Lunar library
- libplymouth-dev (0.9.4-1.1)
- graphical boot animation and logger - development files
- libplymouth4 (0.9.4-1.1)
- graphical boot animation and logger - shared libraries
- libpmem-dev (1.5.1-1)
- development files for libpmem1
- libpmem1 (1.5.1-1)
- Persistent Memory low level support library
- libpmem1-debug (1.5.1-1)
- Persistent Memory low level support library — debug build
- libpmemblk-dev (1.5.1-1)
- development files for libpmemblk1
- libpmemblk1 (1.5.1-1)
- Persistent Memory block array support library
- libpmemblk1-debug (1.5.1-1)
- Persistent Memory block array support library — debug build
- libpmemlog-dev (1.5.1-1)
- development files for libpmemlog1
- libpmemlog1 (1.5.1-1)
- Persistent Memory log file support library
- libpmemlog1-debug (1.5.1-1)
- Persistent Memory log file support library — debug build
- libpmemobj-cpp-dev (1.5.1-1)
- C++ bindings to libpmemobj
- libpmemobj-cpp-doc (1.5.1-1)
- C++ bindings to libpmemobj
- libpmemobj-dev (1.5.1-1)
- development files for libpmemobj1
- libpmemobj1 (1.5.1-1)
- Persistent Memory object store support library
- libpmemobj1-debug (1.5.1-1)
- Persistent Memory object store support library — debug build
- libpmempool-dev (1.5.1-1)
- development files for libpmempool1
- libpmempool1 (1.5.1-1)
- Persistent Memory pool management support library
- libpmempool1-debug (1.5.1-1)
- Persistent Memory pool management support library — debug build
- libpmi-pmix-dev (3.1.2-3+deb10u1) [security]
- Development files for the PMI library (OpenMPI )
- libpmi0 (18.08.5.2-1+deb10u2)
- SLURM PMI library implementation
- libpmi0-dev (18.08.5.2-1+deb10u2)
- SLURM PMI library implementation development files
- libpmi1-pmix (3.1.2-3+deb10u1) [security]
- OpenMPI implementation of the PMI v1 library
- libpmi2-0 (18.08.5.2-1+deb10u2)
- SLURM PMI2 library implementation
- libpmi2-0-dev (18.08.5.2-1+deb10u2)
- SLURM PMI2 library implementation development files
- libpmi2-pmix (3.1.2-3+deb10u1) [security]
- OpenMPI implementation of the PMI v2 library
- libpmix-dev (3.1.2-3+deb10u1) [security]
- Development files for the PMI Exascale library
- libpmix2 (3.1.2-3+deb10u1) [security]
- Process Management Interface (Exascale) library
- libpmount-dev (0.0.18-1)
- portable mount library - development files
- libpmount0 (0.0.18-1)
- portable mount library - shared library
- libpnetcdf-dev (1.10.0-3+b1)
- Development files for the parallel netCDF library
- libpnetcdf0d (1.10.0-3+b1)
- Libraryfor reading and writing parallel NetCDF files
- libpng++-dev (0.2.9-2)
- C++ interface to the PNG (Portable Network Graphics) library
- libpng-dev (1.6.36-6)
- PNG library - development (version 1.6)
- libpng-sixlegs-java (2.0-3)
- Sixlegs Java PNG Decoder
- libpng-sixlegs-java-doc (2.0-3)
- Documentation for Sixlegs Java PNG Decoder
- libpng-tools (1.6.36-6)
- PNG library - tools (version 1.6)
- libpng16-16 (1.6.36-6)
- PNG library - runtime (version 1.6)
- libpng16-16-udeb (1.6.36-6)
- PNG library - minimal runtime library (version 1.6)
- libpnglite-dev (0.1.17-2)
- lightweight C library for loading and writing PNG images
- libpnglite0 (0.1.17-2)
- lightweight C library for loading and writing PNG images
- libpocketsphinx-dev (0.8+5prealpha+1-2+b2)
- Speech recognition tool - front-end library development files
- libpocketsphinx3 (0.8+5prealpha+1-2+b2)
- Speech recognition tool - front-end library
- libpocl-dev (1.2-5)
- development files for the pocl library
- libpocl2 (1.2-5)
- Portable Computing Language library
- libpocl2-common (1.2-5)
- common files for the pocl library
- libpoco-dev (1.9.0-5+b1)
- C++ Portable Components (POCO) Development files
- libpoco-doc (1.3.6-1)
- Documentation for POCO - The C++ Portable Components
- libpococrypto60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Crypto library
- libpocodata60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Data library
- libpocodatamysql60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Data MySQL library
- libpocodataodbc60 (1.9.0-5+b1)
- C++ Portable Components (POCO) ODBC library
- libpocodatasqlite60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Data SQLite library
- libpocoencodings60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Encodings library
- libpocofoundation60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Foundation library
- libpocojson60 (1.9.0-5+b1)
- C++ Portable Components (POCO) JSON library
- libpocomongodb60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Data MongoDB library
- libpoconet60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Network library
- libpoconetssl60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Network library with SSL
- libpocoredis60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Redis library
- libpocoutil60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Util library
- libpocoxml60 (1.9.0-5+b1)
- C++ Portable Components (POCO) XML library
- libpocozip60 (1.9.0-5+b1)
- C++ Portable Components (POCO) Zip library
- libpod-2-docbook-perl (0.03-3)
- module for converting Pod data to DocBook SGML
- libpod-abstract-perl (0.20-2)
- abstract document tree for Perl POD documents
- libpod-constants-perl (0.19-1)
- module for including constants from POD
- libpod-coverage-perl (0.23-1)
- checker for comprehensiveness of perl module documentation
- libpod-coverage-trustpod-perl (0.100005-1)
- module to support hints for Pod::Coverage
- libpod-elemental-perl (0.103004-1)
- module for manipulating POD elements
- libpod-elemental-perlmunger-perl (0.200006-1)
- Perl module that rewrites Perl documentation
- libpod-elemental-transformer-list-perl (0.102000-1)
- module to transform :list regions into =over/=back
- libpod-escapes-perl (= 1.07)
- virtueel pakket geboden door perl
- libpod-eventual-perl (0.094001-1)
- module to read a POD as a series of trivial events
- libpod-index-perl (0.14-3)
- index and search PODs using X<> entries
- libpod-latex-perl (0.61-2)
- module to convert Pod data to formatted LaTeX
- libpod-markdown-perl (3.101000-1)
- module to convert POD to the Markdown file format
- libpod-minimumversion-perl (50-1)
- module to determine minimum Perl version of POD directives
- libpod-parser-perl (= 1.63)
- virtueel pakket geboden door perl
- libpod-plainer-perl (1.04-1)
- Perl extension for converting Pod to old-style Pod
- libpod-pom-perl (2.01-3)
- module providing a Pod Object Model
- libpod-pom-view-restructured-perl (1.000002-1)
- view for Pod::POM that outputs reStructuredText
- libpod-projectdocs-perl (0.52-1)
- module to generate CPAN like project documents from POD
- libpod-pseudopod-perl (0.18-2)
- framework for parsing PseudoPod
- libpod-readme-perl (1.2.3-1)
- Perl module to convert POD to README file
- libpod-sax-perl (0.14-6)
- Perl module for generating SAX events from POD
- libpod-simple-perl (3.35-1)
- framework for parsing files in the Pod markup language
- libpod-simple-perl (= 3.35)
- virtueel pakket geboden door perl
- libpod-simple-wiki-perl (0.20-1)
- Perl class for creating Pod to Wiki filters
- libpod-spell-perl (1.20-1)
- formatter to easily check the spelling of POD
- libpod-strip-perl (1.02-2)
- remove POD documentation from Perl code
- libpod-tests-perl (1.19-4)
- Perl extension for excts embedded tests and code examples from POD
- libpod-tree-perl (1.31-1)
- module for creating a static syntax tree for a POD
- libpod-weaver-perl (4.015-1)
- Perl module to weave together a Pod document from an outline
- libpod-weaver-plugin-ensureuniquesections-perl (0.163250-1)
- Pod::Weaver plugin to check for duplicate Pod section headers
- libpod-weaver-section-contributors-perl (0.009-1)
- Pod::Weaver plugin for a section listing contributors
- libpod-weaver-section-generatesection-perl (1.06-1)
- Pod::Weaver plugin to add Pod sections from a template text
- libpod-weaver-section-legal-complicated-perl (1.22-1)
- Pod::Weaver plugin for per module authors, copyright holders, and license
- libpod-weaver-section-support-perl (1.010-1)
- Dist::Zilla plugin to add a SUPPORT section to your POD
- libpod-webserver-perl (3.11-1)
- miniature web server for reading Pod in web browsers
- libpod-wordlist-hanekomu-perl (1.132680-2)
- collection of stop words to spell check POD documents
- libpod-wsdl-perl (0.063-1)
- creator of WSDL documents from (extended) POD
- libpod-xhtml-perl (1.61-2)
- module for translating POD to XHTML
- libpod2-base-perl (0.043-2)
- module for translations of Perl documentation
- libpod2pdf-perl
- virtueel pakket geboden door pod2pdf
- libpodofo-dev (0.9.6+dfsg-5)
- PoDoFo development files
- libpodofo-utils (0.9.6+dfsg-5)
- PoDoFo utilities
- libpodofo0.9.6 (0.9.6+dfsg-5)
- PoDoFo - library to work with the PDF file format
- libpoe-component-client-dns-perl (1:1.054-1)
- POE Component for performing asynchronous DNS queries
- libpoe-component-client-http-perl (0.949-2)
- POE Component for running asynchronous HTTP transactions
- libpoe-component-client-ident-perl (1.07-2.2)
- a POE component that provides non-blocking ident lookups
- libpoe-component-client-keepalive-perl (0.2720-1)
- POE component that manages and keeps alive client connections
- libpoe-component-client-mpd-perl (2.001-3)
- client library for MPD (Music Player Daemon)
- libpoe-component-client-ping-perl (1.175-1)
- ICMP ping client component for POE
- libpoe-component-dbiagent-perl (0.26-3)
- POE Component for running asynchronous DBI calls
- libpoe-component-irc-perl (6.90+dfsg-1)
- POE Component for manipulating IRC sessions
- libpoe-component-jabber-perl (3.00-4)
- pOE Component for communicating over Jabber
- libpoe-component-jobqueue-perl (0.5710-1)
- POE component to manage queues and worker pools
- libpoe-component-pcap-perl (0.04-3)
- POE Interface to Net::Pcap
- libpoe-component-pool-thread-perl (0.015-2)
- POE Managed Boss/Worker thread pool
- libpoe-component-pubsub-perl (0.05-2)
- generic publish/subscribe POE::Component
- libpoe-component-resolver-perl (0.921-1)
- POE Component for domain name resolution
- (1.11-1)
- POE component to watch multiple RSS feeds
- libpoe-component-schedule-perl (0.95-3)
- Schedule POE events using DateTime::Set iterators
- libpoe-component-server-jsonrpc-perl (0.06-3)
- POE tcp and http based JSON-RPC 1.0 server
- libpoe-component-server-simplehttp-perl (2.28-1)
- simple HTTP server for POE
- libpoe-component-server-soap-perl (1.14-2)
- POE component to publish event handlers via SOAP over HTTP
- libpoe-component-sslify-perl (1.012-1)
- module for SSL connection handling in POE Components
- libpoe-component-syndicator-perl (0.06-1)
- POE component base class which implements the Observer pattern
- libpoe-filter-http-parser-perl (1.08-1)
- POE filter for HTTP clients or servers
- libpoe-filter-ircd-perl (2.44-2)
- parser for the IRC protocol
- libpoe-filter-ssl-perl (0.41-1+b1)
- module to make SSL in POE easy and flexible
- libpoe-filter-stomp-perl (0.04-2)
- Perl extension for the POE Environment to provide a Stomp filter
- libpoe-filter-xml-perl (1.140700-1)
- POE Filter for parsing XML
- libpoe-loop-tk-perl (1.305-1)
- POE event loop implementation using Tk
- libpoe-perl (2:1.3670-2)
- event-driven component architecture for Perl
- libpoe-test-loops-perl (1.360-1)
- test framework for POE event loops
- libpoet-perl (0.16-1)
- modern Perl web framework for Mason developers
- libpolkit-agent-1-0 (0.105-25+deb10u1)
- PolicyKit Authentication Agent API
- libpolkit-agent-1-dev (0.105-25+deb10u1)
- PolicyKit Authentication Agent API - development files
- libpolkit-backend-1-0 (0.105-25+deb10u1)
- PolicyKit backend API
- libpolkit-backend-1-dev (0.105-25+deb10u1)
- PolicyKit backend API - development files
- libpolkit-gobject-1-0 (0.105-25+deb10u1)
- PolicyKit Authorization API
- libpolkit-gobject-1-dev (0.105-25+deb10u1)
- PolicyKit Authorization API - development files
- libpolkit-qt-1-1 (0.112.0-6)
- PolicyKit-qt-1 library
- libpolkit-qt-1-dev (0.112.0-6)
- PolicyKit-qt-1 development files
- libpolkit-qt5-1-1 (0.112.0-6)
- PolicyKit-qt5-1 library
- libpolkit-qt5-1-dev (0.112.0-6)
- PolicyKit-qt5-1 development files
- libpolled-camera-dev (1.11.13-3+b1)
- Robot OS polled_camera package - development
- libpolled-camera0d (1.11.13-3+b1)
- Robot OS polled_camera package
- libpolyclipping-dev (6.4.2-6)
- Polygon and line clipping and offsetting library (development files)
- libpolyclipping22 (6.4.2-6)
- Polygon and line clipping and offsetting library (shared library)
- libpolyglot-maven-java (0.8~tobrien+git20120905-9)
- modules to enable Maven usage in others JVM languages
- libpolyglot-maven-java-doc (0.8~tobrien+git20120905-9)
- modules to enable Maven usage in others JVM languages - docs
- libpolylib64-8 (5.22.5-4+dfsg)
- Polyhedral library - long int version
- libpolylib64-dev (5.22.5-4+dfsg)
- Development files for the long int version of PolyLib
- libpolymake
- virtueel pakket geboden door libpolymake3.2
- libpolymake-dev (3.2r4-4)
- Tool for algorithmic discrete geometry -- development package
- libpolymake-dev-common (3.2r4-4)
- Tool for algorithmic discrete geometry -- common dev package
- libpolymake3.2 (3.2r4-4)
- Tool for algorithmic discrete geometry -- library package
- libpolyml-dev (5.7.1-2)
- development files for Poly/ML, a compiler for Standard ML
- libpolyml9 (5.7.1-2)
- runtime files for Poly/ML, a compiler for Standard ML
- libpomegranate-clojure (1.1.0+really-2)
- dependency resolution and repository handling library for Clojure
- libpomp-dev (1.1+dfsg-7)
- OpenMP Pragma And Region Instrumentor - development files
- libpomp0 (1.1+dfsg-7)
- OpenMP Pragma And Region Instrumentor - shared library
- libpomp2-dev (2.0.4-1)
- OpenMP Pragma And Region Instrumentor - development files
- libpomp2-doc (2.0.4-1)
- OpenMP Pragma And Region Instrumentor - documentation
- libpoppler-cil (0.0.3-4)
- PDF rendering library -- CLI bindings
- libpoppler-cil-dev (0.0.3-4)
- PDF rendering library -- CLI development files
- libpoppler-cpp-dev (0.71.0-5+deb10u3) [security]
- PDF rendering library -- development files (CPP interface)
- libpoppler-cpp0v5 (0.71.0-5+deb10u3) [security]
- PDF rendering library (CPP shared library)
- libpoppler-dev (0.71.0-5+deb10u3) [security]
- PDF rendering library -- development files
- libpoppler-glib-dev (0.71.0-5+deb10u3) [security]
- PDF rendering library -- development files (GLib interface)
- libpoppler-glib-doc (0.71.0-5+deb10u3) [security]
- PDF rendering library -- documentation for the GLib interface
- libpoppler-glib8 (0.71.0-5+deb10u3) [security]
- PDF rendering library (GLib-based shared library)
- libpoppler-private-dev (0.71.0-5+deb10u3) [security]
- PDF rendering library -- private development files
- libpoppler-qt5-1 (0.71.0-5+deb10u3) [security]
- PDF rendering library (Qt 5 based shared library)
- libpoppler-qt5-dev (0.71.0-5+deb10u3) [security]
- PDF rendering library -- development files (Qt 5 interface)
- libpoppler82 (0.71.0-5+deb10u3) [security]
- PDF rendering library
- libpopplerkit-dev (0.0.20051227svn-8+b2)
- GNUstep framework for rendering PDF content (development files)
- libpopplerkit0 (0.0.20051227svn-8+b2)
- GNUstep framework for rendering PDF content (library files)
- libpopt-dev (1.16-12)
- lib for parsing cmdline parameters - development files
- libpopt0 (1.16-12)
- bibliotheek voor het ontleden van commandoregel parameters
- libpopt0-udeb (1.16-12)
- lib for parsing cmdline parameters
- libportaudio-ocaml (0.2.1-1+b2 [armhf], 0.2.1-1+b1 [amd64, arm64, i386])
- OCaml bindings for the portaudio library
- libportaudio-ocaml-5jtj1
- virtueel pakket geboden door libportaudio-ocaml
- libportaudio-ocaml-dev (0.2.1-1+b2 [armhf], 0.2.1-1+b1 [amd64, arm64, i386])
- OCaml bindings for the portaudio library
- libportaudio-ocaml-dev-5jtj1
- virtueel pakket geboden door libportaudio-ocaml-dev
- libportaudio-ocaml-dev-hkcb7
- virtueel pakket geboden door libportaudio-ocaml-dev
- libportaudio-ocaml-hkcb7
- virtueel pakket geboden door libportaudio-ocaml
- libportaudio2 (19.6.0-1+deb10u1)
- Portable audio I/O - shared library
- libportaudiocpp0 (19.6.0-1+deb10u1)
- Portable audio I/O C++ bindings - shared library
- libportlet-api-2.0-spec-java (1.0-2.1)
- Java Portlet Specification V2.0
- libportlet-api-2.0-spec-java-doc (1.0-2.1)
- Java Portlet Specification V2.0 - documentation
- libportmidi-dev (1:217-6)
- library for real-time MIDI input/output
- libportmidi0 (1:217-6)
- library for real-time MIDI input/output
- libportsmf-dev (0.1~svn20101010-5)
- Portable Standard Midi File Library (development files)
- libportsmf0 (0.1~svn20101010-5)
- Portable Standard Midi File Library
- libposix-2008-perl (0.16-1+b1)
- Perl interface to POSIX.1-2008
- libposix-atfork-perl (0.02-1+b7 [amd64, armhf, i386], 0.02-1+b5 [arm64])
- hook registrations at fork(2)
- libposix-strftime-compiler-perl (0.42-1)
- GNU C library compatible strftime for loggers and servers
- libposix-strptime-perl (0.13-1+b5)
- Perl extension to the POSIX date parsing strptime(3) function
- libpostfix-parse-mailq-perl (1.005-1)
- module to parse the postfix mail queue
- libpostgis-java (1:2.3.0-1)
- Geographic objects support for PostgreSQL -- JDBC support
- libpostgresql-jdbc-java (42.2.5-2+deb10u4) [security]
- Java database (JDBC) driver for PostgreSQL
- libpostgresql-jdbc-java-doc (42.2.5-2+deb10u4) [security]
- Java database (JDBC) driver for PostgreSQL (documentation)
- libpostgresql-ocaml (2.0.5-3+b2 [armhf], 2.0.5-3+b1 [amd64, arm64, i386])
- OCaml bindings to PostgreSQL's libpq (runtime)
- libpostgresql-ocaml-dev (2.0.5-3+b2 [armhf], 2.0.5-3+b1 [amd64, arm64, i386])
- OCaml bindings to PostgreSQL's libpq
- libpostgresql-ocaml-dev-hpxl9
- virtueel pakket geboden door libpostgresql-ocaml-dev
- libpostgresql-ocaml-dev-v8s45
- virtueel pakket geboden door libpostgresql-ocaml-dev
- libpostgresql-ocaml-hpxl9
- virtueel pakket geboden door libpostgresql-ocaml
- libpostgresql-ocaml-v8s45
- virtueel pakket geboden door libpostgresql-ocaml
- libpostproc-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for post processing - development files
- libpostproc55 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for post processing - runtime files
- libpostscript-file-perl (2.23+dfsg-1)
- module for creating Adobe PostScript files
- libpostscript-perl (0.06-3)
- Perl module to generate PostScript code
- libpostscript-simple-perl (0.09-1)
- Perl library for generating postscript files
- libpostscriptbarcode (20140312-2)
- Barcode Writer in Pure PostScript
- libpotemkin-clojure (0.4.3-2)
- collection of facades and workarounds for Clojure
- libpotrace-dev (1.15-1)
- development files for potrace library
- libpotrace0 (1.15-1)
- library for tracing bitmaps
- libpowercap-dev (0.1.1-1)
- Development files for libpowercap
- libpowercap0 (0.1.1-1)
- Shared library for accessing the powercap Linux kernel feature
- libpowerdevilcore2 (4:5.14.5-1)
- Global power saver settings ui library.
- libpowerdevilui5 (4:5.14.5-1)
- Global power saver settings ui library.
- libpowerman0 (2.3.5-1+b2)
- Client library for Powerman - Centralized PDU management
- libpowerman0-dev (2.3.5-1+b2)
- Development files for Powerman - Centralized PDU management
- libppd-dev (2:0.10-7.3)
- postscript PPD file library, development kit
- libppd0 (2:0.10-7.3)
- postscript PPD file library
- libppi-html-perl (1.08-2)
- module to highlight Perl code using PPI
- libppi-perl (1.236-1)
- module to parse, analyze and manipulate Perl code
- libppi-xs-perl (0.910-1+b1)
- Perl module to accelerate the Perl Parsing Interface (PPI)
- libppix-documentname-perl (0.001003-1)
- utility to extract a name from a PPI Document
- libppix-editortools-perl (0.21-1)
- set of tools for editors to use for manipulating Perl via PPI
- libppix-quotelike-perl (0.006-1)
- module to parse Perl string literals and string-literal-like things
- libppix-regexp-perl (0.063-1)
- module to parse regular expressions
- libppix-utilities-perl (1.001000-2)
- Perl module containing extensions to PPI
- libppl-c-dev
- virtueel pakket geboden door libppl-dev
- libppl-c4 (1:1.2-7)
- Parma Polyhedra Library (C interface)
- libppl-dev (1:1.2-7)
- Parma Polyhedra Library (development)
- libppl-doc (1:1.2-7)
- Parma Polyhedra Library: Documentation
- libppl-swi (1:1.2-7)
- Parma Polyhedra Library (SWI Prolog interface)
- libppl0.12-dev
- virtueel pakket geboden door libppl-dev
- libppl14 (1:1.2-7)
- Parma Polyhedra Library (runtime library)
- libppx-derivers-ocaml-dev (1.2-4)
- interoperability of ppx-deriving and ppx-type-conv
- libppx-derivers-ocaml-dev-bn9b1
- virtueel pakket geboden door libppx-derivers-ocaml-dev
- libppx-derivers-ocaml-dev-oq3x3
- virtueel pakket geboden door libppx-derivers-ocaml-dev
- libppx-deriving-ocaml (4.2.1-3)
- type-driven code generation for OCaml (runtime files)
- libppx-deriving-ocaml-0zqr5
- virtueel pakket geboden door libppx-deriving-ocaml
- libppx-deriving-ocaml-502x2
- virtueel pakket geboden door libppx-deriving-ocaml
- libppx-deriving-ocaml-85911
- virtueel pakket geboden door libppx-deriving-ocaml
- libppx-deriving-ocaml-8mnj0
- virtueel pakket geboden door libppx-deriving-ocaml
- libppx-deriving-ocaml-dev (4.2.1-3)
- type-driven code generation for OCaml (dev files)
- libppx-deriving-ocaml-dev-0zqr5
- virtueel pakket geboden door libppx-deriving-ocaml-dev
- libppx-deriving-ocaml-dev-502x2
- virtueel pakket geboden door libppx-deriving-ocaml-dev
- libppx-deriving-ocaml-dev-85911
- virtueel pakket geboden door libppx-deriving-ocaml-dev
- libppx-deriving-ocaml-dev-8mnj0
- virtueel pakket geboden door libppx-deriving-ocaml-dev
- libppx-deriving-yojson-ocaml-dev (3.1-4)
- OCaml syntax extension for JSON serialization
- libppx-deriving-yojson-ocaml-dev-c40w2
- virtueel pakket geboden door libppx-deriving-yojson-ocaml-dev
- libppx-deriving-yojson-ocaml-dev-in5t8
- virtueel pakket geboden door libppx-deriving-yojson-ocaml-dev
- libppx-deriving-yojson-ocaml-dev-luir6
- virtueel pakket geboden door libppx-deriving-yojson-ocaml-dev
- libppx-deriving-yojson-ocaml-dev-qgbs5
- virtueel pakket geboden door libppx-deriving-yojson-ocaml-dev
- libppx-tools-ocaml-dev (5.0+4.05.0-1+b1)
- tools for authors of OCaml syntactic tools
- libppx-tools-ocaml-dev-8jgv9
- virtueel pakket geboden door libppx-tools-ocaml-dev
- libppx-tools-ocaml-dev-fby09
- virtueel pakket geboden door libppx-tools-ocaml-dev
- libppx-tools-ocaml-dev-njww0
- virtueel pakket geboden door libppx-tools-ocaml-dev
- libppx-tools-ocaml-dev-rwx79
- virtueel pakket geboden door libppx-tools-ocaml-dev
- libppx-tools-versioned-ocaml (5.2.1-1)
- Tools for authors of OCaml syntactic tools (Runtime library)
- libppx-tools-versioned-ocaml-dev (5.2.1-1)
- Tools for authors of OCaml syntactic tools (Development package)
- libppx-tools-versioned-ocaml-dev-l4ue6
- virtueel pakket geboden door libppx-tools-versioned-ocaml-dev
- libppx-tools-versioned-ocaml-dev-m6a13
- virtueel pakket geboden door libppx-tools-versioned-ocaml-dev
- libppx-tools-versioned-ocaml-dev-trjk5
- virtueel pakket geboden door libppx-tools-versioned-ocaml-dev
- libppx-tools-versioned-ocaml-dev-yzbt3
- virtueel pakket geboden door libppx-tools-versioned-ocaml-dev
- libppx-tools-versioned-ocaml-doc (5.2.1-1)
- Documentation for ppx-tools-versioned
- libppx-tools-versioned-ocaml-l4ue6
- virtueel pakket geboden door libppx-tools-versioned-ocaml
- libppx-tools-versioned-ocaml-m6a13
- virtueel pakket geboden door libppx-tools-versioned-ocaml
- libppx-tools-versioned-ocaml-trjk5
- virtueel pakket geboden door libppx-tools-versioned-ocaml
- libppx-tools-versioned-ocaml-yzbt3
- virtueel pakket geboden door libppx-tools-versioned-ocaml
- libppx-visitors-ocaml-dev (20180513-1)
- OCaml syntax extension for object-oriented visitors
- libppx-visitors-ocaml-dev-5x8k6
- virtueel pakket geboden door libppx-visitors-ocaml-dev
- libppx-visitors-ocaml-dev-f67t8
- virtueel pakket geboden door libppx-visitors-ocaml-dev
- libppx-visitors-ocaml-dev-qj931
- virtueel pakket geboden door libppx-visitors-ocaml-dev
- libppx-visitors-ocaml-dev-rspz5
- virtueel pakket geboden door libppx-visitors-ocaml-dev
- libppx-visitors-ocaml-doc (20180513-1)
- Documentation of the visitors syntax extension for OCaml
- libpq-dev (11.22-0+deb10u2) [security]
- header files for libpq5 (PostgreSQL library)
- libpq5 (11.22-0+deb10u2) [security]
- PostgreSQL C client library
- libpqtypes-dev (1.5.1-5)
- parameterized queries libpq extension - development
- libpqtypes0 (1.5.1-5)
- parameterized queries libpq extension - shared library
- libpqxx-6.2 (6.2.5-1)
- C++ library to connect to PostgreSQL
- libpqxx-dev (6.2.5-1)
- C++ library to connect to PostgreSQL (development files)
- libpqxx-doc (6.2.5-1)
- C++ library to connect to PostgreSQL (documentation)
- libpracticalxml-java (1.1.19-1)
- practical XML handling routines
- libpragmatic-perl (1.7-3)
- Adds pragmata to Exporter
- libpreferencepanes-dev (1.2.0-2+b5)
- GNUstep preferences library - development files
- libpreferencepanes1 (1.2.0-2+b5)
- GNUstep preferences library - runtime library
- libprefork-perl (1.05-1)
- pragma for optimized module loading for forking or non-forking processes
- libprelude-dev (4.1.0-4.2)
- Security Information and Events Management system [ Development files ]
- libprelude-doc (4.1.0-4.2)
- Security Information and Events Management system [ Documentation ]
- libprelude-lua (4.1.0-4.2)
- Security Information and Events Management system [ Lua bindings ]
- libprelude-perl (4.1.0-4.2)
- Security Information and Events Management system [ Perl bindings ]
- libprelude-ruby
- virtueel pakket geboden door ruby-libprelude
- libprelude23 (4.1.0-4.2)
- Security Information and Events Management system [ Base library ]
- libpreludecpp8 (4.1.0-4.2)
- Security Information and Events Management system [ C++ library ]
- libpreludedb-dev (4.1.0-2+b2)
- Security Information and Events Management System [ Development files ]
- libpreludedb-doc (4.1.0-2)
- Security Information and Events Management System [ Documentation ]
- libpreludedb7 (4.1.0-2+b2)
- Security Information and Events Management System [ Base library ]
- libpreludedb7-mysql (4.1.0-2+b2)
- Security Information and Events Management System [ MySQL library ]
- libpreludedb7-pgsql (4.1.0-2+b2)
- Security Information and Events Management System [ PGSQL library ]
- libpreludedb7-sqlite (4.1.0-2+b2)
- Security Information and Events Management System [ SQLite library ]
- libpreludedbcpp2 (4.1.0-2+b2)
- Security Information and Events Management System [ C++ library ]
- libpresage-data (0.9.1-2.1)
- intelligent predictive text entry platform (data files)
- libpresage-dev (0.9.1-2.1+b2)
- intelligent predictive text entry platform (development files)
- libpresage-doc (0.9.1-2.1)
- intelligent predictive text entry platform (documentation)
- libpresage1-dbg (0.9.1-2.1+b2)
- intelligent predictive text entry platform (shared library debugging symbols)
- libpresage1v5 (0.9.1-2.1+b2)
- intelligent predictive text entry platform (shared library)
- libpri-dev (1.6.0-1)
- Primary Rate ISDN specification development files
- libpri1.4 (1.6.0-1)
- Primary Rate ISDN specification library
- libprimesieve
- virtueel pakket geboden door libprimesieve9
- libprimesieve-dev (7.3+ds-1)
- fast prime number generator C/C++ library -- libdev
- libprimesieve-dev
- virtueel pakket geboden door libprimesieve-dev
- libprimesieve-dev-common (7.3+ds-1)
- fast prime number generator C/C++ library -- headers
- libprimesieve-dev-common
- virtueel pakket geboden door libprimesieve-dev-common
- libprimesieve9 (7.3+ds-1)
- fast prime number generator C/C++ library -- lib
- libprintsys (0.6-13+b1 [armhf], 0.6-13 [amd64, arm64, i386])
- printcap parser, helper for gnulpr's printfilters
- libprintsys-dev (0.6-13+b1 [armhf], 0.6-13 [amd64, arm64, i386])
- printcap parser, helper for gnulpr's printfilters, dev files
- libprismatic-plumbing-clojure (0.5.4-1)
- Clojure utility belt library
- libprismatic-schema-clojure (1.1.6-1)
- Clojure(Script) library for declarative data description and validation
- libprivileges-drop-perl (1.03-2)
- module to make it simple to drop all privileges
- libprobe-perl-perl (0.03-1)
- module to obtain information about the currently running Perl interpreter
- libproc-background-perl (1.10-3)
- generic interface for Unix and Win32 background process management
- libproc-daemon-perl (0.23-1)
- module for running scripts as daemons
- libproc-fastspawn-perl (1.2-1+b1)
- module to fork+exec, or spawn, a subprocess as quickly as possible
- libproc-fork-perl (0.806-1)
- Perl interface to the fork() system call
- libproc-guard-perl (0.07-1)
- process runner with RAII pattern
- libproc-invokeeditor-perl (1.13-1)
- Perl extension for starting a text editor
- libproc-pid-file-perl (1.27-4)
- Perl module for managing process id files
- libproc-processtable-perl (0.56-1)
- Perl library for accessing process table information
- libproc-queue-perl (1.23-1)
- Perl module to limit the number of child processes
- libproc-reliable-perl (1.16-2)
- Perl module to run external processes reliably
- libproc-simple-perl (1.32-1)
- Perl interface to launch and control background processes
- libproc-syncexec-perl (1.01-2)
- module to spawn processes but report exec() errors properly
- libproc-terminator-perl (0.5-2)
- module to conveniently terminate processes
- libproc-wait3-perl (0.05-1+b5)
- Perl interface to the wait3() system call
- libproc-waitstat-perl (1.00-5)
- module to interpret and act on wait() status values
- libprocess-cpp-dev (3.0.1-5+b1)
- C++11 library for handling processes (dev headers and libraries)
- libprocess-cpp-doc (3.0.1-5)
- C++11 library for handling processes (documentation)
- libprocess-cpp3 (3.0.1-5+b1)
- C++11 library for handling processes (runtime libraries)
- libprocesscore7 (4:5.14.5-1)
- library for monitoring your system - shared library
- libprocessing-core-java (1.2.1-2)
- Java animation and interaction library
- libprocessui7 (4:5.14.5-1)
- library for monitoring your system - shared library
- libprocps-dev (2:3.3.15-2)
- bibliotheek voor toegang tot procesinformatie uit /proc
- libprocps7 (2:3.3.15-2)
- library for accessing process information from /proc
- libprocyon-java (0.5.32-5)
- Procyon Framework
- libprogress-any-perl (0.214-1)
- Perl module to record progress to any output
- libproguard-java (6.0.3-1)
- Java class file shrinker, optimizer, and obfuscator (Library)
- libproj-dev (5.2.0-1)
- Cartographic projection library (development files)
- libproj-java (5.2.0-1)
- Cartographic projection library (JNI bindings)
- libproj13 (5.2.0-1)
- Cartographic projection library
- libprojectm-dev (2.1.0+dfsg-4+b4)
- Advanced Milkdrop-compatible music visualization library - dev
- libprojectm-qt-dev (2.1.0+dfsg-4+b4)
- projectM Qt4 (development files)
- libprojectm-qt1v5 (2.1.0+dfsg-4+b4)
- projectM Qt4 bindings
- libprojectm2v5 (2.1.0+dfsg-4+b4)
- Advanced Milkdrop-compatible music visualization library
- libpromises3 (3.12.1-2)
- libpromises library for cfengine3 binaries
- libproperties-cpp-dev (0.0.1~bzr17+repack1-3)
- C++11 library providing properties/signals - dev headers
- libproperties-cpp-doc (0.0.1~bzr17+repack1-3)
- Documentation files for libproperties-cpp-dev
- libproperties-maven-plugin-java (1.0.0-2)
- Maven Plugin to read and write property files from mojo.codehaus.org
- libprotobuf-c-dev (1.3.1-1+b1)
- Protocol Buffers C static library and headers (protobuf-c)
- libprotobuf-c1 (1.3.1-1+b1)
- Protocol Buffers C shared library (protobuf-c)
- libprotobuf-dev (3.6.1.3-2+deb10u1) [security]
- protocol buffers C++ library (development files) and proto files
- libprotobuf-java (3.6.1.3-2+deb10u1) [security]
- Java bindings for protocol buffers
- libprotobuf-java-format-java (1.3-1)
- Library to serialize protobuf messages to XML, JSON and HTML
- libprotobuf-lite17 (3.6.1.3-2+deb10u1) [security]
- protocol buffers C++ library (lite version)
- libprotobuf17 (3.6.1.3-2+deb10u1) [security]
- protocol buffers C++ library
- libprotoc-dev (3.6.1.3-2+deb10u1) [security]
- protocol buffers compiler library (development files)
- libprotoc17 (3.6.1.3-2+deb10u1) [security]
- protocol buffers compiler library
- libprotocol-http2-perl (1.09-1)
- HTTP/2 protocol implementation with client and server libraries
- libprotocol-irc-perl (0.12-2)
- IRC protocol handling
- libprotocol-osc-perl (0.09-1)
- module to implement (de)coding and processing of OSC packets
- libprotozero-dev (1.6.7-1)
- Minimalistic protocol buffer decoder and encoder in C++
- libprotozero-doc (1.6.7-1)
- Minimalistic protocol buffer decoder and encoder in C++ - docs
- libproxool-java (0.9.1-10)
- Java JDBC connection pool
- libproxy-cil-dev (0.4.15-5+deb10u1)
- automatic proxy configuration management library (CLI devel)
- libproxy-dev (0.4.15-5+deb10u1)
- automatic proxy configuration management library (devel)
- libproxy-tools (0.4.15-5+deb10u1)
- automatic proxy configuration management library (tools)
- libproxy0.4-cil (0.4.15-5+deb10u1)
- automatic proxy configuration management library (CLI)
- libproxy1-plugin-gsettings (0.4.15-5+deb10u1)
- automatic proxy configuration management library (GSettings plugin)
- libproxy1-plugin-kconfig (0.4.15-5+deb10u1)
- automatic proxy configuration management library (KConfig plugin)
- libproxy1-plugin-mozjs (0.4.15-5+deb10u1)
- automatic proxy configuration management library (mozjs plugin)
- libproxy1-plugin-networkmanager (0.4.15-5+deb10u1)
- automatic proxy configuration management library (Network Manager plugin)
- libproxy1-plugin-webkit (0.4.15-5+deb10u1)
- automatic proxy configuration management library (Webkit plugin)
- libproxy1v5 (0.4.15-5+deb10u1)
- automatic proxy configuration management library (shared)
- libproxychains-dev (3.1-8.1)
- proxy chains -- shared library (development)
- libproxychains3 (3.1-8.1)
- proxy chains -- shared library (runtime)
- libproxychains4 (4.13-4)
- runtime shared library for proxychains-ng
- libprpc-perl (0.1005-22)
- Perl extensions for writing pRPC servers and clients
- libpseudo (1.9.0+git20180920-1)
- advanced tool for simulating superuser privileges
- libpsi3-dev (3.4.0-6+b3)
- Quantum Chemical Program Suite (Development Libraries)
- libpsl-dev (0.20.2-2)
- Library for Public Suffix List (development headers)
- libpsl5 (0.20.2-2)
- Library for Public Suffix List (shared libraries)
- libpsm-infinipath1 (3.3+20.604758e7-6)
- PSM Messaging library for Intel Truescale adapters
- libpsm-infinipath1-dbg (3.3+20.604758e7-6)
- Debugging symbols for libpsm-infinipath1
- libpsm-infinipath1-dev (3.3+20.604758e7-6)
- Development files for libpsm-infinipath1
- libpsm2-2 (11.2.78-1)
- Intel PSM2 library
- libpsm2-2-compat (11.2.78-1)
- Compat library for Intel PSM2
- libpsm2-dev (11.2.78-1)
- Development files for Intel PSM2 library
- libpsocksxx-dev (1.1.1-1)
- psocksxx is a C++ wrapper for POSIX sockets (development files)
- libpsocksxx-doc (1.1.1-1)
- psocksxx is a C++ wrapper for POSIX sockets (documentation)
- libpsocksxx0 (1.1.1-1)
- psocksxx is a C++ wrapper for POSIX sockets
- libpspell-dev (0.60.7~20110707-6+deb10u1)
- Development files for applications with pspell support
- libpst-dev (0.6.71-0.1)
- library for reading Microsoft Outlook PST files (development files)
- libpst4 (0.6.71-0.1)
- library for reading Microsoft Outlook PST files
- libpstoedit-dev (3.73-1+b1)
- PostScript to editable vector graphics library (development files)
- libpstoedit0c2a (3.73-1+b1)
- PostScript to editable vector graphics library (runtime files)
- libpstreams-dev (1.0.1-2)
- C++ iostream interface to POSIX process I/O
- libpsurface-dbg (2.0.0-2+b1)
- piecewise linear bijections between triangulated surfaces -- debug symbols
- libpsurface-dev (2.0.0-2+b1)
- piecewise linear bijections between triangulated surfaces -- development files
- libpsurface0v5 (2.0.0-2+b1)
- piecewise linear bijections between triangulated surfaces -- shared library
- libpsych-java (3.1.0-1)
- ruby-psych Java extension
- libptexenc-dev (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: ptex encoding library (development part)
- libptexenc1 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: pTeX encoding library
- libpth-dev (2.0.7-20+b2)
- GNU Portable Threads (development)
- libpth20 (2.0.7-20+b2)
- GNU Portable Threads
- libpthread-stubs0-dev (0.4-1)
- pthread stubs not provided by native libc, development files
- libptmap-ocaml (2.0.4-1)
- Maps over integers implemented as Patricia trees (Runtime library)
- libptmap-ocaml-dev (2.0.4-1)
- Maps over integers implemented as Patricia trees (Development package)
- libptmap-ocaml-dev-kp2c0
- virtueel pakket geboden door libptmap-ocaml-dev
- libptmap-ocaml-doc (2.0.4-1)
- Documentation for ocaml-ptmap
- libptmap-ocaml-kp2c0
- virtueel pakket geboden door libptmap-ocaml
- libptscotch-6.0 (6.0.6-2)
- MPI programs and libraries for graph, mesh and hypergraph partitioning
- libptscotch-dev (6.0.6-2)
- MPI programs and libraries for graph, mesh and hypergraph partitioning
- libpugixml-dev (1.9-3)
- Light-weight C++ XML processing library (development)
- libpugixml1v5 (1.9-3)
- Light-weight C++ XML processing library
- libpugl-0-0 (0~svn32+dfsg0-2)
- portable API for OpenGL graphical interfaces
- libpugl-dbg (0~svn32+dfsg0-2)
- portable API for OpenGL graphical interfaces (debugging symbols)
- libpugl-dev (0~svn32+dfsg0-2)
- portable API for OpenGL graphical interfaces (headers)
- libpulse-dev (12.2-4+deb10u1)
- PulseAudio client development headers and libraries
- libpulse-java (2.4.7-2)
- PulseAudio sound driver for Java
- libpulse-jni (2.4.7-2)
- PulseAudio sound driver for Java (JNI libraries)
- libpulse-mainloop-glib0 (12.2-4+deb10u1)
- PulseAudio client libraries (glib support)
- libpulse-ocaml (0.1.2-1+b4 [amd64, armhf, i386], 0.1.2-1+b2 [arm64])
- OCaml interface to the PulseAudio sound server
- libpulse-ocaml-dev (0.1.2-1+b4 [amd64, armhf, i386], 0.1.2-1+b2 [arm64])
- OCaml interface to the pulseaudio library
- libpulse-ocaml-dev-hgcf3
- virtueel pakket geboden door libpulse-ocaml-dev
- libpulse-ocaml-dev-jxrp6
- virtueel pakket geboden door libpulse-ocaml-dev
- libpulse-ocaml-hgcf3
- virtueel pakket geboden door libpulse-ocaml
- libpulse-ocaml-jxrp6
- virtueel pakket geboden door libpulse-ocaml
- libpulse0 (12.2-4+deb10u1)
- PulseAudio client libraries
- libpulsedsp (12.2-4+deb10u1)
- PulseAudio OSS pre-load library
- libpuma-dev (1:2.2+git20181008-2)
- C/C++/AspectC++ Scanner and Parsers
- libpuma-doc (1:2.2+git20181008-2)
- C/C++/AspectC++ Scanner and Parsers
- libpuppetlabs-http-client-clojure (0.9.0-1)
- Clojure wrapper around libhttpasyncclient-java
- libpuppetlabs-i18n-clojure (0.8.0-1)
- Clojure i18n library
- libpuppetlabs-ring-middleware-clojure (1.0.0-2)
- common Ring middleware for Puppet projects
- libpurelibc-dev (0.4.1-2)
- Development files for the purelibc library
- libpurelibc1 (0.4.1-2)
- libc+syscalls to libc-only wrapper for libc functions
- libpurify-dev (2.0.0-4+b1)
- Routines for radio interferometric imaging (development files)
- libpurify2.0 (2.0.0-4+b1)
- Routines for radio interferometric imaging (shared lib)
- libpurple-bin (2.13.0-2)
- multi-protocol instant messaging library - extra utilities
- libpurple-dev (2.13.0-2)
- multi-protocol instant messaging library - development files
- libpurple0 (2.13.0-2+b1)
- multi-protocol instant messaging library
- libpuzzle-bin (0.11-2)
- quick similar image finder - runtime tool
- libpuzzle-dev (0.11-2)
- quick similar image finder - development files
- libpuzzle1 (0.11-2)
- quick similar image finder - shared library
- libpvm3 (3.4.6-2)
- Parallel Virtual Machine - shared libraries
- libpwiz-dev (3.0.18342-2)
- library to perform proteomics data analyses (devel files)
- libpwiz-doc (3.0.18342-2)
- set of programs to perform proteomics data analyses (doc)
- libpwiz-tools (3.0.18342-2)
- ProteoWizard command line tools
- libpwiz3 (3.0.18342-2)
- library to perform proteomics data analyses (runtime)
- libpwquality-common (1.4.0-3)
- library for password quality checking and generation (data files)
- libpwquality-dev (1.4.0-3)
- Password quality checking and generation (development files)
- libpwquality-tools (1.4.0-3)
- tools for password quality checking and generation
- libpwquality1 (1.4.0-3)
- library for password quality checking and generation
- libpxp-ocaml-dev (1.2.9-1+b2 [armhf], 1.2.9-1+b1 [amd64, arm64, i386])
- OCaml library that implements an XML-1.0 validating parser
- libpxp-ocaml-dev-deld0
- virtueel pakket geboden door libpxp-ocaml-dev
- libpxp-ocaml-dev-v0877
- virtueel pakket geboden door libpxp-ocaml-dev
- libpycaml-ocaml (0.82-15+b2 [armhf], 0.82-15+b1 [amd64, arm64, i386])
- OCaml bindings to embed Python interpreter (runtime)
- libpycaml-ocaml-dev (0.82-15+b2 [armhf], 0.82-15+b1 [amd64, arm64, i386])
- OCaml bindings to embed Python interpreter (development files)
- libpycaml-ocaml-dev-uf206
- virtueel pakket geboden door libpycaml-ocaml-dev
- libpycaml-ocaml-uf206
- virtueel pakket geboden door libpycaml-ocaml
- libpynac-dev (0.7.23-2)
- Engine for symbolic geometric calculus for Python (development files)
- libpynac18 (0.7.23-2)
- Engine for symbolic geometric calculus for Python
- libpypy-dev
- virtueel pakket geboden door pypy-dev, pypy3-dev
- libpyside2-5.11 (5.11.2-3)
- Python 2 bindings for Qt5 (base files)
- libpyside2-dev (5.11.2-3)
- Python bindings for Qt5 (development files)
- libpyside2-py3-5.11 (5.11.2-3)
- Python 3 bindings for Qt5 (base files)
- libpython-all-dbg (2.7.16-1)
- package depending on all supported Python2 debugging packages
- libpython-all-dev (2.7.16-1)
- package depending on all supported Python2 development packages
- libpython-dbg (2.7.16-1)
- debug build of the Python2 Interpreter (version 2.7)
- libpython-dev (2.7.16-1)
- header files and a static library for Python2
- libpython-stdlib (2.7.16-1)
- interactive high-level object-oriented language (Python2)
- libpython2-dbg (2.7.16-1)
- debug build of the Python2 interpreter (version 2.7)
- libpython2-dev (2.7.16-1)
- header files and a static library for Python2
- libpython2-stdlib (2.7.16-1)
- interactive high-level object-oriented language (Python2)
- libpython2.7 (2.7.16-2+deb10u4) [security]
- Shared Python runtime library (version 2.7)
- libpython2.7-dbg (2.7.16-2+deb10u4) [security]
- Debug Build of the Python Interpreter (version 2.7)
- libpython2.7-dev (2.7.16-2+deb10u4) [security]
- Header files and a static library for Python (v2.7)
- libpython2.7-minimal (2.7.16-2+deb10u4) [security]
- Minimal subset of the Python language (version 2.7)
- libpython2.7-stdlib (2.7.16-2+deb10u4) [security]
- Interactive high-level object-oriented language (standard library, version 2.7)
- libpython2.7-testsuite (2.7.16-2+deb10u4) [security]
- Testsuite for the Python standard library (v2.7)
- libpython3-all-dbg (3.7.3-1)
- package depending on all supported Python 3 debugging packages
- libpython3-all-dev (3.7.3-1)
- package depending on all supported Python 3 development packages
- libpython3-dbg (3.7.3-1)
- debug build of the Python 3 Interpreter (version 3.7)
- libpython3-dev (3.7.3-1)
- header files and a static library for Python (default)
- libpython3-stdlib (3.7.3-1)
- interactive high-level object-oriented language (default python3 version)
- libpython3.7 (3.7.3-2+deb10u7) [security]
- Shared Python runtime library (version 3.7)
- libpython3.7-dbg (3.7.3-2+deb10u7) [security]
- Debug Build of the Python Interpreter (version 3.7)
- libpython3.7-dev (3.7.3-2+deb10u7) [security]
- Header files and a static library for Python (v3.7)
- libpython3.7-minimal (3.7.3-2+deb10u7) [security]
- Minimal subset of the Python language (version 3.7)
- libpython3.7-stdlib (3.7.3-2+deb10u7) [security]
- Interactive high-level object-oriented language (standard library, version 3.7)
- libpython3.7-testsuite (3.7.3-2+deb10u7) [security]
- Testsuite for the Python standard library (v3.7)
- libpyzy-1.0-0v5 (1.0.1-6)
- Chinese PinYin and Bopomofo conversion library
- libpyzy-dev (1.0.1-6)
- Development files of libpyzy
- libqaccessibilityclient-qt5-0 (0.3.0-1)
- helper to make writing accessibility tools easier
- libqaccessibilityclient-qt5-dev (0.3.0-1)
- helper to make writing accessibility tools easier -- development
- libqalculate-dev (2.8.2-1)
- Powerful and easy to use desktop calculator - development
- libqalculate-doc (2.8.2-1)
- Powerful and easy to use desktop calculator - documentation
- libqalculate20 (2.8.2-1)
- Powerful and easy to use desktop calculator - library
- libqalculate20-data (2.8.2-1)
- Powerful and easy to use desktop calculator - data
- libqapt-dev (3.0.4-1)
- Development headers for the QApt library
- libqapt3 (3.0.4-1)
- QApt library package
- libqapt3-runtime (3.0.4-1)
- Runtime components for the QApt library
- libqb-dev (1.0.5-1)
- high performance client server features library (devel files)
- libqb-doc (1.0.5-1)
- high performance client server features library (API docs)
- libqb0 (1.0.5-1)
- high performance client server features library
- libqbscore1.12 (1.12.2+dfsg-2)
- Qbs core library
- libqbsqtprofilesetup1.12 (1.12.2+dfsg-2)
- Qbs profile setup library
- libqca-qt5-2 (2.1.3-2)
- libraries for the Qt Cryptographic Architecture
- libqca-qt5-2-dev (2.1.3-2)
- development files for the Qt Cryptographic Architecture
- libqca-qt5-2-plugins (2.1.3-2)
- QCA plugins for libqca2
- libqca2 (2.1.3-2)
- libraries for the Qt Cryptographic Architecture
- libqca2-dev (2.1.3-2)
- development files for the Qt Cryptographic Architecture
- libqca2-doc (2.1.3-2)
- API documentation for the Qt Cryptographic Architecture
- libqca2-plugins (2.1.3-2)
- QCA plugins for libqca2
- libqcheck-ocaml (0.8-1)
- QuickCheck inspired property-based testing for OCaml (Runtime library)
- libqcheck-ocaml-azo86
- virtueel pakket geboden door libqcheck-ocaml
- libqcheck-ocaml-dev (0.8-1)
- QuickCheck inspired property-based testing for OCaml (Development package)
- libqcheck-ocaml-dev-azo86
- virtueel pakket geboden door libqcheck-ocaml-dev
- libqcheck-ocaml-dev-z8ch8
- virtueel pakket geboden door libqcheck-ocaml-dev
- libqcheck-ocaml-doc (0.8-1)
- Documentation for ocaml-qcheck
- libqcheck-ocaml-z8ch8
- virtueel pakket geboden door libqcheck-ocaml
- libqcow-dev (20181227-1)
- QEMU Copy-On-Write image format access library -- development files
- libqcow-utils (20181227-1)
- QEMU Copy-On-Write image format access library -- Utilities
- libqcow1 (20181227-1)
- QEMU Copy-On-Write image format access library
- libqcsxcad0 (0.0.35+dfsg.1-3)
- QT Gui Library for Visualization of Continuous Structure XML
- libqcustomplot-dev (2.0.1+dfsg1-1)
- Qt C++ widget for plotting - header
- libqcustomplot-doc (2.0.1+dfsg1-1)
- Qt C++ widget for plotting - documentation and examples
- libqcustomplot2.0 (2.0.1+dfsg1-1)
- Qt C++ widget for plotting
- libqd-dev (2.3.22+dfsg.1-2)
- Double-double and quad double types in C++ and F90
- libqd0v5 (2.3.22+dfsg.1-2)
- Double-double and quad double types in C++ and F90
- libqdbm++-dev (1.8.78-9+b1)
- QDBM Database Libraries for C++ [development] (transitional package)
- libqdbm-dev (1.8.78-9+b1)
- QDBM Database Libraries [development]
- libqdbm-java (1.8.78-9+b1)
- QDBM Database Libraries for Java
- libqdbm-perl (1.8.78-9+b1)
- QDBM Database Libraries for Perl
- libqdbm14 (1.8.78-9+b1)
- QDBM Database Libraries without GDBM wrapper[runtime]
- libqdbm3++c2 (1.8.78-9+b1)
- QDBM Database Libraries for C++ [runtime] (transitional package)
- libqdjango-db0 (0.6.2-3)
- Database library for the QDjango framework
- libqdjango-dbg (0.6.2-3)
- Debugging symbols for the QDjango framework
- libqdjango-dev (0.6.2-3)
- Development files for the QDjango framework
- libqdjango-doc (0.6.2-3)
- Documentation for the QDjango framework
- libqdjango-http0 (0.6.2-3)
- HTTP library for the QDjango framework
- libqdox-java (1.12.1-3)
- Quickly parses declarations and Javadoc from Java source
- libqdox-java-doc (1.12.1-3)
- Documentation for qdox (javadoc)
- libqdox2-java (2.0~M10-1)
- quickly parses declarations and Javadoc from Java source
- libqdox2-java-doc (2.0~M10-1)
- Documentation for QDox
- libqdwizard-java (5.0.1-1)
- simple Java Wizard API
- libqes-dev (0.2.8-1)
- DNA sequence parsing library -- development
- libqes0 (0.2.8-1)
- DNA sequence parsing library
- libqfits-dev (6.2.0-8+b2)
- Development files for libqfits
- libqfits0 (6.2.0-8+b2)
- Library offering easy access to FITS files
- libqgis-analysis2.18.28 (2.18.28+dfsg-2)
- QGIS - shared analysis library
- libqgis-app2.18.28 (2.18.28+dfsg-2)
- QGIS - shared app library
- libqgis-core2.18.28 (2.18.28+dfsg-2)
- QGIS - shared core library
- libqgis-customwidgets (2.18.28+dfsg-2)
- QGIS custom widgets for Qt Designer
- libqgis-customwidgets2.4.0
- virtueel pakket geboden door libqgis-customwidgets
- libqgis-customwidgets2.6.0
- virtueel pakket geboden door libqgis-customwidgets
- libqgis-customwidgets2.6.1
- virtueel pakket geboden door libqgis-customwidgets
- libqgis-dev (2.18.28+dfsg-2)
- QGIS - development files
- libqgis-gui2.18.28 (2.18.28+dfsg-2)
- QGIS - shared gui library
- libqgis-networkanalysis2.18.28 (2.18.28+dfsg-2)
- QGIS - shared network analysis library
- libqgis-server2.18.28 (2.18.28+dfsg-2)
- QGIS - shared server library
- libqgisgrass7-2.18.28 (2.18.28+dfsg-2)
- QGIS - shared grass library
- libqgispython2.18.28 (2.18.28+dfsg-2)
- QGIS - shared Python library
- libqglviewer-dev-qt4 (2.6.3+dfsg2-3)
- OpenGL 3D viewer library based on Qt4 - development files
- libqglviewer-dev-qt5 (2.6.3+dfsg2-3)
- OpenGL 3D viewer library based on Qt5 - development files
- libqglviewer-doc (2.6.3+dfsg2-3)
- OpenGL 3D viewer library - documentation and examples
- (2.6.3+dfsg2-3)
- OpenGL 3D viewer library - header files
- libqglviewer2-qt4 (2.6.3+dfsg2-3)
- OpenGL 3D viewer library based on Qt - runtime library
- libqglviewer2-qt5 (2.6.3+dfsg2-3)
- OpenGL 3D viewer library based on Qt - runtime library
- libqgpgme1 (4:4.14.10-11)
- library for GpgME++ integration with Qt
- libqgpgme7 (1.12.0-6)
- library for GPGME integration with Qt
- libqgpsmm-dev (3.17-7)
- Global Positioning System - Qt wrapper for libgps (development)
- libqgpsmm23 (3.17-7)
- Global Positioning System - Qt wrapper for libgps
- libqhttpengine-dev (0.1.0+dfsg1-1+b2)
- HTTP server for Qt applications - development files
- libqhttpengine-doc (0.1.0+dfsg1-1)
- HTTP server for Qt applications - documentation
- libqhttpengine-examples (0.1.0+dfsg1-1+b2)
- HTTP server for Qt applications - examples
- libqhttpengine0 (0.1.0+dfsg1-1+b2)
- HTTP server for Qt applications
- libqhull-dev (2015.2-4)
- calculate convex hulls and related structures (development files)
- libqhull-doc (2015.2-4)
- calculate convex hulls and related structures (documentation files)
- libqhull-r7 (2015.2-4)
- calculate convex hulls and related structures (reentrant shared library)
- libqhull7 (2015.2-4)
- calculate convex hulls and related structures (shared library)
- libqimageblitz-dev (1:0.0.6-5)
- development files for the QImageBlitz image effects library
- libqimageblitz4 (1:0.0.6-5)
- QImageBlitz image effects library
- libqjdns-qt4-2 (2.0.3-1+b2)
- Simple DNS queries library - QT4 wrapper
- libqjdns-qt4-dbg (2.0.3-1+b2)
- Simple DNS queries library (Qt4) - debugging symbols
- libqjdns-qt4-dev (2.0.3-1+b2)
- Simple DNS queries library QT4 wrapper - development files
- libqjdns-qt5-2 (2.0.3-1+b2)
- Simple DNS queries library - Qt5 wrapper
- libqjdns-qt5-dbg (2.0.3-1+b2)
- Simple DNS queries library (Qt5) - debugging symbols
- libqjdns-qt5-dev (2.0.3-1+b2)
- Simple DNS queries library Qt5 wrapper - development files
- libqjson-dbg (0.8.1-3+b1)
- Qt-based library that maps JSON data to QVariant objects, debug info
- libqjson-dev (0.8.1-3+b1)
- Qt-based library that maps JSON data to QVariant objects - development files
- libqjson0 (0.8.1-3+b1)
- Qt-based library that maps JSON data to QVariant objects
- libqjson0-dbg (0.8.1-3)
- transitional dummy package for qjson's debugging symbols
- libqm-dsp-dev (1.7.1-4)
- Development files (headers) for libqm-dsp library
- libqm-dsp0 (1.7.1-4)
- C++ library for audio signals analysis
- libqmath3d-dev (0~1.0-2)
- Useful 3dmaths functions from Qt3d v1.0
- libqmath3d1 (0~1.0-2)
- Useful 3dmaths functions from Qt3d v1.0
- libqmatrixclient-dev (0.4.2.1-1)
- Qt5 library to write cross-platform clients for Matrix
- libqmatrixclient0.4 (0.4.2.1-1)
- Qt5 library to write cross-platform clients for Matrix
- libqmi-glib-dev (1.22.0-1.2)
- Header files for adding QMI support to applications that use glib
- libqmi-glib-doc (1.22.0-1.2)
- API documentation for libqmi-glib
- libqmi-glib5 (1.22.0-1.2)
- Support library to use the Qualcomm MSM Interface (QMI) protocol
- libqmi-proxy (1.22.0-1.2)
- Proxy to communicate with QMI ports
- libqmi-utils (1.22.0-1.2)
- Utilities to use the QMI protocol from the command line
- libqmobipocket-dev (4:17.08.3-2)
- development files for the QMobipocket library
- libqmobipocket2 (4:17.08.3-2)
- library for reading Mobipocket documents
- libqoauth-dev (2.0.1~1-3)
- Qt5-based OAuth implementation development headers
- libqoauth2 (2.0.1~1-3)
- Qt5-based client implementation of the OAuth authorization scheme
- libqpdf-dev (8.4.0-2+deb10u1) [security]
- development files for PDF transformation/inspection library
- libqpdf21 (8.4.0-2+deb10u1) [security]
- runtime library for PDF transformation/inspection software
- libqpid-proton-cpp-dev
- virtueel pakket geboden door libqpid-proton-cpp12-dev
- libqpid-proton-cpp12 (0.22.0-3)
- C++ libraries for Qpid Proton
- libqpid-proton-cpp12-dev (0.22.0-3)
- C++ Development libraries for writing messaging apps with Qpid Proton
- libqpid-proton-cpp12-dev-doc (0.22.0-3)
- C++ developer documentation for Qpid Proton
- libqpid-proton-dev
- virtueel pakket geboden door libqpid-proton11-dev
- libqpid-proton-dev-doc
- virtueel pakket geboden door libqpid-proton11-dev-doc
- libqpid-proton-dev-examples
- virtueel pakket geboden door libqpid-proton11-dev-examples
- libqpid-proton11 (0.22.0-3)
- C libraries for Qpid Proton
- libqpid-proton11-dev (0.22.0-3)
- C Development libraries for writing messaging apps with Qpid Proton
- libqpid-proton11-dev-doc (0.22.0-3)
- Developer documentation for Qpid Proton
- libqpid-proton11-dev-examples (0.22.0-3)
- Example applications for writign messaging apps with Qpid Proton
- libqpx-dev (0.7.2-4.1)
- CD/DVD quality checker (development files)
- libqpx0 (0.7.2-4.1)
- CD/DVD quality checker (shared libraries)
- libqqwing-dev (1.3.4-1.1)
- tool for generating and solving Sudoku puzzles (development)
- libqqwing2v5 (1.3.4-1.1)
- tool for generating and solving Sudoku puzzles (library)
- libqrcodegen-dev (1.4.0-1)
- QR Code generator library in multiple languages - C development headers
- libqrcodegen1 (1.4.0-1)
- QR Code generator library in multiple languages - C version
- libqrcodegencpp-dev (1.4.0-1)
- QR Code generator library in multiple languages - C++ development headers
- libqrcodegencpp1 (1.4.0-1)
- QR Code generator library in multiple languages - C++ version
- libqrencode-dev (4.0.2-1)
- QR Code encoding library -- development
- libqrencode4 (4.0.2-1)
- QR Code encoding library
- libqrupdate-dev (1.1.2-3)
- Fast updates of QR and Cholesky decompositions -- static library
- libqrupdate1 (1.1.2-3)
- Fast updates of QR and Cholesky decompositions
- libqsastime-dev (5.14.0+dfsg-3)
- Time format conversion library (development files)
- libqsastime0 (5.14.0+dfsg-3)
- Time format conversion library
- libqscintilla2-designer (2.10.4+dfsg-2.1)
- Qt4 Designer plugin for QScintilla 2 (Transitional package)
- libqscintilla2-dev
- virtueel pakket geboden door libqscintilla2-qt4-dev
- libqscintilla2-doc (2.10.4+dfsg-2.1)
- API documentation for QScintilla 2
- libqscintilla2-qt4-13 (2.10.4+dfsg-2.1)
- Qt4 port of the Scintilla source code editing widget
- libqscintilla2-qt4-13-dbg (2.10.4+dfsg-2.1)
- Qt4 port of the Scintilla source code editing widget (debug)
- libqscintilla2-qt4-designer (2.10.4+dfsg-2.1)
- Qt4 Designer plugin for QScintilla 2
- libqscintilla2-qt4-designer-dbg (2.10.4+dfsg-2.1)
- Qt4 Designer plugin for QScintilla 2 (debug)
- libqscintilla2-qt4-dev (2.10.4+dfsg-2.1)
- Scintilla source code editing widget for Qt4, development files
- libqscintilla2-qt4-l10n (2.10.4+dfsg-2.1)
- Scintilla source code editing widget for Qt4, translation files
- libqscintilla2-qt5-13 (2.10.4+dfsg-2.1)
- Qt5 port of the Scintilla source code editing widget
- libqscintilla2-qt5-13-dbg (2.10.4+dfsg-2.1)
- Qt5 port of the Scintilla source code editing widget (debug)
- libqscintilla2-qt5-designer (2.10.4+dfsg-2.1)
- Qt5 Designer plugin for QScintilla 2
- libqscintilla2-qt5-designer-dbg (2.10.4+dfsg-2.1)
- Qt5 Designer plugin for QScintilla 2 (debug)
- libqscintilla2-qt5-dev (2.10.4+dfsg-2.1)
- Scintilla source code editing widget for Qt5, development files
- libqscintilla2-qt5-l10n (2.10.4+dfsg-2.1)
- Scintilla source code editing widget for Qt5, translation files
- libqsopt-ex-dev (2.5.10.3-2)
- Exact linear programming solver -- development files
- libqsopt-ex2 (2.5.10.3-2)
- Exact linear programming solver -- shared library
- libqt4-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 library debugging symbols
- libqt4-dbus (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 D-Bus module
- libqt4-declarative (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 Declarative module
- libqt4-declarative-folderlistmodel (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 folderlistmodel QML plugin
- libqt4-declarative-gestures (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 gestures QML plugin
- libqt4-declarative-particles (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 particles QML plugin
- libqt4-declarative-shaders (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 shaders QML plugin
- libqt4-designer (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 designer module
- libqt4-designer-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 designer library debugging symbols
- libqt4-dev (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 development files
- libqt4-dev-bin (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 development programs
- libqt4-help (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 help module
- libqt4-network (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 network module
- libqt4-opengl (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 OpenGL module
- libqt4-opengl-dev (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 OpenGL library development files
- libqt4-phonon (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 Phonon module
- libqt4-qt3support (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 3 compatibility library for Qt 4
- libqt4-qt3support-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 3 compatibility library for Qt 4 debugging symbols
- libqt4-script (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 script module
- libqt4-script-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 script library debugging symbols
- libqt4-scripttools (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 script tools module
- libqt4-sql (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 SQL module
- libqt4-sql-ibase (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 InterBase/FireBird database driver
- libqt4-sql-mysql (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 MySQL database driver
- libqt4-sql-odbc (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 ODBC database driver
- libqt4-sql-psql (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 PostgreSQL database driver
- libqt4-sql-sqlite (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 SQLite 3 database driver
- libqt4-sql-sqlite2 (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 SQLite 2 database driver
- libqt4-sql-tds (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 FreeTDS database driver
- libqt4-svg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 SVG module
- libqt4-test (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 test module
- libqt4-xml (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 XML module
- libqt4-xmlpatterns (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 XML patterns module
- libqt4-xmlpatterns-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 XML patterns library debugging symbols
- libqt53danimation5 (5.11.3+dfsg-2)
- Qt 3D animation module
- libqt53dcore5 (5.11.3+dfsg-2)
- Qt 3D module
- (5.11.3+dfsg-2)
- Qt 3D extras
- libqt53dinput5 (5.11.3+dfsg-2)
- Qt 3D Input module
- libqt53dlogic5 (5.11.3+dfsg-2)
- Qt 3D logic module
- libqt53dquick5 (5.11.3+dfsg-2)
- Qt 3D Quick module
- libqt53dquickanimation5 (5.11.3+dfsg-2)
- Qt 3D Quick animation module
- (5.11.3+dfsg-2)
- Qt 3D Quick extras
- libqt53dquickinput5 (5.11.3+dfsg-2)
- Qt 3D Quick input
- libqt53dquickrender5 (5.11.3+dfsg-2)
- Qt 3D Quick Renderer module
- libqt53dquickscene2d5 (5.11.3+dfsg-2)
- Qt 3D Quick scene module
- libqt53drender5 (5.11.3+dfsg-2)
- Qt 3D Renderer module
- libqt5bluetooth5 (5.11.3-2)
- Qt Connectivity Bluetooth module
- libqt5bluetooth5-bin (5.11.3-2)
- Qt Connectivity Bluetooth module helper binaries
- libqt5charts5 (5.11.3-2)
- Qt charts shared library
- libqt5charts5-dev (5.11.3-2)
- Qt charts development files
- libqt5concurrent5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 concurrent module
- libqt5core5a (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 core module
- libqt5datavisualization5 (5.11.3-1)
- Qt 5 Data Visualization module
- libqt5datavisualization5-dev (5.11.3-1)
- APIs for data visualization functionality - development files
- libqt5dbus5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 D-Bus module
- libqt5deepintheme-plugin
- virtueel pakket geboden door dde-qt5integration
- libqt5designer5 (5.11.3-4)
- Qt 5 designer module
- libqt5designercomponents5 (5.11.3-4)
- Qt 5 Designer components module
- libqt5dxcb-plugin
- virtueel pakket geboden door qt5dxcb-plugin
- libqt5gamepad5 (5.11.3-2)
- Qt 5 gamepad module
- libqt5gamepad5-dev (5.11.3-2)
- Qt 5 gamepad module - development files
- libqt5glib-2.0-0 (1.2.0-5)
- C++ bindings library for GLib and GObject with a Qt-style API - Qt 5 build
- libqt5gstreamer-1.0-0 (1.2.0-5)
- C++ bindings library for GStreamer with a Qt-style API - Qt 5 build
- libqt5gstreamer-dev (1.2.0-5)
- Development headers for QtGStreamer - Qt 5 build
- libqt5gstreamerquick-1.0-0 (1.2.0-5)
- QtGStreamerQuick library - Qt 5 build
- libqt5gstreamerui-1.0-0 (1.2.0-5)
- QtGStreamerUi library - Qt 5 build
- libqt5gstreamerutils-1.0-0 (1.2.0-5)
- QtGStreamerUtils library - Qt 5 build
- libqt5gui5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 GUI module
- libqt5help5 (5.11.3-4)
- Qt 5 help module
- libqt5keychain1 (0.9.1-2)
- Qt API to store passwords (QT5 version)
- libqt5location5 (5.11.3+dfsg-2)
- Qt Location module
- libqt5location5-plugins (5.11.3+dfsg-2)
- Qt Location module - geolocation plugins
- libqt5multimedia5 (5.11.3-2)
- Qt 5 Multimedia module
- libqt5multimedia5-plugins (5.11.3-2)
- Qt 5 Multimedia module plugins
- libqt5multimediagsttools5 (5.11.3-2)
- GStreamer tools for Qt 5 Multimedia module
- libqt5multimediaquick5 (5.11.3-2)
- Qt 5 Multimedia Quick module
- libqt5multimediawidgets5 (5.11.3-2)
- Qt 5 Multimedia Widgets module
- libqt5network5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 network module
- libqt5networkauth5 (5.11.3-2)
- online account access for Qt apps - Library
- libqt5networkauth5-dev (5.11.3-2)
- online account access for Qt apps - Development Files
- libqt5nfc5 (5.11.3-2)
- Qt Connectivity NFC module
- libqt5opengl5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 OpenGL module
- libqt5opengl5-desktop-dev (= 5.11.3+dfsg1-1+deb10u3)
- virtueel pakket geboden door libqt5opengl5-dev
- libqt5opengl5-desktop-dev (= 5.11.3+dfsg1-1+deb10u5)
- virtueel pakket geboden door libqt5opengl5-dev
- libqt5opengl5-desktop-dev (= 5.11.3+dfsg1-1+deb10u6)
- virtueel pakket geboden door libqt5opengl5-dev
- libqt5opengl5-dev (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 OpenGL library development files
- libqt5pas-dev (2.6~beta-6)
- Development files for Qt5Pas
- libqt5pas1 (2.6~beta-6)
- Qt5 interface bindings for Pascal
- libqt5positioning5 (5.11.3+dfsg-2)
- Qt Positioning module
- libqt5positioning5-plugins (5.11.3+dfsg-2)
- Qt Positioning module - position plugins
- libqt5positioningquick5 (5.11.3+dfsg-2)
- Qt Positioning module - Qt Quick module
- libqt5printsupport5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 print support module
- libqt5qevercloud3 (3.0.3+ds-4)
- Unofficial Evernote Cloud API library for Qt5
- libqt5qml5 (5.11.3-4)
- Qt 5 QML module
- libqt5quick5 (5.11.3-4)
- Qt 5 Quick library
- libqt5quickcontrols2-5 (5.11.3+dfsg-2)
- Qt 5 Quick Controls 2 library
- libqt5quickparticles5 (5.11.3-4)
- Qt 5 Quick particles module
- libqt5quicktemplates2-5 (5.11.3+dfsg-2)
- Qt 5 Quick Templates 2 library
- libqt5quicktest5 (5.11.3-4)
- Qt 5 Quick Test library
- libqt5quickwidgets5 (5.11.3-4)
- Qt 5 Quick Widgets library
- libqt5scintilla2-designer (2.10.4+dfsg-2.1)
- Qt5 Designer plugin for QScintilla 2 (Transitional package)
- libqt5scintilla2-dev
- virtueel pakket geboden door libqscintilla2-qt5-dev
- libqt5script5 (5.11.3+dfsg-3)
- Qt 5 script module
- libqt5scripttools5 (5.11.3+dfsg-3)
- Qt 5 script tools module
- libqt5sensors5 (5.11.3-2)
- Qt Sensors module
- libqt5sensors5-dev (5.11.3-2)
- Qt 5 Sensors development files
- libqt5serialbus5 (5.11.3-2)
- Qt serialbus - serial bus access shared library
- libqt5serialbus5-bin (5.11.3-2)
- Qt Serialbus module serial bus access helper binaries
- libqt5serialbus5-dev (5.11.3-2)
- Qt serialbus serial bus access development
- libqt5serialbus5-plugins (5.11.3-2)
- Qt serialbus - serial bus access plugins
- libqt5serialport5 (5.11.3-2)
- Qt 5 serial port support
- libqt5serialport5-dev (5.11.3-2)
- Qt 5 serial port development files
- libqt5sql5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 SQL module
- libqt5sql5-ibase (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 Firebird database driver
- libqt5sql5-mysql (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 MySQL database driver
- libqt5sql5-odbc (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 ODBC database driver
- libqt5sql5-psql (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 PostgreSQL database driver
- libqt5sql5-sqlite (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 SQLite 3 database driver
- libqt5sql5-tds (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 FreeTDS database driver
- libqt5svg5 (5.11.3-2)
- Qt 5 SVG module
- libqt5svg5-dev (5.11.3-2)
- Qt 5 SVG module development files
- libqt5test5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 test module
- libqt5texttospeech5 (5.11.3-3)
- Speech library for Qt - libraries
- libqt5texttospeech5-dev (5.11.3-3)
- Speech library for Qt - development files
- libqt5waylandclient5 (5.11.3-2)
- QtWayland client library
- libqt5waylandclient5-dev (5.11.3-2)
- QtWayland client development files
- libqt5waylandcompositor5 (5.11.3-2)
- QtWayland compositor library
- libqt5waylandcompositor5-dev (5.11.3-2)
- QtWayland compositor development files
- libqt5webchannel5 (5.11.3-2)
- Web communication library for Qt
- libqt5webchannel5-dev (5.11.3-2)
- Web communication library for Qt - development files
- libqt5webengine-data (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt - Data
- libqt5webengine5 (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt
- libqt5webenginecore5 (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt - Core
- libqt5webenginewidgets5 (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt - Widget
- libqt5webkit5 (5.212.0~alpha2-21)
- Web content engine library for Qt
- libqt5webkit5-dev (5.212.0~alpha2-21)
- Web content engine library for Qt - development files
- libqt5websockets5 (5.11.3-5)
- Qt 5 Web Sockets module
- libqt5websockets5-dev (5.11.3-5)
- Qt 5 Web Sockets module - development files
- libqt5webview5 (5.11.3-2)
- display web content in a QML application - Library
- libqt5webview5-dev (5.11.3-2)
- display web content in a QML application - Development Files
- libqt5widgets5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 widgets module
- (5.11.3-2)
- Qt 5 X11 extras
- (5.11.3-2)
- Qt 5 X11 extras development files
- libqt5xdg
- virtueel pakket geboden door libqt5xdg3
- libqt5xdg-dev (3.3.1-2)
- Development files for libqtxdg
- libqt5xdg3 (3.3.1-2)
- Implementation of the XDG Specifications for Qt (shared lib)
- libqt5xdgiconloader
- virtueel pakket geboden door libqt5xdgiconloader3
- libqt5xdgiconloader-dev (3.3.1-2)
- Development files for libqtxdgiconloader
- libqt5xdgiconloader3 (3.3.1-2)
- Implementation of the XDG Iconloader for Qt (shared lib)
- libqt5xml5 (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 XML module
- libqt5xmlpatterns5 (5.11.3-2)
- Qt 5 XML patterns module
- libqt5xmlpatterns5-dev (5.11.3-2)
- Qt 5 XML patterns development files
- libqtassistantclient-dev (4.6.3-7+b1)
- Qt Assistant client library (development)
- libqtassistantclient4 (4.6.3-7+b1)
- Qt Assistant client library (runtime)
- libqtav-dev (1.12.0+ds-5+b3)
- QtAV development files
- libqtav-private-dev (1.12.0+ds-5+b3)
- QtAV private development files
- libqtav1 (1.12.0+ds-5+b3)
- QtAV library
- libqtavwidgets1 (1.12.0+ds-5+b3)
- QtAV Widgets module
- libqtcore4 (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 core module
- libqtcurve-utils2 (1.9-2+b2)
- common library for QtCurve
- libqtdbus4 (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 D-Bus module library
- libqtdbusmock1 (0.7+bzr49+repack1-3)
- Library for mocking DBus interactions using Qt
- libqtdbusmock1-common (0.7+bzr49+repack1-3)
- Library for mocking DBus interactions using Qt (common files)
- libqtdbusmock1-dev (0.7+bzr49+repack1-3)
- Library for mocking DBus interactions using Qt (development files)
- libqtdbustest1 (0.2+bzr42+repack1-5)
- Library for testing DBus interactions using Qt
- libqtdbustest1-dev (0.2+bzr42+repack1-5)
- Library for testing DBus interactions using Qt (development files)
- libqtermwidget5-0 (0.14.1-1)
- Terminal emulator widget for Qt 5 (shared libraries)
- libqtermwidget5-0-dev (0.14.1-1)
- Terminal emulator widget for Qt 5 (development files)
- libqtest-ocaml (2.9-2)
- Inline (Unit) Tests for OCaml (Runtime library)
- libqtest-ocaml-2ia70
- virtueel pakket geboden door libqtest-ocaml
- libqtest-ocaml-dev (2.9-2)
- Inline (Unit) Tests for OCaml (Development package)
- libqtest-ocaml-dev-2ia70
- virtueel pakket geboden door libqtest-ocaml-dev
- libqtest-ocaml-doc (2.9-2)
- Documentation for ocaml-qtest
- libqtexengine-dev (0.3-3)
- Development files for the QTeXEngine library
- libqtexengine1 (0.3-3)
- Library to export graphics created using QPainter to TeX
- libqtgui4 (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 GUI module
- libqtpropertybrowser-dev (4.1.2-3)
- Qt Property Browser Library - development
- libqtpropertybrowser4 (4.1.2-3)
- Qt Property Browser Library - runtime
- libqtshadowsocks-dev (2.1.0-2+b1)
- Development files of libQtShadowsocks
- libqtshadowsocks2 (2.1.0-2+b1)
- Lightweight and ultra-fast shadowsocks library written in C++/Qt
- libqtspell-qt5-0 (0.8.5-1)
- Spell checking for Qt5 text widgets
- libqtspell-qt5-dev (0.8.5-1)
- Development files for libqtspell-qt5
- libqtspell-qt5-html (0.8.5-1)
- Spell checking for Qt5 text widgets
- libqtwebkit-dev (2.3.4.dfsg-10)
- Web content engine library for Qt - development files
- libqtwebkit-qmlwebkitplugin (2.3.4.dfsg-10)
- Qt WebKit QML plugin
- libqtwebkit4 (2.3.4.dfsg-10)
- Web content engine library for Qt
- libqtwebkit4-dbg (2.3.4.dfsg-10)
- Web content engine library for Qt - debugging symbols
- libquadmath0 (8.3.0-6)
- GCC Quad-Precision Math Library
- libquadmath0-amd64-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library
- libquadmath0-dbg (8.3.0-6)
- GCC Quad-Precision Math Library (debug symbols)
- libquadmath0-i386-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library
- libquadmath0-ppc64el-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library
- libquadmath0-x32-cross (8.3.0-2cross2)
- GCC Quad-Precision Math Library
- libquadrule-dev (0~20121001-2+b1)
- Development files for quadrule
- libquadrule1 (0~20121001-2+b1)
- Quadrature rules and numerical integration routines
- libquantlib-1.0.0
- virtueel pakket geboden door libquantlib0v5
- libquantlib-1.1
- virtueel pakket geboden door libquantlib0v5
- libquantlib-1.2
- virtueel pakket geboden door libquantlib0v5
- libquantlib0-dev (1.15-1)
- Quantitative Finance Library -- development package
- libquantlib0v5 (1.15-1)
- Quantitative Finance Library -- library package
- libquantum-dev (1.1.1-5)
- library for the simulation of a quantum computer (development files)
- libquantum-entanglement-perl (0.32-3)
- Quantum Mechanic entanglement of variables in perl
- libquantum-superpositions-perl (2.03-1)
- Quantum Mechanic-like superpositions for Perl
- libquantum8 (1.1.1-5)
- library for the simulation of a quantum computer
- libquartz-java (1:1.8.6-6)
- open source job scheduling system
- libquartz2-java (2.3.0-2)
- open source job scheduling system
- libquazip-dev (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (development files, Qt4 build)
- libquazip-doc (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (documentation)
- (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (development header files)
- libquazip-qt5-1
- virtueel pakket geboden door libquazip5-1
- libquazip1 (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (Qt4 build)
- libquazip1-qt5
- virtueel pakket geboden door libquazip5-1
- libquazip5-1 (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (Qt5 build)
- libquazip5-dev (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (development files, Qt5 build)
- (0.7.6-2)
- C++ wrapper for ZIP/UNZIP (development header files, Qt5 build)
- libquicktime-dev (2:1.2.4-12+b2)
- library for reading and writing Quicktime files (development)
- libquicktime-doc (2:1.2.4-12)
- library for reading and writing Quicktime files (documentation)
- libquicktime2 (2:1.2.4-12+b2)
- library for reading and writing Quicktime files
- libquoin-clojure (0.1.2-3)
- Clojure utilities for writing template engines
- libquorum-dev (3.0.1-2+deb10u1)
- cluster engine Quorum library development
- libquorum5 (3.0.1-2+deb10u1)
- cluster engine Quorum library
- libquota-perl (1.7.2+dfsg-1+b5)
- Perl interface to file system quotas
- libquvi-0.9-0.9.3 (0.9.3-1.3)
- library for parsing video download links (runtime libraries)
- libquvi-0.9-dev (0.9.3-1.3)
- library for parsing video download links (development package)
- libquvi-0.9-doc (0.9.3-1.3)
- library for parsing video download links (documentation package)
- libquvi-scripts-0.9 (0.9.20131130-1.1)
- library for parsing video download links (Lua scripts)
- libqwt-dev (6.1.4-1)
- Qt widgets library for technical applications (development, qt4)
- libqwt-doc (6.1.4-1)
- Qt widgets library for technical applications (documentation)
- (6.1.4-1)
- Qt widgets library for technical applications (header files)
- libqwt-qt5-6 (6.1.4-1)
- Qt widgets library for technical applications (runtime, qt5)
- libqwt-qt5-dev (6.1.4-1)
- Qt widgets library for technical applications (development, qt5)
- libqwt5-doc (5.2.3-1)
- Qt widgets library for technical applications (documentation)
- libqwt5-qt4 (5.2.3-1)
- Qt4 widgets library for technical applications (runtime)
- libqwt5-qt4-dev (5.2.3-1)
- Qt4 widgets library for technical applications (development)
- libqwt6abi1 (6.1.4-1)
- Qt widgets library for technical applications (runtime, qt4)
- libqwtmathml-dev (6.1.4-1)
- Text Engine for Qwt (development, qt4)
- libqwtmathml-qt5-6 (6.1.4-1)
- Text Engine for Qwt (runtime, qt5)
- libqwtmathml-qt5-dev (6.1.4-1)
- Text Engine for Qwt (development, qt5)
- libqwtmathml6abi1 (6.1.4-1)
- Text Engine for Qwt (runtime, qt4)
- libqwtplot3d-doc (0.2.7+svn191+gcc7-3)
- 3D plotting library based on Qt/OpenGL (documentation)
- libqwtplot3d-qt5-0 (0.2.7+svn191+gcc7-3)
- 3D plotting library based on Qt5/OpenGL (runtime)
- libqwtplot3d-qt5-dev (0.2.7+svn191+gcc7-3)
- 3D plotting library based on Qt5/OpenGL (development)
- libqxmlrpc-dev (0.0.svn6-2)
- full Qt4 based implementation of XML-RPC protocol. (headers)
- libqxmlrpc-doc (0.0.svn6-2)
- full Qt4 based implementation of XML-RPC protocol. (headers)
- libqxmlrpc1 (0.0.svn6-2)
- full Qt4 based implementation of XML-RPC protocol.
- libqxmpp-dev (1.0.0-4+deb10u1)
- Development files for the QXmpp library
- libqxmpp-doc (1.0.0-4+deb10u1)
- Documentation for the QXmpp library
- libqxmpp1 (1.0.0-4+deb10u1)
- QXmpp library for XMPP client and server applications
- libqxp-0.0-0 (0.0.2-1)
- library for reading and converting QuarkXPress files
- libqxp-dev (0.0.2-1)
- library for reading and converting QuarkXPress files -- development
- libqxp-doc (0.0.2-1)
- library for reading and converting QuarkXPress files -- documentation
- libqxp-tools (0.0.2-1)
- library for reading and converting QuarkXPress files -- tools
- libr3
- virtueel pakket geboden door libr3-0
- libr3-0 (1.3.4-1)
- High-performance URL router library
- libr3-dev (1.3.4-1)
- High-performance URL router library (development files)
- librabbitmq-client-java (5.0.0-1)
- RabbitMQ Java client
- librabbitmq-dev (0.9.0-0.2)
- AMQP client library written in C - Dev Files
- librabbitmq4 (0.9.0-0.2)
- AMQP client library written in C
- librad0 (2.12.0-6)
- rapid application development library
- librad0-tools (2.12.0-6)
- tools for rapid application development library
- libradcli-dev (1.2.6-4)
- Enhanced RADIUS client library development files
- libradcli4 (1.2.6-4)
- Enhanced RADIUS client library
- librados-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS distributed object store client library (development files)
- librados2 (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS distributed object store client library
- libradosstriper-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS striping interface (development files)
- libradosstriper1 (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS striping interface
- libradsec-dev (0.0.5-5)
- RADIUS library with RADSEC support
- libradsec0 (0.0.5-5)
- RADIUS library supporting RADSEC
- librandom-numbers-dev (0.3.2-1+b1)
- Robot OS random numbers library - development files
- librandom-numbers0d (0.3.2-1+b1)
- Robot OS random numbers library
- librandom123-dev (1.09+dfsg-2)
- parallel random numbers library
- librandom123-doc (1.09+dfsg-2)
- documentation and examples of parallel random numbers library
- librange-v3-dev (0.4.0-2)
- range algorithms, views, and actions for C++11/14/17 - headers
- librange-v3-doc (0.4.0-2)
- range algorithms, views, and actions for C++11/14/17 - documentation
- libranlip-dev (1.0-4.2)
- generates random variates with multivariate Lipschitz density
- libranlip1c2 (1.0-4.2)
- generates random variates with multivariate Lipschitz density
- librapidxml-dev (1.13-2)
- fast XML DOM-style parser in C++ (development files)
- libraptor2-0 (2.0.14-1.1~deb10u2)
- Raptor 2 RDF syntax library
- libraptor2-0-dbg (2.0.14-1.1~deb10u2)
- Raptor RDF parser and serializer library - debugging symbols
- libraptor2-dev (2.0.14-1.1~deb10u2)
- Raptor 2 RDF syntax library development libraries and headers
- libraptor2-doc (2.0.14-1.1~deb10u2)
- Documentation for the Raptor 2 RDF syntax library
- libraqm-dev (0.5.0-1)
- Development files for Raqm library
- libraqm0 (0.5.0-1)
- Library for complex text layout
- librarian-puppet (3.0.0-1)
- bundler for your puppet modules
- librarian-puppet-simple (0.0.5-3)
- simple version of librarian-puppet with most of its commands
- libraspell-ruby (1.3-1)
- Transitional package for raspell
- libraspell-ruby
- virtueel pakket geboden door raspell
- libraspell-ruby1.8 (1.3-1)
- Transitional package for raspell
- libraspell-ruby1.8
- virtueel pakket geboden door raspell
- libraspell-ruby1.9.1 (1.3-1)
- Transitional package for raspell
- libraspell-ruby1.9.1
- virtueel pakket geboden door raspell
- librasqal-dev
- virtueel pakket geboden door librasqal3-dev
- librasqal3 (0.9.32-1+b1)
- Rasqal RDF query library
- librasqal3-dbg (0.9.32-1+b1)
- Rasqal RDF Query Library - debugging symbols
- librasqal3-dev (0.9.32-1+b1)
- Rasqal RDF query library development libraries and headers
- librasqal3-doc (0.9.32-1)
- Documentation for the Rasqal RDF Query library
- librasterlite2-1 (1.1.0~beta0+really1.0.0~rc0+devel1-2)
- library for huge raster coverages using a SpatiaLite DBMS
- librasterlite2-dev (1.1.0~beta0+really1.0.0~rc0+devel1-2)
- library for huge raster coverages using a SpatiaLite DBMS - headers
- libratbag-tools (0.9.905-1)
- configuration tools for gaming mice (transitional package)
- libratpoints-2.1.3 (1:2.1.3-1+b2)
- library for finding rational points on hyperelliptic curves
- libratpoints-dev (1:2.1.3-1+b2)
- development files for libratpoints
- libraul-dev (0.8.0+dfsg0-0.1+b1 [amd64, armhf, i386], 0.8.0+dfsg0-0.1 [arm64])
- real time audio utility library -- development files
- libraul-doc (0.8.0+dfsg0-0.1)
- real time audio utility library -- documentation
- libraul10 (0.8.0+dfsg0-0.1+b1 [amd64, armhf, i386], 0.8.0+dfsg0-0.1 [arm64])
- real time audio utility library
- libraven0 (10.5-1)
- Raven library for budgie-desktop
- libraw-bin (0.19.2-2+deb10u4) [security]
- raw image decoder library (tools)
- libraw-dev (0.19.2-2+deb10u4) [security]
- raw image decoder library (development files)
- libraw-doc (0.19.2-2+deb10u4) [security]
- raw image decoder library (documentation)
- libraw1394-11 (2.1.2-1+b1)
- library for direct access to IEEE 1394 bus (aka FireWire)
- libraw1394-11-dbg (2.1.2-1+b1)
- debugging symbols for libraw1394-11
- libraw1394-dev (2.1.2-1+b1)
- library for direct access to IEEE 1394 bus - development files
- libraw1394-doc (2.1.2-1)
- Reference manual and documentation about libraw1394
- libraw1394-tools (2.1.2-1+b1)
- library for direct access to IEEE 1394 bus (aka FireWire)
- libraw19 (0.19.2-2+deb10u4) [security]
- raw image decoder library
- libraynes-fs-clojure (1.4.6-1)
- filesystem utility library for Clojure
- librazor2-perl
- virtueel pakket geboden door razor
- librbd-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS block device client library (development files)
- librbd1 (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS block device client library
- librbio2 (1:5.4.0+dfsg-1)
- read/write sparse matrices in Rutherford/Boeing format
- librbl-dev (2.11.0~alpha-12+deb10u1) [security]
- Headers/development libraries for the OpenDKIM RBL library
- librbl1 (2.11.0~alpha-12+deb10u1) [security]
- Library to support a DKIM based RBL system
- librc-dev (0.40.3-1)
- dependency based service manager (runtime development)
- librc1 (0.40.3-1)
- dependency based service manager (runtime library)
- librcc-dev (0.2.12-0.1)
- Library for autoconvert codepages development files
- librcc0 (0.2.12-0.1)
- Library for autoconvert codepages
- librccgtk2-0 (0.2.12-0.1)
- Library for autoconvert codepages GTK2 interface
- librcd-dev (0.1.13-3)
- Library for autodection charset of Russian text - dev files
- librcd0 (0.1.13-3)
- Library for autodection charset of Russian text
- librcs-perl (1.05-5)
- Perl Object Class for Revision Control System (RCS)
- librcsb-core-wrapper-dev
- virtueel pakket geboden door librcsb-core-wrapper0-dev
- librcsb-core-wrapper-doc (1.005-6)
- documentation for librcsb-core-wrapper0
- librcsb-core-wrapper0 (1.005-6)
- C++ library providing OO API to information in mmCIF format
- librcsb-core-wrapper0-dev (1.005-6)
- development files for librcsb-core-wrapper0
- librda-bin (0.0.5-1)
- Remote Desktop Awareness (utility)
- librda-common (0.0.5-1)
- Remote Desktop Awareness (common files)
- librda-dev (0.0.5-1)
- Remote Desktop Awareness (development headers)
- librda0 (0.0.5-1)
- Remote Desktop Awareness (shared library)
- librdf-acl-perl (0.104-1)
- access control lists for the semantic web
- librdf-aref-perl (0.27-2)
- another RDF Encoding Form
- librdf-closure-perl (0.001-4)
- pure Perl RDF inferencing
- librdf-dev
- virtueel pakket geboden door librdf0-dev
- librdf-doap-lite-perl (0.002-1)
- write DOAP data quickly and easily
- librdf-doap-perl (0.100-1)
- object-oriented interface for DOAP data
- librdf-endpoint-perl (0.10-2)
- SPARQL Protocol Endpoint implementation
- librdf-generator-http-perl (0.003-2)
- Perl module to generate RDF from an HTTP request
- librdf-generator-void-perl (0.16-3)
- generate VoID descriptions based on data in an RDF model
- librdf-helper-properties-perl (0.24-1)
- shortcuts to retrieve certain information
- librdf-icalendar-perl (0.005-1)
- convert between RDF and iCalendar
- librdf-kml-exporter-perl (0.003-1)
- export RDF geo data to KML (Google Earth)
- librdf-ldf-perl (0.24-1)
- Linked Data Fragments client
- librdf-linkeddata-perl (1.940-1)
- simple Linked Data implementation
- librdf-ns-curated-perl (1.001-1)
- curated set of RDF prefixes
- librdf-ns-perl (20170111-1)
- just use popular RDF namespace prefixes from prefix.cc
- librdf-perl (1.0.17.1+dfsg-1.3+b6)
- Perl language bindings for the Redland RDF library
- librdf-prefixes-perl (0.005-1)
- simple way to turn URIs into QNames
- librdf-query-client-perl (0.114-2)
- get data from W3C SPARQL Protocol 1.0 servers
- librdf-query-perl (2.918-1)
- complete SPARQL 1.1 Query and Update implementation for RDF::Trine
- librdf-queryx-lazy-perl (0.003-1)
- avoid all those boring PREFIX definitions
- librdf-rdfa-generator-perl (0.200-1)
- generate data for RDFa serialization
- librdf-ruby (1.0.17.1+dfsg-1.3)
- Ruby language bindings for the Redland RDF library (dummy)
- librdf-storage-mysql (1.0.17-1.1+b1)
- RDF library, MySQL backend
- librdf-storage-postgresql (1.0.17-1.1+b1)
- RDF library, PostGreSQL backend
- librdf-storage-sqlite (1.0.17-1.1+b1)
- RDF library, SQLite backend
- librdf-storage-virtuoso (1.0.17-1.1+b1)
- RDF library, Virtuoso backend
- librdf-trin3-perl (0.206-2)
- notation 3 extensions for RDF::Trine
- librdf-trine-node-literal-xml-perl (0.16-2)
- RDF Node class for XML Literals
- librdf-trine-perl (1.019-2)
- RDF Framework for Perl
- librdf-trine-serializer-rdfa-perl (0.101-1)
- RDFa Serializer for RDF::Trine
- librdf-trinex-compatibility-attean-perl (0.100-1)
- compatibility layer between Attean and RDF::Trine
- librdf-trinex-functions-perl (0.005-1)
- shortcut functions for RDF::Trine's object-oriented interface
- librdf-trinex-serializer-mockturtlesoup-perl (0.006-1)
- RDF/Turtle serializer pleasant for humans to look at
- librdf-vcard-perl (0.012-1)
- convert between RDF and vCard
- librdf0 (1.0.17-1.1+b1)
- Redland Resource Description Framework (RDF) library
- librdf0-dev (1.0.17-1.1+b1)
- Redland RDF library development libraries and headers
- librdkafka++1 (0.11.6-1.1)
- library implementing the Apache Kafka protocol (C++ bindings)
- librdkafka-dev (0.11.6-1.1)
- library implementing the Apache Kafka protocol (development headers)
- librdkafka1 (0.11.6-1.1)
- library implementing the Apache Kafka protocol
- librdkit-dev (201809.1+dfsg-6)
- Collection of cheminformatics and machine-learning software (development files)
- librdkit1 (201809.1+dfsg-6)
- Collection of cheminformatics and machine-learning software (shared libraries)
- librdmacm-dev (22.1-1)
- Development files for the librdmacm library
- librdmacm1 (22.1-1)
- Library for managing RDMA connections
- librdp-readseq-java
- virtueel pakket geboden door rdp-readseq
- librdp-taxonomy-tree-java (1.2.0-3)
- taxonomy tree library from Ribosomal Database Project (RDP)
- libre-dev (0.6.0-2)
- generic library for real-time communications (development files)
- libre-engine-re2-perl (0.13-2+b4)
- RE2 regex engine
- libre-ocaml-dev (1.7.3-2)
- regular expression library for OCaml
- libre-ocaml-dev-3wkm6
- virtueel pakket geboden door libre-ocaml-dev
- libre-ocaml-dev-530w9
- virtueel pakket geboden door libre-ocaml-dev
- libre0 (0.6.0-2)
- generic library for real-time communications with async IO support
- libre2-5 (20190101+dfsg-2)
- efficient, principled regular expression library
- libre2-dev (20190101+dfsg-2)
- efficient, principled regular expression library, development kit
- libreact-ocaml (1.2.0-3+b2 [armhf], 1.2.0-3+b1 [amd64, arm64, i386])
- functional reactive programming in OCaml (plugins)
- libreact-ocaml-dev (1.2.0-3+b2 [armhf], 1.2.0-3+b1 [amd64, arm64, i386])
- functional reactive programming in OCaml
- libreact-ocaml-dev-uhqh0
- virtueel pakket geboden door libreact-ocaml-dev
- libreact-ocaml-dev-z0ip2
- virtueel pakket geboden door libreact-ocaml-dev
- libreact-ocaml-uhqh0
- virtueel pakket geboden door libreact-ocaml
- libreact-ocaml-z0ip2
- virtueel pakket geboden door libreact-ocaml
- libreactive-streams-java (1.0.2-1)
- Standard for asynchronous stream processing with non-blocking backpressure
- libreactivedata-ocaml (0.1-3+b1)
- FRP with incremental changes in data structures (runtime)
- libreactivedata-ocaml-3weq7
- virtueel pakket geboden door libreactivedata-ocaml
- libreactivedata-ocaml-78le4
- virtueel pakket geboden door libreactivedata-ocaml
- libreactivedata-ocaml-dev (0.1-3+b1)
- FRP with incremental changes in data structures
- libreactivedata-ocaml-dev-3weq7
- virtueel pakket geboden door libreactivedata-ocaml-dev
- libreactivedata-ocaml-dev-78le4
- virtueel pakket geboden door libreactivedata-ocaml-dev
- libreadline-dbg
- virtueel pakket geboden door libreadline5-dbg
- libreadline-dev (7.0-5)
- GNU readline and history libraries, development files
- libreadline-gplv2-dev (5.2+dfsg-3+b13)
- GNU readline and history libraries, development files
- libreadline-java (0.8.0.1+dfsg-9)
- GNU readline and BSD editline wrappers for Java
- libreadline-java-doc (0.8.0.1+dfsg-9)
- API docs for readline/editline wrappers for Java
- libreadline5 (5.2+dfsg-3+b13)
- GNU readline en geschiedenis bibliotheek, voor executie
- libreadline5-dbg (5.2+dfsg-3+b13)
- GNU readline and history libraries, debugging libraries
- libreadline6-dev
- virtueel pakket geboden door libreadline-dev
- libreadline7 (7.0-5)
- GNU readline en geschiedenis bibliotheek, voor executie
- libreadonly-perl (2.050-1)
- facility for creating read-only scalars, arrays and hashes
- libreadonly-xs-perl (1.05-1+b6)
- faster Readonly implementation
- libreadosm-dev (1.1.0+dfsg-3)
- simple library to parse OpenStreetMap files - headers
- libreadosm-doc (1.1.0+dfsg-3)
- simple library to parse OpenStreetMap files - documentation
- libreadosm1 (1.1.0+dfsg-3)
- simple library to parse OpenStreetMap files
- librec-dev (1.7-3)
- recutils development files
- librec1 (1.7-3)
- library for accessing recfiles programmatically
- librecad (2.1.3-1.2+deb10u1)
- Computer-aided design (CAD) system
- librecad-data (2.1.3-1.2+deb10u1)
- Computer-aided design (CAD) system -- shared files
- librecode-dev (3.6-23)
- Development package for librecode0
- librecode0 (3.6-23)
- Shared library on which recode is based
- librecommended-perl (0.003-1)
- Load recommended Perl modules on demand when available
- libredberry-pipe-java (1.0.0~alpha0-2)
- implementation of concurrent pipelines
- libredis-fast-perl (0.22+dfsg-1+b2)
- Perl binding for Redis database
- libredis-perl (2:1.9910-2)
- persistent key-value database with network interface (Perl client library)
- libref-array-dev (0.6.1-2)
- Development files for refcounted array for C
- libref-array1 (0.6.1-2)
- refcounted array for C
- libref-util-perl (0.204-1)
- set of utility functions for checking references
- libref-util-xs-perl (0.117-1+b1)
- XS implementation for Ref::Util
- libreflectasm-java (1.05-4)
- library that provides reflection by using code generation
- libreflectasm-java-doc (1.05-4)
- library that provides reflection by using code generation - doc
- libregex-clojure (1.1.0-3)
- Composable regexes for Clojure
- libregexp-assemble-perl (0.36-1)
- Perl module to merge several regular expressions
- libregexp-common-email-address-perl (1.01-5)
- Regexp::Common extension for matching e-mail addresses
- libregexp-common-net-cidr-perl (0.03-1)
- provide patterns for CIDR blocks
- libregexp-common-perl (2017060201-1)
- module with common regular expressions
- libregexp-common-time-perl (0.16-1)
- Regexp::Common extension for date and time matching
- libregexp-debugger-perl (0.002001-1)
- Perl module to visually debug regexes in-place
- libregexp-grammars-perl (1.049-1)
- Perl module to add grammatical parsing features to Perl 5.10 regexes
- libregexp-ipv6-perl (0.03-3)
- Regular expression for IPv6 addresses
- libregexp-java (1.5-4)
- Regular expression library for Java
- libregexp-java-doc (1.5-4)
- Documentation for the Regular expression library
- libregexp-log-perl (0.06-3)
- base class for log files regexp builders
- libregexp-optimizer-perl (0.23-1)
- modules for optimizing regular expressions
- libregexp-pattern-license-perl (3.0.31-4)
- regular expressions for legal licenses
- libregexp-pattern-perl (0.2.8-2)
- convention/framework for modules that contain collection of regexes
- libregexp-reggrp-perl (1.002001-1)
- Perl module for grouping regular expressions
- libregexp-shellish-perl (0.93-2)
- module for shell-like regular expressions
- libregexp-stringify-perl (0.06-1)
- Perl module to stringify a Regexp object
- libregexp-wildcards-perl (1.05-2)
- converts wildcard expressions to Perl regular expressions
- libregf-dev (20181231-1)
- Windows NT Registry File (REGF) format access library -- development files
- libregf-utils (20181231-1)
- libregf -- Utilities
- libregf1 (20181231-1)
- Windows NT Registry File (REGF) format access library
- libregfi-dev (1.0.1+svn287-7)
- utility to analysis for Windows NT-based registry (devel files)
- libregfi1 (1.0.1+svn287-7)
- utility to analysis for Windows NT-based registry (shared library)
- libreins-ocaml-dev (0.1a-7+b2 [armhf], 0.1a-7+b1 [amd64, arm64, i386])
- data structure library for OCaml
- libreins-ocaml-dev-e8o44
- virtueel pakket geboden door libreins-ocaml-dev
- libreins-ocaml-dev-ti2x9
- virtueel pakket geboden door libreins-ocaml-dev
- libreiser4-dev (1.2.0-2)
- Reiser4's filesystem access and manipulation library. Devel files
- librelative-perl (0.04-2)
- Load modules with relative names
- librelaxng-datatype-java (1.0+ds1-3)
- Java datatype interface for RELAX NG
- librelaxngcc-java (1.12-1)
- RELAX NG Compiler Compiler
- librelaxngcc-java-doc (1.12-1)
- RELAX NG Compiler Compiler -- documentation
- libreligion-islam-prayertimes-perl (1.02-2)
- Perl module that calculates Muslim Prayers Times and Sunrise
- librelion+gui-1.4-1 (1.4+dfsg-4)
- RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
- librelion+gui-dev (1.4+dfsg-4)
- C++ API for RELION (3D reconstructions in cryo-electron microscopy)
- librelion+mpi+gui-1.4-1 (1.4+dfsg-4)
- RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
- librelion+mpi+gui-dev (1.4+dfsg-4)
- C++ API for RELION (3D reconstructions in cryo-electron microscopy)
- librelion+mpi-1.4-1 (1.4+dfsg-4)
- RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
- librelion+mpi-dev (1.4+dfsg-4)
- C++ API for RELION (3D reconstructions in cryo-electron microscopy)
- librelion-1.4-1 (1.4+dfsg-4)
- RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
- librelion-dev (1.4+dfsg-4)
- C++ API for RELION (3D reconstructions in cryo-electron microscopy)
- librelion-dev-common (1.4+dfsg-4)
- C++ API for RELION (3D reconstructions in cryo-electron microscopy)
- librelp-dev (1.3.0-1)
- Reliable Event Logging Protocol (RELP) library - development files
- librelp0 (1.3.0-1)
- Reliable Event Logging Protocol (RELP) library
- librem-dev (0.6.0-1)
- library for real-time audio and video processing (development)
- librem0 (0.6.0-1)
- toolkit library for real-time audio and video processing
- librem0-dbg (0.6.0-1)
- library for real-time audio and video processing - debug symbols
- libremctl-dev (3.15-1+b3)
- Development files for Kerberos-authenticated command execution
- libremctl1 (3.15-1+b3)
- Library for Kerberos-authenticated command execution
- librenaissance-dev
- virtueel pakket geboden door librenaissance0-dev
- librenaissance0 (0.9.0-4+b9)
- GNUstep GUI Framework - library files
- librenaissance0-dev (0.9.0-4+b9)
- GNUstep GUI Framework - development files
- libreoffice (1:6.1.5-3+deb10u11) [security]
- office productivity suite (metapackage)
- libreoffice-avmedia-backend
- virtueel pakket geboden door libreoffice-avmedia-backend-vlc, libreoffice-avmedia-backend-gstreamer
- libreoffice-avmedia-backend-gstreamer (1:6.1.5-3+deb10u11) [security]
- GStreamer backend for LibreOffice
- libreoffice-avmedia-backend-vlc (1:6.1.5-3+deb10u11) [security]
- VLC backend for LibreOffice
- libreoffice-base (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- database
- libreoffice-base-core (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- shared library
- libreoffice-base-drivers (1:6.1.5-3+deb10u11) [security]
- Database connectivity drivers for LibreOffice
- libreoffice-bundled
- virtueel pakket geboden door libreoffice-core
- libreoffice-calc (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- rekenblad
- libreoffice-canzeley-client (0.5.1-4)
- LibreOffice Extension to organize a German Law Office
- libreoffice-common (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- architectuuronafhankelijke bestanden
- libreoffice-core (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- architectuurafhankelijke bestanden
- libreoffice-dev (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- SDK -- architecture-dependent parts
- libreoffice-dev-common (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- SDK -- architecture-independent parts
- libreoffice-dev-doc (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- SDK documentation
- libreoffice-dmaths (4.4.0.0+dfsg1-1)
- Formula editing plug-in for LibreOffice Writer
- libreoffice-draw (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- tekenen
- libreoffice-evolution (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Evolution addressbook support
- libreoffice-gnome (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- GNOME integration
- libreoffice-grammarcheck
- virtueel pakket geboden door libreoffice-lightproof-pt-br
- libreoffice-grammarcheck-fi
- virtueel pakket geboden door libreoffice-voikko
- libreoffice-grammarcheck-pt-br
- virtueel pakket geboden door libreoffice-lightproof-pt-br
- libreoffice-gtk-gnome
- virtueel pakket geboden door libreoffice-gnome
- libreoffice-gtk2 (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- GTK+ 2 integration
- libreoffice-gtk3 (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- GTK+ 3 integration
- libreoffice-help (= 6.1)
- virtueel pakket geboden door libreoffice-help-en-gb, libreoffice-help-vi, libreoffice-help-km, libreoffice-help-pt-br, libreoffice-help-fi, libreoffice-help-pt, libreoffice-help-en-us, libreoffice-help-et, libreoffice-help-da, libreoffice-help-cs, libreoffice-help-ca, libreoffice-help-hu, libreoffice-help-nl, libreoffice-help-dz, libreoffice-help-pl, libreoffice-help-eu, libreoffice-help-zh-tw, libreoffice-help-it, libreoffice-help-ja, libreoffice-help-om, libreoffice-help-tr, libreoffice-help-es, libreoffice-help-ru, libreoffice-help-sk, libreoffice-help-de, libreoffice-help-gl, libreoffice-help-zh-cn, libreoffice-help-sl, libreoffice-help-fr, libreoffice-help-ko, libreoffice-help-sv, libreoffice-help-el, libreoffice-help-hi
- libreoffice-help-ca (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Catalan help
- libreoffice-help-common (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- common files for LibreOffice help
- libreoffice-help-cs (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Czech help
- libreoffice-help-da (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Danish help
- libreoffice-help-de (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- German help
- libreoffice-help-dz (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Dzongkha help
- libreoffice-help-el (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Greek help
- libreoffice-help-en-gb (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- English_british help
- libreoffice-help-en-us (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- English_american help
- libreoffice-help-es (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Spanish help
- libreoffice-help-et (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Estonian help
- libreoffice-help-eu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Basque help
- libreoffice-help-fi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Finnish help
- libreoffice-help-fr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- French help
- libreoffice-help-gl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Galician help
- libreoffice-help-hi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Hindi help
- libreoffice-help-hu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Hungarian help
- libreoffice-help-it (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Italian help
- libreoffice-help-ja (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Japanese help
- libreoffice-help-km (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Khmer help
- libreoffice-help-ko (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Korean help
- libreoffice-help-nl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Dutch help
- libreoffice-help-om (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Oromo help
- libreoffice-help-pl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Polish help
- libreoffice-help-pt (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Portuguese help
- libreoffice-help-pt-br (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Portuguese_brazilian help
- libreoffice-help-ru (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Russian help
- libreoffice-help-sk (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Slovak help
- libreoffice-help-sl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Slovenian help
- libreoffice-help-sv (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Swedish help
- libreoffice-help-tr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Turkish help
- libreoffice-help-vi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Vietnamese help
- libreoffice-help-zh-cn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Chinese_simplified help
- libreoffice-help-zh-tw (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Chinese_traditional help
- libreoffice-hyphenation-fi
- virtueel pakket geboden door libreoffice-voikko
- libreoffice-impress (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- presentatie
- libreoffice-java-common (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- arch-independent Java support files
- libreoffice-kde (1:6.1.5-3+deb10u11) [security]
- transitional package for LibreOffice KDE integration
- libreoffice-kde5 (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- KDE 5 integration
- libreoffice-l10n (= 6.1)
- virtueel pakket geboden door libreoffice-l10n-hr, libreoffice-l10n-ast, libreoffice-l10n-ug, libreoffice-l10n-hu, libreoffice-l10n-lt, libreoffice-l10n-et, libreoffice-l10n-om, libreoffice-l10n-ka, libreoffice-l10n-zu, libreoffice-l10n-it, libreoffice-l10n-pl, libreoffice-l10n-ml, libreoffice-l10n-ro, libreoffice-l10n-lv, libreoffice-l10n-eo, libreoffice-l10n-fr, libreoffice-l10n-ta, libreoffice-l10n-tg, libreoffice-l10n-te, libreoffice-l10n-km, libreoffice-l10n-si, libreoffice-l10n-vi, libreoffice-l10n-be, libreoffice-l10n-bg, libreoffice-l10n-pa-in, libreoffice-l10n-ve, libreoffice-l10n-st, libreoffice-l10n-cy, libreoffice-l10n-xh, libreoffice-l10n-cs, libreoffice-l10n-af, libreoffice-l10n-ga, libreoffice-l10n-zh-tw, libreoffice-l10n-nso, libreoffice-l10n-mr, libreoffice-l10n-en-za, libreoffice-l10n-sv, libreoffice-l10n-mn, libreoffice-l10n-am, libreoffice-l10n-ko, libreoffice-l10n-ne, libreoffice-l10n-mk, libreoffice-l10n-uz, libreoffice-l10n-zh-cn, libreoffice-l10n-fi, libreoffice-l10n-pt-br, libreoffice-l10n-id, libreoffice-l10n-en-gb, libreoffice-l10n-nl, libreoffice-l10n-ca, libreoffice-l10n-is, libreoffice-l10n-oc, libreoffice-l10n-or, libreoffice-l10n-de, libreoffice-l10n-ru, libreoffice-l10n-es, libreoffice-l10n-gu, libreoffice-l10n-ja, libreoffice-l10n-eu, libreoffice-l10n-he, libreoffice-l10n-kmr, libreoffice-l10n-uk, libreoffice-l10n-gd, libreoffice-l10n-hi, libreoffice-l10n-sl, libreoffice-l10n-nb, libreoffice-l10n-pt, libreoffice-l10n-ar, libreoffice-l10n-nn, libreoffice-l10n-dz, libreoffice-l10n-nr, libreoffice-l10n-as, libreoffice-l10n-da, libreoffice-l10n-kn, libreoffice-l10n-sk, libreoffice-l10n-tr, libreoffice-l10n-th, libreoffice-l10n-fa, libreoffice-l10n-rw, libreoffice-l10n-kk, libreoffice-l10n-gug, libreoffice-l10n-br, libreoffice-l10n-ss, libreoffice-l10n-bn, libreoffice-l10n-el, libreoffice-l10n-ts, libreoffice-l10n-tn, libreoffice-l10n-gl, libreoffice-l10n-bs, libreoffice-l10n-sr
- libreoffice-l10n-af (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Afrikaans language package
- libreoffice-l10n-am (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Amharic language package
- libreoffice-l10n-ar (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Arabic language package
- libreoffice-l10n-as (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Assamese language package
- libreoffice-l10n-ast (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Asturian language package
- libreoffice-l10n-be (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Belarusian language package
- libreoffice-l10n-bg (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Bulgarian language package
- libreoffice-l10n-bn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Bengali language package
- libreoffice-l10n-br (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Breton language package
- libreoffice-l10n-bs (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Bosnian language package
- libreoffice-l10n-ca (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Catalan language package
- libreoffice-l10n-cs (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Czech language package
- libreoffice-l10n-cy (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Welsh language package
- libreoffice-l10n-da (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Danish language package
- libreoffice-l10n-de (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- German language package
- libreoffice-l10n-dz (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Dzongkha language package
- libreoffice-l10n-el (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Greek language package
- libreoffice-l10n-en-gb (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- English_british language package
- libreoffice-l10n-en-us
- virtueel pakket geboden door libreoffice-common
- libreoffice-l10n-en-za (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- English_southafrican language package
- libreoffice-l10n-eo (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Esperanto language package
- libreoffice-l10n-es (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Spanish language package
- libreoffice-l10n-et (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Estonian language package
- libreoffice-l10n-eu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Basque language package
- libreoffice-l10n-fa (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Farsi language package
- libreoffice-l10n-fi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Finnish language package
- libreoffice-l10n-fr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- French language package
- libreoffice-l10n-ga (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Gaelic language package
- libreoffice-l10n-gd (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Scottish_gaelic language package
- libreoffice-l10n-gl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Galician language package
- libreoffice-l10n-gu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Gujarati language package
- libreoffice-l10n-gug (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Guarani language package
- libreoffice-l10n-he (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Hebrew language package
- libreoffice-l10n-hi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Hindi language package
- libreoffice-l10n-hr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Croatian language package
- libreoffice-l10n-hu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Hungarian language package
- libreoffice-l10n-id (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Indonesian language package
- libreoffice-l10n-in (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Indic language packages
- libreoffice-l10n-is (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Icelandic language package
- libreoffice-l10n-it (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Italian language package
- libreoffice-l10n-ja (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Japanese language package
- libreoffice-l10n-ka (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Georgian language package
- libreoffice-l10n-kk (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Kazakh language package
- libreoffice-l10n-km (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Khmer language package
- libreoffice-l10n-kmr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Kurmanji language package
- libreoffice-l10n-kn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Kannada language package
- libreoffice-l10n-ko (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Korean language package
- libreoffice-l10n-lt (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Lithuanian language package
- libreoffice-l10n-lv (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Latvian language package
- libreoffice-l10n-mk (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Macedonian language package
- libreoffice-l10n-ml (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Malayalam language package
- libreoffice-l10n-mn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Mongolian language package
- libreoffice-l10n-mr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Marathi language package
- libreoffice-l10n-nb (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Norwegian language package
- libreoffice-l10n-ne (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Nepalese language package
- libreoffice-l10n-nl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Dutch language package
- libreoffice-l10n-nn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Norwegian_nynorsk language package
- libreoffice-l10n-nr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Ndebele language package
- libreoffice-l10n-nso (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Northern_sotho language package
- libreoffice-l10n-oc (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Occitan language package
- libreoffice-l10n-om (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Oromo language package
- libreoffice-l10n-or (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Odia language package
- libreoffice-l10n-pa-in (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Punjabi language package
- libreoffice-l10n-pl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Polish language package
- libreoffice-l10n-pt (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Portuguese language package
- libreoffice-l10n-pt-br (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Portuguese_brazilian language package
- libreoffice-l10n-ro (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Romanian language package
- libreoffice-l10n-ru (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Russian language package
- libreoffice-l10n-rw (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Kinarwanda language package
- libreoffice-l10n-si (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Sinhala language package
- libreoffice-l10n-sk (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Slovak language package
- libreoffice-l10n-sl (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Slovenian language package
- libreoffice-l10n-sr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Serbian language package
- libreoffice-l10n-ss (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Swazi language package
- libreoffice-l10n-st (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Southern_sotho language package
- libreoffice-l10n-sv (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Swedish language package
- libreoffice-l10n-ta (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Tamil language package
- libreoffice-l10n-te (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Telugu language package
- libreoffice-l10n-tg (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Tajik language package
- libreoffice-l10n-th (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Thai language package
- libreoffice-l10n-tn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Tswana language package
- libreoffice-l10n-tr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Turkish language package
- libreoffice-l10n-ts (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Tsonga language package
- libreoffice-l10n-ug (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Uighur language package
- libreoffice-l10n-uk (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Ukrainian language package
- libreoffice-l10n-uz (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Uzbek language package
- libreoffice-l10n-ve (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Venda language package
- libreoffice-l10n-vi (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Vietnamese language package
- libreoffice-l10n-xh (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Xhosa language package
- libreoffice-l10n-za (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- South African language packages
- libreoffice-l10n-zh-cn (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Chinese_simplified language package
- libreoffice-l10n-zh-tw (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Chinese_traditional language package
- libreoffice-l10n-zu (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Zulu language package
- libreoffice-librelogo (1:6.1.5-3+deb10u11) [security]
- Logo-like progamming language for LibreOffice
- libreoffice-lightproof-pt-br (1:6.2.0-1)
- Lightproof grammar checker for LibreOffice (Brazilian Portuguese)
- libreoffice-math (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- equation editor
- libreoffice-mysql-connector (1.0.2+LibO6.1.5-3+deb10u11) [security]
- MariaDB/MySQL Connector extension for LibreOffice
- libreoffice-nlpsolver (0.9+LibO6.1.5-3+deb10u11) [security]
- "Solver for Nonlinear Programming" extension for LibreOffice
- libreoffice-numbertext (1.0.5-1)
- number to number name and currency name conversion LO Calc Add-In
- libreoffice-officebean (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Java bean
- libreoffice-ogltrans (1:6.1.5-3+deb10u11) [security]
- transitional package for libreoffice-ogltrans
- libreoffice-ogltrans
- virtueel pakket geboden door libreoffice-impress
- libreoffice-pdfimport (1:6.1.5-3+deb10u11) [security]
- transitional package for PDF Import component for LibreOffice
- libreoffice-report-builder (1:6.1.5-3+deb10u11) [security]
- LibreOffice component for building database reports
- libreoffice-report-builder-bin (1:6.1.5-3+deb10u11) [security]
- LibreOffice component for building database reports -- libraries
- libreoffice-reportdesigner
- virtueel pakket geboden door libreoffice-report-builder
- libreoffice-script-provider-bsh (1:6.1.5-3+deb10u11) [security]
- BeanShell script support provider for LibreOffice scripting framework
- libreoffice-script-provider-js (1:6.1.5-3+deb10u11) [security]
- JavaScript script support provider for LibreOffice scripting framework
- libreoffice-script-provider-python (1:6.1.5-3+deb10u11) [security]
- Python script support provider for LibreOffice scripting framework
- libreoffice-sdbc-firebird (1:6.1.5-3+deb10u11) [security]
- Firebird SDBC driver for LibreOffice
- libreoffice-sdbc-hsqldb (1:6.1.5-3+deb10u11) [security]
- HSQLDB SDBC driver for LibreOffice
- libreoffice-sdbc-postgresql (1:6.1.5-3+deb10u11) [security]
- PostgreSQL SDBC driver for LibreOffice
- libreoffice-smoketest-data (1:6.1.5-3+deb10u11) [security]
- data files for LibreOffices "smoketest"
- libreoffice-spellcheck-fi
- virtueel pakket geboden door libreoffice-voikko
- libreoffice-style
- virtueel pakket geboden door libreoffice-style-tango, libreoffice-style-elementary, libreoffice-style-breeze, libreoffice-style-colibre, libreoffice-style-sifr
- libreoffice-style-breeze (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Breeze symbol style
- libreoffice-style-colibre (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- colibre symbol style
- libreoffice-style-elementary (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Elementary symbol style
- libreoffice-style-hicontrast
- virtueel pakket geboden door libreoffice-style-sifr
- libreoffice-style-sifr (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Sifr symbol style
- libreoffice-style-tango (1:6.1.5-3+deb10u11) [security]
- office productivity suite -- Tango symbol style
- libreoffice-subsequentcheckbase (1:6.1.5-3+deb10u11) [security]
- LibreOffice java test libraries
- libreoffice-texmaths (0.43-2)
- TexMaths is a LaTeX equation editor for LibreOffice
- libreoffice-voikko (5.0-3)
- Spellchecker, grammar checker and hyphenator for LibreOffice
- libreoffice-wiki-publisher (1.2.0+LibO6.1.5-3+deb10u11) [security]
- LibreOffice extension for working with MediaWiki articles
- libreoffice-writer (1:6.1.5-3+deb10u11) [security]
- kantoorpakket -- tekstverwerker
- libreoffice-writer2latex (1.4-8)
- Writer/Calc to LaTeX converter extension for LibreOffice
- libreoffice-writer2xhtml (1.4-8)
- Writer/Calc to XHTML converter extension for LibreOffice
- libreofficekit-data (1:6.1.5-3+deb10u11) [security]
- common data for LOKDocView
- libreofficekit-dev (1:6.1.5-3+deb10u11) [security]
- LibreOfficeKit -- headers
- librep-dbg (0.92.5-3+b4)
- debug symbols for librep
- librep-dev (0.92.5-3+b4)
- development libraries and headers for librep
- librep-doc
- virtueel pakket geboden door rep-doc
- librep16 (0.92.5-3+b4)
- embedded lisp command interpreter library
- libreplacer-java (1.5.3-2)
- Maven plugin to replace tokens in a given file with a value
- libreplaygain-dev (1.0~r475-1+b2)
- Calculate ReplayGain information - development files
- libreplaygain1 (1.0~r475-1+b2)
- Calculate ReplayGain information - library
- libreply-perl (0.42-1)
- lightweight extensible Perl REPL
- librepository-java (1.1.6-3)
- abstraction library for accessing hierachic bulk content
- librepository-java-doc (1.1.6-3)
- abstraction library for accessing hierachic bulk content -- documentation
- libres-ocaml-dev (4.0.3-4+b2 [armhf], 4.0.3-4+b1 [amd64, arm64, i386])
- OCaml library for automatically resizing contiguous data structure
- libres-ocaml-dev-c32d2
- virtueel pakket geboden door libres-ocaml-dev
- libres-ocaml-dev-unx50
- virtueel pakket geboden door libres-ocaml-dev
- libresample-dev
- virtueel pakket geboden door libresample1-dev
- libresample1 (0.1.3-4)
- real-time audio resampling library - shared libraries
- libresample1-dev (0.1.3-4)
- real-time audio resampling library - development files
- libresid-builder-dev (2.1.1-15)
- SID chip emulation class based on resid
- libresid-builder0c2a (2.1.1-15)
- SID chip emulation class based on resid
- libresolv-wrapper (1.1.5-1)
- wrapper for DNS name resolving or DNS faking
- libresource-retriever-dev (1.12.4-2+b2)
- Robot OS resource_retriever library - development files
- libresource-retriever0d (1.12.4-2+b2)
- Robot OS resource_retriever library
- librest-0.7-0 (0.8.1-1)
- REST service access library
- librest-application-perl (0.992-3)
- framework for building RESTful web-applications
- librest-client-perl (273-1)
- simple client for interacting with RESTful HTTP/HTTPS resources
- librest-dev (0.8.1-1)
- REST service access library (development headers)
- librest-doc (0.8.1-1)
- REST service access library (documentation)
- (0.8.1-1)
- REST service access library extra components
- (0.8.1-1)
- REST service access library extra components (development headers)
- librestbed-dev (4.0~dfsg1-5)
- asynchronous REST C++11 library - dev package
- librestbed-dev
- virtueel pakket geboden door librestbed-dev
- librestbed0 (4.0~dfsg1-5)
- asynchronous REST C++11 library
- librestbed0
- virtueel pakket geboden door librestbed0
- libresteasy3.0-java (3.0.26-1)
- RESTEasy 3.0 -- Framework for RESTful Web services and Java applications
- libresult-ocaml (1.2-1+b2 [armhf], 1.2-1+b1 [amd64, arm64, i386])
- compatibility Result module (runtime files)
- libresult-ocaml-ciso5
- virtueel pakket geboden door libresult-ocaml
- libresult-ocaml-dev (1.2-1+b2 [armhf], 1.2-1+b1 [amd64, arm64, i386])
- compatibility Result module (dev files)
- libresult-ocaml-dev-ciso5
- virtueel pakket geboden door libresult-ocaml-dev
- libreswan (3.27-6+deb10u1)
- Internet Key Exchange daemon
- libretro-beetle-pce-fast (0.9.38.7+git20160609-1)
- Libretro wrapper for the Beetle PCE FAST core
- libretro-beetle-psx (0.9.38.6+git20151019-2)
- Libretro wrapper for the Beetle PSX core
- libretro-beetle-vb (0.9.36.1+git20160623-1)
- Libretro wrapper for the Beetle VB core
- libretro-beetle-wswan (0.9.35.1+git20160623-1)
- Libretro wrapper for the Beetle WSWAN core
- libretro-bsnes-mercury-accuracy (094+git20160126-2)
- Libretro wrapper for bsnes-mercury accuracy core
- libretro-bsnes-mercury-balanced (094+git20160126-2)
- Libretro wrapper for bsnes-mercury balanced core
- libretro-bsnes-mercury-performance (094+git20160126-2)
- Libretro wrapper for bsnes-mercury performance core
- libretro-core-info (1.3.6+git20160816-1)
- Info files for Libretro cores
- libretro-desmume (0.9.11+git20160819+dfsg1-1)
- Libretro wrapper for DeSmuME
- libretro-frontend
- virtueel pakket geboden door gnome-games-app
- libretro-gambatte (0.5.0+git20160522+dfsg1-1)
- Libretro wrapper for Gambatte
- libretro-genesisplusgx (1.7.4+git20160410-1) [non-free]
- Libretro wrapper for Genesis Plus GX
- libretro-gtk-0.14-0 (0.16.0-2)
- library for GTK+ libretro frontends
- libretro-gtk-0.14-dev (0.16.0-2)
- library for GTK+ libretro frontends - development files
- libretro-mgba (0.7.0-1)
- Libretro wrapper for mGBA
- libretro-mupen64plus (2.0+git20160207+dfsg2-1)
- Libretro wrapper for Mupen64Plus
- libretro-nestopia (1.49-2)
- libretro wrapper for Nestopia
- libretro-snes9x (1.53+git20160522-1) [non-free]
- Libretro wrapper for Snes9x
- libreturn-multilevel-perl (0.05-1)
- Perl module to enable returning from a nested call stack
- libreturn-type-perl (0.005-1)
- specify a return type for a function (optionally with coercion)
- librevenge-0.0-0 (0.0.4-6)
- Base Library for writing document interface filters
- librevenge-dev (0.0.4-6)
- Base Library for writing document interface filters -- development
- libreverseproxy-formfiller-perl (0.5-1)
- ModPerl module to fill and submit any html form
- librg-blast-parser-perl (0.03-6+b1)
- very fast NCBI BLAST parser - binding for Perl
- librg-exception-perl (1.0.3-4)
- RG::Exception Perl module
- librg-reprof-bundle-perl (1.0.1-6)
- protein secondary structure and accessibility predictor (perl module)
- librg-utils-perl (1.0.43-6)
- parsers and format conversion utilities used by (e.g.) profphd
- librgw-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS client library (development files)
- librgw2 (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS Gateway client library
- librgxg-dev (0.1.1-5)
- development files and documentation for librgxg
- librgxg0 (0.1.1-5)
- C library to generate regular expressions
- librhash-dev (1.3.8-1)
- header files and a static library for librhash
- librhash0 (1.3.8-1)
- shared library for hash functions computing
- librheolef-dev (7.0-2+b1)
- efficient Finite Element environment - development files
- librheolef1 (7.0-2+b1)
- efficient Finite Element environment - shared library
- librhino-java (1.7.7.1-1)
- Libraries for rhino Java Script Engine
- librhino-java-doc (1.7.7.1-1)
- Documentation for rhino Java Script Engine
- librhythmbox-core10 (3.4.3-2)
- support library for the rhythmbox music player
- libriddley-clojure (0.1.14-1)
- code walking library for Clojure
- libriemann-client-dev (1.10.4-2)
- Development files for the Riemann C client library
- libriemann-client0 (1.10.4-2)
- C language client library for the Riemann event stream processor
- librime-bin (1.4.0+dfsg1-2+b1)
- Rime Input Method Engine - utilities
- librime-data (0.38.20180515-2)
- Rime Input Method Engine, the schema data
- librime-data-array30 (0.38.20180515-2)
- RIME schema data - array30
- librime-data-bopomofo (0.38.20180515-2)
- RIME schema data - Bopomofo (a.k.a Zhu Yin)
- librime-data-cangjie5 (0.38.20180515-2)
- RIME schema data - Cangjie5
- librime-data-combo-pinyin (0.38.20180515-2)
- RIME schema data - Combo Pinyin (a.k.a Gong Bao Pin Yin)
- librime-data-double-pinyin (0.38.20180515-2)
- RIME schema data - Double Pinyin (a.k.a Zi Ran Ma Shuang Pin)
- librime-data-emoji (0.38.20180515-2)
- RIME schema data - Emoji
- librime-data-ipa-xsampa (0.38.20180515-2)
- RIME schema data - X-SAMPA
- librime-data-jyutping (0.38.20180515-2)
- RIME schema data - jyutping (a.k.a Cantonese)
- librime-data-luna-pinyin (0.38.20180515-2)
- RIME schema data - Luna Pinyin
- librime-data-pinyin-simp (0.38.20180515-2)
- RIME schema data - Pinyin Simp (a.k.a Xiu Zheng Jian Hua Pin Yin)
- librime-data-quick5 (0.38.20180515-2)
- RIME schema data - quick5
- librime-data-sampheng (0.38.20180515-2)
- RIME schema data - sampheng (a.k.a Zhong Gu San Pin)
- librime-data-scj6 (0.38.20180515-2)
- RIME schema data - scj6 (a.k.a Fast Cangjie IM 6)
- librime-data-soutzoe (0.38.20180515-2)
- RIME schema data - soutzoe
- librime-data-stenotype (0.38.20180515-2)
- RIME schema data - stenotype
- librime-data-stroke (0.38.20180515-2)
- RIME schema data - Stroke
- librime-data-stroke-simp
- virtueel pakket geboden door librime-data-stroke
- librime-data-stroke5
- virtueel pakket geboden door librime-data-stroke
- librime-data-terra-pinyin (0.38.20180515-2)
- RIME schema data - Terra Pinyin (a.k.a Earth Pinyin)
- librime-data-triungkox3p
- virtueel pakket geboden door librime-data-sampheng
- librime-data-wubi (0.38.20180515-2)
- RIME schema data - Wubi
- librime-data-wugniu (0.38.20180515-2)
- RIME schema data - wugniu (a.k.a Shanghai Native Language)
- librime-data-zyenpheng (0.38.20180515-2)
- RIME schema data - zyenpheng (a.k.a Medieval Chinese)
- librime-dev (1.4.0+dfsg1-2+b1)
- Rime Input Method Engine, the core library - development files
- librime1 (1.4.0+dfsg1-2+b1)
- Rime Input Method Engine - core library
- librime1-dev
- virtueel pakket geboden door librime-dev
- librinci-perl (1.1.87-1)
- module that provides a language-neutral metadata for your code
- libring-anti-forgery-clojure (1.1.0-1)
- Ring middleware to prevent CSRF attacks
- libring-codec-clojure (1.0.1-1)
- Clojure library for encoding and decoding data
- libring-core-clojure (1.6.2-2)
- Clojure web applications library
- libring-defaults-clojure (0.3.1-1)
- Ring middleware that provides sensible defaults
- (0.3.0-1)
- Ring middleware for common response headers
- libring-jetty-adapter-clojure (1.6.2-2)
- Clojure web applications library - Jetty adapter
- libring-mock-clojure (0.3.1-1)
- library for creating mock Ring request maps
- libring-servlet-clojure (1.6.2-2)
- Clojure web applications library - servlet utilities
- libring-ssl-clojure (0.3.0-1)
- Ring middleware for managing HTTPS requests
- librivescript-perl (2.0.3-1)
- simple trigger/response language primarily used for chatbots
- librlog-dev (1.4-4)
- flexible message logging library - development files
- librlog5v5 (1.4-4)
- flexible message logging library
- librmail-ruby-doc
- virtueel pakket geboden door ruby-rubymail-doc
- librngom-java (2.3.0.1-8)
- Java library for parsing RELAX NG grammars
- libroar-compat-tools (1.0~beta12-2)
- drop-in replacements for other sound libraries (tools package)
- libroar-compat2 (1.0~beta12-2)
- drop-in replacements for other sound libraries
- libroar-dev (1.0~beta12-2)
- header files and documentation for the RoarAudio libraries
- libroar-plugins-universal (1.0~beta12-2)
- Collection of host-independent plugins for libroar
- libroar2 (1.0~beta12-2)
- foundation libraries for the RoarAudio sound server and clients
- librobert-hooke-clojure (1.3.0-4)
- Function wrapper library for Clojure
- librobot-state-publisher-dev (1.13.6-7)
- development files for Robot OS robot_state_publisher library
- librobot-state-publisher-solver0d (1.13.6-7)
- Robot OS robot_state_publisher solver library
- librobust-http-client-java (1.2-4)
- robust HTTP client library for Java
- librobust-http-client-java-doc (1.2-4)
- Documentation for librobust-http-client-java
- librocksdb-dev (5.17.2-3)
- persistent Key-Value Store for Flash and RAM Storage (development)
- librocksdb5.17 (5.17.2-3)
- persistent Key-Value Store for Flash and RAM Storage
- libroken18-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - roken support library
- librole-basic-perl (0.13-2)
- simple implementation of roles
- librole-commons-perl (0.104-1)
- roles that can be commonly used, for the mutual benefit of all
- librole-hasmessage-perl (0.006-1)
- Moose roles to summarize the message of an object
- librole-identifiable-perl (0.007-1)
- Moose roles to identify things
- librole-rest-client-perl (0.23-1)
- REST Client Role
- librole-tiny-perl (2.000006-1)
- Perl module for minimalist role composition
- libroman-perl (1.24-1)
- Perl module for converting between Roman and Arabic numerals
- libromana-perligata-perl (0.55-1.2)
- perl module for writing in Latin
- librome-java (1.12.0-1)
- Java library to handle Atom and RSS feeds
- librope-ocaml (0.6.1-2)
- ropes ("heavyweight strings") for OCaml (Runtime library)
- librope-ocaml-92907
- virtueel pakket geboden door librope-ocaml
- librope-ocaml-dev (0.6.1-2)
- ropes ("heavyweight strings") for OCaml (Development package)
- librope-ocaml-dev-92907
- virtueel pakket geboden door librope-ocaml-dev
- librope-ocaml-dev-gzg57
- virtueel pakket geboden door librope-ocaml-dev
- librope-ocaml-gzg57
- virtueel pakket geboden door librope-ocaml
- libropkg-perl (0.4-1.2)
- general purpose classes for simba
- libros-rosgraph-msgs-dev (1.11.2-9)
- Messages relating to the Robot OS Computation Graph
- librosbag-dev (1.14.3+ds1-5+deb10u3)
- Tools for recording from and playing back to Robot OS topics
- librosbag-storage-dev (1.14.3+ds1-5+deb10u3)
- Development files for librosbag_storage
- librosbag-storage3d (1.14.3+ds1-5+deb10u3)
- Robot OS library for rosbag_storage
- librosbag3d (1.14.3+ds1-5+deb10u3)
- Library for recording from and playing back to Robot OS topics
- librosconsole-bridge-dev (0.5.2-1+b1)
- Robot OS library for connecting console logging types
- librosconsole-bridge0d (0.5.2-1+b1)
- Robot OS library for connecting console logging types
- librosconsole-dev (1.13.9-1+b1)
- Development files for librosconsole
- librosconsole2d (1.13.9-1+b1)
- library for librosconsole
- libroscpp-core-dev (0.6.11-2+b1)
- Development files for Robot OS roscpp-core
- libroscpp-dev (1.14.3+ds1-5+deb10u3)
- Robot OS development files for libroscpp
- libroscpp-msg-dev (1.14.3+ds1-5+deb10u3)
- Robot OS header for roscpp messages
- libroscpp-serialization0d (0.6.11-2+b1)
- Robot OS library for roscpp serialization
- libroscpp2d (1.14.3+ds1-5+deb10u3)
- Robot OS client library
- librose-datetime-perl (0.540-1)
- collection of Perl modules for manipulating DateTime dates
- librose-db-object-perl (1:0.815-1+deb10u1)
- framework providing an extensible high-performance ORM
- librose-db-perl (0.778-1)
- Perl DBI wrapper and abstraction layer
- librose-object-perl (0.860-1)
- simple Perl object base class
- librose-uri-perl (1.02-1)
- module for an easy manipulation of URI components
- libroslib-dev (1.14.4-6+b1)
- development files for roslib (Robot OS)
- libroslib0d (1.14.4-6+b1)
- library for roslib (Robot OS)
- libroslz4-1d (1.14.3+ds1-5+deb10u3)
- library implementing lz4 for Robot OS
- libroslz4-dev (1.14.3+ds1-5+deb10u3)
- development files for libroslz4
- librospack-dev (2.5.2-1+b1)
- Robot OS package information library header files
- librospack0d (2.5.2-1+b1)
- Robot OS package information library
- librostest-dev (1.14.3+ds1-5+deb10u3)
- Development files for rostest (Robot OS)
- librostime0d (0.6.11-2+b1)
- Robot OS library for time and duration
- librostlab-blast-dev
- virtueel pakket geboden door librostlab-blast0-dev
- librostlab-blast-doc (1.0.1-10)
- very fast C++ library for parsing the output of NCBI BLAST programs (doc)
- librostlab-blast0-dev (1.0.1-10)
- very fast C++ library for parsing the output of NCBI BLAST programs (devel)
- librostlab-blast0v5 (1.0.1-10)
- very fast C++ library for parsing the output of NCBI BLAST programs
- librostlab-dev
- virtueel pakket geboden door librostlab3-dev
- librostlab-doc (1.0.20-8)
- C++ library for computational biology (documentation)
- librostlab3 (1.0.20-8)
- C++ library for computational biology
- librostlab3-dev (1.0.20-8)
- C++ library for computational biology (development)
- librouter-simple-perl (0.17-1)
- simple HTTP router for web applications
- libroutino-dev (3.2-5)
- Routino library development headers
- libroutino-slim0 (3.2-5)
- Routino slim routing library
- libroutino0 (3.2-5)
- Routino routing library
- librpc-ocaml-dev
- virtueel pakket geboden door libocamlnet-ocaml-dev
- librpc-xml-perl (0.80-2)
- Perl implementation of the XML-RPC protocol
- librpcsecgss-dev (0.19-7+b2)
- header files and docs for librpcsecgss
- librpcsecgss3 (0.19-7+b2)
- staat veilige rpc communicatie toe gebruikmakend van het rpcsec_gss protocol
- librplay-perl (3.3.2-17)
- rplay network audio system - perl modules
- librplay3 (3.3.2-17)
- rplay network audio system - shared libraries
- librplay3-dev (3.3.2-17)
- rplay network audio system - development libraries
- librpm-dev (4.14.2.1+dfsg1-1)
- RPM shared library, development kit
- librpm8 (4.14.2.1+dfsg1-1)
- RPM shared library
- librpmbuild8 (4.14.2.1+dfsg1-1)
- RPM build shared library
- librpmem-dev (1.5.1-1)
- development files for librpmem1
- librpmem1 (1.5.1-1)
- Persistent Memory remote access support library
- librpmem1-debug (1.5.1-1)
- Persistent Memory remote access support library — debug build
- librpmio8 (4.14.2.1+dfsg1-1)
- RPM IO shared library
- librpmsign8 (4.14.2.1+dfsg1-1)
- RPM signing shared library
- librrd-dev (1.7.1-2)
- time-series data storage and display system (development)
- librrd8 (1.7.1-2)
- time-series data storage and display system (runtime library)
- librrdp-perl (1.7.1-2)
- time-series data storage and display system (Perl interface, piped)
- librrds-perl (1.7.1-2)
- time-series data storage and display system (Perl interface, shared)
- librrdtool-oo-perl (0.36-2)
- object-oriented interface to RRDTool
- librsb-dev (1.2.0-rc7-6)
- recursive sparse blocks matrix computations library (development)
- librsb-doc (1.2.0-rc7-6)
- recursive sparse blocks matrix computations library (documentation)
- librsb0 (1.2.0-rc7-6)
- recursive sparse blocks matrix computations library
- librscode-dev (1.3-6)
- development libraries and headers for RSCODE
- librscode1 (1.3-6)
- library implementing a Reed-Solomon error correction algorithm
- (2.2.1-3+b10 [armhf], 2.2.1-3+b9 [amd64, i386], 2.2.1-3+b8 [arm64])
- RSS 2.0 parser and printer for OCaml (runtime)
- virtueel pakket geboden door librss-ocaml
- virtueel pakket geboden door librss-ocaml
- (2.2.1-3+b10 [armhf], 2.2.1-3+b9 [amd64, i386], 2.2.1-3+b8 [arm64])
- RSS 2.0 parser and printer for OCaml (development)
- virtueel pakket geboden door librss-ocaml-dev
- virtueel pakket geboden door librss-ocaml-dev
- (0.4-1+b2)
- GNUstep RSS framework (development files)
- (0.4-1+b2)
- GNUstep RSS framework (runtime library)
- (0.4-1+b2)
- GNUstep RSS framework (debugging symbols)
- librsvg2-2 (2.44.10-2.1+deb10u3)
- SAX-based renderer library for SVG files (runtime)
- librsvg2-bin (2.44.10-2.1+deb10u3)
- command-line and graphical viewers for SVG files
- librsvg2-common (2.44.10-2.1+deb10u3)
- SAX-based renderer library for SVG files (extra runtime)
- librsvg2-dev (2.44.10-2.1+deb10u3)
- SAX-based renderer library for SVG files (development)
- librsvg2-doc (2.44.10-2.1+deb10u3)
- SAX-based renderer library for SVG files (documentation)
- librsync-dbg (0.9.7-10+b1)
- rsync remote-delta algorithm library (debug)
- librsync-dev (0.9.7-10+b1)
- rsync remote-delta algorithm library (development)
- librsync1 (0.9.7-10+b1)
- rsync remote-delta algorithm library
- librsyntaxtextarea-java (2.5.8-1)
- Java library for syntax highlighting text component
- librsyntaxtextarea-java-doc (2.5.8-1)
- Java library for syntax highlighting text component (documentation)
- librt-client-rest-perl (1:0.56-1)
- RESTful Perl interface to RT installations
- librt-extension-commandbymail-perl (3.00-1)
- Allow RT status and other commands by email
- librtaudio-dev (5.0.0~ds-3)
- C++ library for realtime audio input/ouput (development files)
- librtaudio-doc (5.0.0~ds-3)
- C++ library for realtime audio input/ouput (documentation files)
- librtaudio6 (5.0.0~ds-3)
- C++ library for realtime audio input/ouput
- librte-acl18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-acl runtime library)
- librte-bbdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-bbdev runtime library)
- librte-bitratestats18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bitratestats runtime library)
- librte-bpf18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-bpf runtime library)
- librte-bus-dpaa18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_dpaa runtime library)
- librte-bus-fslmc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_fslmc runtime library)
- librte-bus-ifpga18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_ifpga runtime library)
- librte-bus-pci18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_pci runtime library)
- librte-bus-vdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_vdev runtime library)
- librte-bus-vmbus18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_bus_vmbus runtime library)
- librte-cfgfile18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-cfgfile runtime library)
- librte-cmdline18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-cmdline runtime library)
- librte-common-cpt18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_common_cpt runtime library)
- librte-common-dpaax18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_common_dpaax runtime library)
- librte-common-octeontx18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_octeontx runtime library)
- librte-compressdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-compressdev runtime library)
- librte-cryptodev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-cryptodev runtime library)
- librte-distributor18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-distributor runtime library)
- librte-eal18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-eal runtime library)
- librte-efd18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_efd runtime library)
- librte-ethdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (libethdev runtime library)
- librte-eventdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_eventdev runtime library)
- librte-flow-classify18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-flow-classify runtime library)
- librte-gro18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-gro runtime library)
- librte-gso18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-gso runtime library)
- librte-hash18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-hash runtime library)
- librte-ip-frag18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-ip-frag runtime library)
- librte-jobstats18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-jobstats runtime library)
- librte-kni18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-kni runtime library)
- librte-kvargs18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-kvargs runtime library)
- librte-latencystats18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_latencystats runtime library)
- librte-lpm18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-lpm runtime library)
- librte-mbuf18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-mbuf2 runtime library)
- librte-member18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-member runtime library)
- librte-mempool-bucket18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-mempool-bucket runtime library)
- librte-mempool-dpaa18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_dpaa runtime library)
- librte-mempool-dpaa2-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_dpaa2 runtime library)
- librte-mempool-octeontx18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_octeontx runtime library)
- librte-mempool-ring18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_ring runtime library)
- librte-mempool-stack18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_mempool_stack runtime library)
- librte-mempool18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-mempool runtime library)
- librte-meter18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-meter runtime library)
- librte-metrics18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_metrics runtime library)
- librte-net18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-net runtime library)
- librte-pci18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pci runtime library)
- librte-pdump18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pdump runtime library)
- librte-pipeline18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pipeline runtime library)
- librte-pmd-aesni-gcm18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_aesni_gcm runtime library)
- librte-pmd-aesni-mb18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_aesni_mb runtime library)
- librte-pmd-af-packet18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-af-packet runtime library)
- librte-pmd-ark18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_ark runtime library)
- librte-pmd-atlantic18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-atlantic runtime library)
- librte-pmd-avf18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_avf runtime library)
- librte-pmd-avp18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_avp runtime library)
- librte-pmd-axgbe18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-axgbe runtime library)
- librte-pmd-bbdev-null18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-bbdev-null runtime library)
- librte-pmd-bnx2x18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-bnx2x runtime library)
- librte-pmd-bnxt18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-bnxt runtime library)
- librte-pmd-bond18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-bond runtime library)
- librte-pmd-caam-jr18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-caam-jr runtime library)
- librte-pmd-ccp18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-ccp runtime library)
- librte-pmd-crypto-scheduler18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_crypto_scheduler runtime library)
- librte-pmd-cxgbe18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-cxgbe runtime library)
- librte-pmd-dpaa-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa-sec18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa2-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa2-cmdif18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa2-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa2-qdma18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dpaa2-sec18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dpaa runtime library)
- librte-pmd-dsw-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-dsw-event runtime library)
- librte-pmd-e1000-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-e1000 runtime library)
- librte-pmd-ena18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-ena runtime library)
- librte-pmd-enetc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-enetc runtime library)
- librte-pmd-enic18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-enic runtime library)
- librte-pmd-failsafe18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-failsafe runtime library)
- librte-pmd-fm10k18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-fm10k runtime library)
- librte-pmd-i40e18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-i40e runtime library)
- librte-pmd-ifc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_ifc runtime library)
- librte-pmd-ifpga-rawdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-ifpga-rawdev runtime library)
- librte-pmd-ixgbe18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-ixgbe runtime library)
- librte-pmd-kni18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_kni runtime library)
- librte-pmd-liquidio18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_liquidio runtime library)
- librte-pmd-mlx4-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-mlx4 runtime library)
- librte-pmd-mlx5-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-mlx5 runtime library)
- librte-pmd-netvsc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-netvsc runtime library)
- librte-pmd-nfp18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_nfp runtime library)
- librte-pmd-null-crypto18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-null-crypto runtime library)
- librte-pmd-null18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-null runtime library)
- librte-pmd-octeontx-compress18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_octeontx_compress runtime library)
- librte-pmd-octeontx-crypto18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_octeontx_crypto runtime library)
- librte-pmd-octeontx-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_octeontx_event runtime library)
- librte-pmd-octeontx18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_octeontx runtime library)
- librte-pmd-opdl-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_opdl_event runtime library)
- librte-pmd-openssl18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-openssl runtime library)
- librte-pmd-pcap18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-pcap runtime library)
- librte-pmd-qat18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-qat runtime library)
- librte-pmd-qede18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-qede runtime library)
- librte-pmd-ring18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-ring runtime library)
- librte-pmd-sfc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_sfc runtime library)
- librte-pmd-skeleton-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_skeleton_event runtime library)
- librte-pmd-skeleton-rawdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-skeleton-rawdev runtime library)
- librte-pmd-softnic18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-softnic runtime library)
- librte-pmd-sw-event18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_sw_event runtime library)
- librte-pmd-tap18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_tap runtime library)
- librte-pmd-thunderx18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_pmd_thunderx runtime library)
- librte-pmd-vdev-netvsc18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-vdev-netvsc runtime library)
- librte-pmd-vhost18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-vhost runtime library)
- librte-pmd-virtio-crypto18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-virtio-crypto runtime library)
- librte-pmd-virtio18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-virtio runtime library)
- librte-pmd-vmxnet3-18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-vmxnet3 runtime library)
- librte-pmd-zlib18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-pmd-zlib runtime library)
- librte-port18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-port runtime library)
- librte-power18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-power runtime library)
- librte-rawdev18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-rawdev runtime library)
- librte-reorder18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte-reorder runtime library)
- librte-ring18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_ring runtime library)
- librte-sched18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_sched runtime library)
- librte-security18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_security runtime library)
- librte-table18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_table runtime library)
- librte-telemetry18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_telemetry runtime library)
- librte-timer18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_timer runtime library)
- librte-vhost18.11 (18.11.11-1~deb10u2) [security]
- Data Plane Development Kit (librte_vhost runtime library)
- librtf-document-perl (0.64-11)
- Perl extension for generating Rich Text Format (RTF) files
- librtf-writer-perl (1.11-3)
- module for creating RTF files
- librtfilter-dev (1.1-4+b2)
- realtime digital filtering library (development files)
- librtfilter1 (1.1-4+b2)
- realtime digital filtering library
- librtfilter1-dbg (1.1-4+b2)
- realtime digital filtering library (debugging symbols)
- librtlsdr-dev (0.6-1)
- Software defined radio receiver for Realtek RTL2832U (development)
- librtlsdr0 (0.6-1)
- Software defined radio receiver for Realtek RTL2832U (library)
- librtmidi-dev (3.0.0~ds1-2)
- C++ library for realtime MIDI input/ouput (development files)
- librtmidi-doc (3.0.0~ds1-2)
- C++ library for realtime MIDI input/ouput (documentation files)
- librtmidi4 (3.0.0~ds1-2)
- C++ library for realtime MIDI input/ouput
- librtmp-dev (2.4+20151223.gitfa8646d.1-2)
- toolkit for RTMP streams (development files)
- librtmp1 (2.4+20151223.gitfa8646d.1-2)
- toolkit for RTMP streams (shared library)
- librtr-dev (0.6.3-1)
- Small extensible RPKI-RTR-Client C library - development files
- librtr-doc (0.6.3-1)
- Small extensible RPKI-RTR-Client C library - documentation
- librtr0 (0.6.3-1)
- Small extensible RPKI-RTR-Client C library
- librttopo-dev (1.0.0-1)
- Tuscany Region topology library - Development files
- librttopo1 (1.0.0-1)
- Tuscany Region topology library
- librubberband-dev (1.8.1-7)
- audio time-stretching and pitch-shifting library (development files)
- librubberband2 (1.8.1-7)
- audio time-stretching and pitch-shifting library
- libruby (1:2.5.1)
- Libraries necessary to run Ruby
- libruby2.5 (2.5.5-3+deb10u6) [security]
- Libraries necessary to run Ruby 2.5
- librudecgi-dev (5.0.0-1.1+b2)
- C++ parser library for CGI applications - development libraries
- librudecgi5 (5.0.0-1.1+b2)
- C++ parser library for CGI applications - runtime
- libruli-bin (0.33-1.1+b2)
- Library for easily querying DNS SRV records - sample programs
- libruli-dev
- virtueel pakket geboden door libruli4-dev
- libruli4 (0.33-1.1+b2)
- Library for easily querying DNS SRV records
- libruli4-dev (0.33-1.1+b2)
- Library for easily querying DNS SRV records - development files
- librun-parts-perl (0.09-2)
- Offers run-parts' functionality in Perl
- librunapp-perl (0.13-2)
- generic module to run web-applications
- (0.000005-1)
- Perl module to call system() with tracking messages
- librust-addr2line+cpp-demangle-dev (0.7.0-1)
- Cross-platform symbolication library written in Rust, using `gimli` - feature "cpp_demangle"
- librust-addr2line+default-dev (0.7.0-1)
- Cross-platform symbolication library written in Rust, using `gimli` - feature "default"
- librust-addr2line+rustc-demangle-dev (0.7.0-1)
- Cross-platform symbolication library written in Rust, using `gimli` - feature "rustc-demangle"
- librust-addr2line-0+cpp-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+cpp-demangle-dev
- librust-addr2line-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+default-dev
- librust-addr2line-0+rustc-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+rustc-demangle-dev
- librust-addr2line-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line-dev
- librust-addr2line-0.7+cpp-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+cpp-demangle-dev
- librust-addr2line-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+default-dev
- librust-addr2line-0.7+rustc-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+rustc-demangle-dev
- librust-addr2line-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line-dev
- librust-addr2line-0.7.0+cpp-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+cpp-demangle-dev
- librust-addr2line-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+default-dev
- librust-addr2line-0.7.0+rustc-demangle-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line+rustc-demangle-dev
- librust-addr2line-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-addr2line-dev
- librust-addr2line-dev (0.7.0-1)
- Cross-platform symbolication library written in Rust, using `gimli` - Rust source code
- librust-adler32+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1.0+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1.0-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1.0.3+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-1.0.3-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-adler32-dev
- librust-adler32-dev (1.0.3-1)
- Minimal Adler32 implementation for Rust - Rust source code
- librust-aho-corasick+default-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0+default-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0.6+default-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0.6-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0.6.9+default-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-0.6.9-dev (= 0.6.9-1)
- virtueel pakket geboden door librust-aho-corasick-dev
- librust-aho-corasick-dev (0.6.9-1)
- Fast multiple substring searching with finite state machines - Rust source code
- librust-ansi-term+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0.11+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0.11-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0.11.0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-0.11.0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ansi-term-dev
- librust-ansi-term-dev (0.11.0-1)
- ANSI terminal colours and styles (bold, underline) - Rust source code
- librust-approx+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx+num-complex-dev (0.3.1-1)
- Approximate floating point equality comparisons and assertions - feature "num-complex"
- librust-approx+std-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0+num-complex-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx+num-complex-dev
- librust-approx-0+std-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3+num-complex-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx+num-complex-dev
- librust-approx-0.3+std-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3.1+num-complex-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx+num-complex-dev
- librust-approx-0.3.1+std-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-approx-dev
- librust-approx-dev (0.3.1-1)
- Approximate floating point equality comparisons and assertions - Rust source code
- librust-ar+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0.6+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0.6-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0.6.2+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-0.6.2-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-ar-dev
- librust-ar-dev (0.6.2-1)
- Encoding/decoding Unix archive files - Rust source code
- librust-arc-swap+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0.3+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0.3-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0.3.7+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-0.3.7-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-arc-swap-dev
- librust-arc-swap-dev (0.3.7-1)
- Atomically swappable Arc - Rust source code
- librust-argparse+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0.2.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-0.2.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-argparse-dev
- librust-argparse-dev (0.2.2-1)
- Powerful command-line argument parsing library - Rust source code
- librust-arrayref+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0.3+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0.3-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0.3.5+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-0.3.5-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-arrayref-dev
- librust-arrayref-dev (0.3.5-1)
- Macros to take array references of slices - Rust source code
- librust-arrayvec+array-sizes-129-255-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec+array-sizes-33-128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec+serde-1-dev (0.4.10-1)
- Vector with fixed capacity, backed by an array - feature "serde-1"
- librust-arrayvec+serde-dev (0.4.10-1)
- Vector with fixed capacity, backed by an array - feature "serde"
- librust-arrayvec+std-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec+use-union-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0+array-sizes-129-255-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0+array-sizes-33-128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0+serde-1-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-1-dev
- librust-arrayvec-0+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-dev
- librust-arrayvec-0+std-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0+use-union-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4+array-sizes-129-255-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4+array-sizes-33-128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4+serde-1-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-1-dev
- librust-arrayvec-0.4+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-dev
- librust-arrayvec-0.4+std-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4+use-union-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10+array-sizes-129-255-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10+array-sizes-33-128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10+serde-1-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-1-dev
- librust-arrayvec-0.4.10+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec+serde-dev
- librust-arrayvec-0.4.10+std-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10+use-union-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-0.4.10-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-arrayvec-dev
- librust-arrayvec-dev (0.4.10-1)
- Vector with fixed capacity, backed by an array - Rust source code
- librust-atk-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-7-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-7-90-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-9-3-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys+v2-9-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-7-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-7-90-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-9-3-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0+v2-9-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-7-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-7-90-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-9-3-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7+v2-9-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-7-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-7-90-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-9-3-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0+v2-9-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-atk-sys-dev
- librust-atk-sys-dev (0.7.0-1)
- FFI bindings to libatk-1 - Rust source code
- librust-atlatl+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl+serde-dev (0.1.2-1)
- Double-array tries - feature "serde"
- librust-atlatl-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-0+serde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl+serde-dev
- librust-atlatl-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-0.1+serde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl+serde-dev
- librust-atlatl-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-0.1.2+serde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl+serde-dev
- librust-atlatl-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-atlatl-dev
- librust-atlatl-dev (0.1.2-1)
- Double-array tries - Rust source code
- librust-atoi+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-atoi-dev
- librust-atoi-dev (0.2.3-1)
- Parse integers directly from `[u8]` slices in safe code - Rust source code
- librust-atty+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0.2+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0.2-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0.2.11+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-0.2.11-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-atty-dev
- librust-atty-dev (0.2.11-1)
- Simple interface for querying atty - Rust source code
- librust-autocfg+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-autocfg-dev
- librust-autocfg-dev (0.1.1-1)
- Automatic cfg for Rust compiler features - Rust source code
- librust-backtrace+addr2line-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "addr2line"
- librust-backtrace+backtrace-sys-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "backtrace-sys"
- librust-backtrace+coresymbolication-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+cpp-demangle-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "cpp_demangle"
- librust-backtrace+dbghelp-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+default-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "default"
- librust-backtrace+dladdr-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+findshlibs-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "findshlibs"
- librust-backtrace+gimli-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "gimli"
- librust-backtrace+gimli-symbolize-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "gimli-symbolize"
- librust-backtrace+kernel32-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+libbacktrace-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "libbacktrace"
- librust-backtrace+libunwind-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+memmap-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "memmap"
- librust-backtrace+object-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "object"
- librust-backtrace+rustc-serialize-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "rustc-serialize"
- librust-backtrace+serde-derive-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "serde_derive"
- librust-backtrace+serde-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "serde"
- librust-backtrace+serialize-rustc-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "serialize-rustc"
- librust-backtrace+serialize-serde-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - feature "serialize-serde"
- librust-backtrace+std-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace+unix-backtrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+addr2line-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+addr2line-dev
- librust-backtrace-0+backtrace-sys-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+backtrace-sys-dev
- librust-backtrace-0+coresymbolication-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+cpp-demangle-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+cpp-demangle-dev
- librust-backtrace-0+dbghelp-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+default-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+default-dev
- librust-backtrace-0+dladdr-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+findshlibs-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+findshlibs-dev
- librust-backtrace-0+gimli-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-dev
- librust-backtrace-0+gimli-symbolize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-symbolize-dev
- librust-backtrace-0+kernel32-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+libbacktrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+libbacktrace-dev
- librust-backtrace-0+libunwind-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+memmap-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+memmap-dev
- librust-backtrace-0+object-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+object-dev
- librust-backtrace-0+rustc-serialize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+rustc-serialize-dev
- librust-backtrace-0+serde-derive-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-derive-dev
- librust-backtrace-0+serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-dev
- librust-backtrace-0+serialize-rustc-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-rustc-dev
- librust-backtrace-0+serialize-serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-serde-dev
- librust-backtrace-0+std-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0+unix-backtrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+addr2line-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+addr2line-dev
- librust-backtrace-0.3+backtrace-sys-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+backtrace-sys-dev
- librust-backtrace-0.3+coresymbolication-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+cpp-demangle-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+cpp-demangle-dev
- librust-backtrace-0.3+dbghelp-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+default-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+default-dev
- librust-backtrace-0.3+dladdr-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+findshlibs-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+findshlibs-dev
- librust-backtrace-0.3+gimli-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-dev
- librust-backtrace-0.3+gimli-symbolize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-symbolize-dev
- librust-backtrace-0.3+kernel32-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+libbacktrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+libbacktrace-dev
- librust-backtrace-0.3+libunwind-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+memmap-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+memmap-dev
- librust-backtrace-0.3+object-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+object-dev
- librust-backtrace-0.3+rustc-serialize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+rustc-serialize-dev
- librust-backtrace-0.3+serde-derive-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-derive-dev
- librust-backtrace-0.3+serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-dev
- librust-backtrace-0.3+serialize-rustc-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-rustc-dev
- librust-backtrace-0.3+serialize-serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-serde-dev
- librust-backtrace-0.3+std-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3+unix-backtrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+addr2line-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+addr2line-dev
- librust-backtrace-0.3.13+backtrace-sys-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+backtrace-sys-dev
- librust-backtrace-0.3.13+coresymbolication-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+cpp-demangle-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+cpp-demangle-dev
- librust-backtrace-0.3.13+dbghelp-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+default-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+default-dev
- librust-backtrace-0.3.13+dladdr-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+findshlibs-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+findshlibs-dev
- librust-backtrace-0.3.13+gimli-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-dev
- librust-backtrace-0.3.13+gimli-symbolize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+gimli-symbolize-dev
- librust-backtrace-0.3.13+kernel32-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+libbacktrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+libbacktrace-dev
- librust-backtrace-0.3.13+libunwind-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+memmap-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+memmap-dev
- librust-backtrace-0.3.13+object-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+object-dev
- librust-backtrace-0.3.13+rustc-serialize-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+rustc-serialize-dev
- librust-backtrace-0.3.13+serde-derive-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-derive-dev
- librust-backtrace-0.3.13+serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serde-dev
- librust-backtrace-0.3.13+serialize-rustc-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-rustc-dev
- librust-backtrace-0.3.13+serialize-serde-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace+serialize-serde-dev
- librust-backtrace-0.3.13+std-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13+unix-backtrace-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-0.3.13-dev (= 0.3.13-1)
- virtueel pakket geboden door librust-backtrace-dev
- librust-backtrace-dev (0.3.13-1)
- Acquire a stack trace (backtrace) at runtime - Rust source code
- librust-backtrace-sys+default-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0+default-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0.1+default-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0.1-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0.1.28+default-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-0.1.28-dev (= 0.1.28-1)
- virtueel pakket geboden door librust-backtrace-sys-dev
- librust-backtrace-sys-dev (0.1.28-1)
- Bindings to the libbacktrace gcc library - Rust source code
- librust-base-x+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-base-x-dev
- librust-base-x-dev (0.2.3-1)
- Encode/decode any base - Rust source code
- librust-base32+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-base32-dev
- librust-base32-dev (0.4.0-1)
- Base32 encoder/decoder for Rust - Rust source code
- librust-base64+default-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0+default-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0.10+default-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0.10-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0.10.0+default-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-0.10.0-dev (= 0.10.0-1)
- virtueel pakket geboden door librust-base64-dev
- librust-base64-dev (0.10.0-1)
- Encodes and decodes base64 as bytes or utf8 - Rust source code
- librust-bincode+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode+i128-dev (1.0.1-1)
- Compact binary serialization / deserialization library - feature "i128"
- librust-bincode-1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-1+i128-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode+i128-dev
- librust-bincode-1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-1.0+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-1.0+i128-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode+i128-dev
- librust-bincode-1.0-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-1.0.1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-1.0.1+i128-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode+i128-dev
- librust-bincode-1.0.1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-bincode-dev
- librust-bincode-dev (1.0.1-1)
- Compact binary serialization / deserialization library - Rust source code
- librust-bindgen+default-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen+env-logger-dev (0.47.0-1)
- Automatically generates Rust FFI bindings to C and C++ libraries - feature "env_logger"
- librust-bindgen+log-dev (0.47.0-1)
- Automatically generates Rust FFI bindings to C and C++ libraries - feature "log"
- librust-bindgen+logging-dev (0.47.0-1)
- Automatically generates Rust FFI bindings to C and C++ libraries - feature "logging"
- librust-bindgen+static-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen+testing-only-docs-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen+testing-only-libclang-3-8-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen+testing-only-libclang-3-9-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen+testing-only-libclang-4-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen+testing-only-libclang-5-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+default-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0+env-logger-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+env-logger-dev
- librust-bindgen-0+log-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+log-dev
- librust-bindgen-0+logging-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0+static-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+testing-only-docs-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+testing-only-libclang-3-8-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+testing-only-libclang-3-9-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+testing-only-libclang-4-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0+testing-only-libclang-5-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+default-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0.47+env-logger-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+env-logger-dev
- librust-bindgen-0.47+log-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+log-dev
- librust-bindgen-0.47+logging-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0.47+static-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+testing-only-docs-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+testing-only-libclang-3-8-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+testing-only-libclang-3-9-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+testing-only-libclang-4-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47+testing-only-libclang-5-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+default-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0.47.0+env-logger-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+env-logger-dev
- librust-bindgen-0.47.0+log-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+log-dev
- librust-bindgen-0.47.0+logging-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen+logging-dev
- librust-bindgen-0.47.0+static-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+testing-only-docs-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+testing-only-libclang-3-8-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+testing-only-libclang-3-9-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+testing-only-libclang-4-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0+testing-only-libclang-5-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-0.47.0-dev (= 0.47.0-1)
- virtueel pakket geboden door librust-bindgen-dev
- librust-bindgen-dev (0.47.0-1)
- Automatically generates Rust FFI bindings to C and C++ libraries - Rust source code
- librust-bit-set+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set+nightly-dev (0.5.0-1)
- Set of bits - feature "nightly"
- librust-bit-set+std-dev (0.5.0-1)
- Set of bits - feature "std"
- librust-bit-set-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+nightly-dev
- librust-bit-set-0+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set-dev
- librust-bit-set-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0.5+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+nightly-dev
- librust-bit-set-0.5+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set-dev
- librust-bit-set-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0.5.0+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+nightly-dev
- librust-bit-set-0.5.0+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set+std-dev
- librust-bit-set-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-set-dev
- librust-bit-set-dev (0.5.0-1)
- Set of bits - Rust source code
- librust-bit-vec+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5.0+nightly-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5.0+std-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-bit-vec-dev
- librust-bit-vec-dev (0.5.0-1)
- Vector of bits - Rust source code
- librust-bitflags+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags+example-generated-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1+example-generated-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0+example-generated-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0.4+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0.4+example-generated-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-1.0.4-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-bitflags-dev
- librust-bitflags-dev (1.0.4-1)
- Macro to generate structures which behave like bitflags - Rust source code
- librust-blobby+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-blobby-dev
- librust-blobby-dev (0.1.1-1)
- Iterator over simple binary blob storage - Rust source code
- librust-block-buffer+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-block-buffer-dev
- librust-block-buffer-dev (0.7.0-1)
- Fixed size buffer for block processing of data - Rust source code
- librust-block-padding+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0.1+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0.1-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0.1.3+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-0.1.3-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-block-padding-dev
- librust-block-padding-dev (0.1.3-1)
- Padding and unpadding of messages divided into blocks - Rust source code
- librust-build-const+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2.1+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-build-const-dev
- librust-build-const-dev (0.2.1-1)
- Creating importable constants from build.rs or a script - Rust source code
- librust-byte-tools+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-byte-tools-dev
- librust-byte-tools-dev (0.3.0-1)
- Bytes related utility functions - Rust source code
- librust-bytecount+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount+generic-simd-dev (0.5.1-1)
- Count bytes or UTF-8 codepoints in a byte slice - feature "generic-simd"
- librust-bytecount+html-report-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount+packed-simd-dev (0.5.1-1)
- Count bytes or UTF-8 codepoints in a byte slice - feature "packed_simd"
- librust-bytecount+runtime-dispatch-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0+generic-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+generic-simd-dev
- librust-bytecount-0+html-report-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0+packed-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+packed-simd-dev
- librust-bytecount-0+runtime-dispatch-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5+generic-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+generic-simd-dev
- librust-bytecount-0.5+html-report-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5+packed-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+packed-simd-dev
- librust-bytecount-0.5+runtime-dispatch-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5.1+generic-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+generic-simd-dev
- librust-bytecount-0.5.1+html-report-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5.1+packed-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount+packed-simd-dev
- librust-bytecount-0.5.1+runtime-dispatch-simd-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-bytecount-dev
- librust-bytecount-dev (0.5.1-1)
- Count bytes or UTF-8 codepoints in a byte slice - Rust source code
- librust-byteorder+default-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder+i128-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder+std-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1+default-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1+i128-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1+std-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3+default-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3+i128-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3+std-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3.1+default-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3.1+i128-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3.1+std-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-1.3.1-dev (= 1.3.1-1)
- virtueel pakket geboden door librust-byteorder-dev
- librust-byteorder-dev (1.3.1-1)
- Reading/writing numbers in big-endian and little-endian - Rust source code
- librust-bytes+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes+either-dev (0.4.10-1)
- Types and traits for working with bytes - feature "either"
- librust-bytes+i128-dev (0.4.10-1)
- Types and traits for working with bytes - feature "i128"
- librust-bytes+serde-dev (0.4.10-1)
- Types and traits for working with bytes - feature "serde"
- librust-bytes-0+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-0+either-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+either-dev
- librust-bytes-0+i128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+i128-dev
- librust-bytes-0+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+serde-dev
- librust-bytes-0-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-0.4+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-0.4+either-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+either-dev
- librust-bytes-0.4+i128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+i128-dev
- librust-bytes-0.4+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+serde-dev
- librust-bytes-0.4-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-0.4.10+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-0.4.10+either-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+either-dev
- librust-bytes-0.4.10+i128-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+i128-dev
- librust-bytes-0.4.10+serde-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes+serde-dev
- librust-bytes-0.4.10-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-bytes-dev
- librust-bytes-dev (0.4.10-1)
- Types and traits for working with bytes - Rust source code
- librust-bytesize+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize+serde-dev (1.0.0-1)
- Utility for human-readable bytes representations - feature "serde"
- librust-bytesize-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-1+serde-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize+serde-dev
- librust-bytesize-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-1.0+serde-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize+serde-dev
- librust-bytesize-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-1.0.0+serde-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize+serde-dev
- librust-bytesize-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-bytesize-dev
- librust-bytesize-dev (1.0.0-1)
- Utility for human-readable bytes representations - Rust source code
- librust-bzip2+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2+futures-dev (0.3.3-1)
- Bindings to libbzip2 (compression & decompression) - feature "futures"
- librust-bzip2+tokio-dev (0.3.3-1)
- Bindings to libbzip2 (compression & decompression) - feature "tokio"
- librust-bzip2+tokio-io-dev (0.3.3-1)
- Bindings to libbzip2 (compression & decompression) - feature "tokio-io"
- librust-bzip2-0+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-0+futures-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+futures-dev
- librust-bzip2-0+tokio-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-dev
- librust-bzip2-0+tokio-io-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-io-dev
- librust-bzip2-0-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-0.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-0.3+futures-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+futures-dev
- librust-bzip2-0.3+tokio-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-dev
- librust-bzip2-0.3+tokio-io-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-io-dev
- librust-bzip2-0.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-0.3.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-0.3.3+futures-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+futures-dev
- librust-bzip2-0.3.3+tokio-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-dev
- librust-bzip2-0.3.3+tokio-io-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2+tokio-io-dev
- librust-bzip2-0.3.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-bzip2-dev
- librust-bzip2-dev (0.3.3-1)
- Bindings to libbzip2 (compression & decompression) - Rust source code
- librust-bzip2-sys+default-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0+default-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0.1+default-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0.1-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0.1.7+default-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-0.1.7-dev (= 0.1.7-2)
- virtueel pakket geboden door librust-bzip2-sys-dev
- librust-bzip2-sys-dev (0.1.7-2)
- Bindings to libbzip2 for bzip2 compression and decompression exposed as Reader/Writer streams - Rust source code
- librust-cairo-rs+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs+dox-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "dox"
- librust-cairo-rs+embed-lgpl-docs-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "embed-lgpl-docs"
- librust-cairo-rs+glib-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "glib"
- librust-cairo-rs+glib-sys-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "glib-sys"
- librust-cairo-rs+gobject-sys-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "gobject-sys"
- librust-cairo-rs+gtk-rs-lgpl-docs-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "gtk-rs-lgpl-docs"
- librust-cairo-rs+png-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "png"
- librust-cairo-rs+purge-lgpl-docs-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "purge-lgpl-docs"
- librust-cairo-rs+use-glib-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "use_glib"
- librust-cairo-rs+v1-12-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "v1_12"
- librust-cairo-rs+xcb-dev (0.5.0-1)
- Rust bindings for the Cairo library - feature "xcb"
- librust-cairo-rs-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+dox-dev
- librust-cairo-rs-0+embed-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+embed-lgpl-docs-dev
- librust-cairo-rs-0+glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-dev
- librust-cairo-rs-0+glib-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-sys-dev
- librust-cairo-rs-0+gobject-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gobject-sys-dev
- librust-cairo-rs-0+gtk-rs-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gtk-rs-lgpl-docs-dev
- librust-cairo-rs-0+png-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+png-dev
- librust-cairo-rs-0+purge-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+purge-lgpl-docs-dev
- librust-cairo-rs-0+use-glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0+v1-12-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+v1-12-dev
- librust-cairo-rs-0+xcb-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+xcb-dev
- librust-cairo-rs-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs-dev
- librust-cairo-rs-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0.5+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+dox-dev
- librust-cairo-rs-0.5+embed-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+embed-lgpl-docs-dev
- librust-cairo-rs-0.5+glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-dev
- librust-cairo-rs-0.5+glib-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-sys-dev
- librust-cairo-rs-0.5+gobject-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gobject-sys-dev
- librust-cairo-rs-0.5+gtk-rs-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gtk-rs-lgpl-docs-dev
- librust-cairo-rs-0.5+png-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+png-dev
- librust-cairo-rs-0.5+purge-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+purge-lgpl-docs-dev
- librust-cairo-rs-0.5+use-glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0.5+v1-12-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+v1-12-dev
- librust-cairo-rs-0.5+xcb-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+xcb-dev
- librust-cairo-rs-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs-dev
- librust-cairo-rs-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0.5.0+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+dox-dev
- librust-cairo-rs-0.5.0+embed-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+embed-lgpl-docs-dev
- librust-cairo-rs-0.5.0+glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-dev
- librust-cairo-rs-0.5.0+glib-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+glib-sys-dev
- librust-cairo-rs-0.5.0+gobject-sys-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gobject-sys-dev
- librust-cairo-rs-0.5.0+gtk-rs-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+gtk-rs-lgpl-docs-dev
- librust-cairo-rs-0.5.0+png-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+png-dev
- librust-cairo-rs-0.5.0+purge-lgpl-docs-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+purge-lgpl-docs-dev
- librust-cairo-rs-0.5.0+use-glib-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+use-glib-dev
- librust-cairo-rs-0.5.0+v1-12-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+v1-12-dev
- librust-cairo-rs-0.5.0+xcb-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs+xcb-dev
- librust-cairo-rs-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-cairo-rs-dev
- librust-cairo-rs-dev (0.5.0-1)
- Rust bindings for the Cairo library - Rust source code
- librust-cairo-sys-rs+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs+dox-dev (0.7.0-2)
- FFI bindings to libcairo - feature "dox"
- librust-cairo-sys-rs+glib-dev (0.7.0-2)
- FFI bindings to libcairo - feature "glib"
- librust-cairo-sys-rs+glib-sys-dev (0.7.0-2)
- FFI bindings to libcairo - feature "glib-sys"
- librust-cairo-sys-rs+gobject-sys-dev (0.7.0-2)
- FFI bindings to libcairo - feature "gobject-sys"
- librust-cairo-sys-rs+png-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs+use-glib-dev (0.7.0-2)
- FFI bindings to libcairo - feature "use_glib"
- librust-cairo-sys-rs+v1-12-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs+v1-14-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs+x11-dev (0.7.0-2)
- FFI bindings to libcairo - feature "x11"
- librust-cairo-sys-rs+xcb-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs+xlib-dev (0.7.0-2)
- FFI bindings to libcairo - feature "xlib"
- librust-cairo-sys-rs-0+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+dox-dev
- librust-cairo-sys-rs-0+glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-dev
- librust-cairo-sys-rs-0+glib-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-sys-dev
- librust-cairo-sys-rs-0+gobject-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+gobject-sys-dev
- librust-cairo-sys-rs-0+png-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0+use-glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+use-glib-dev
- librust-cairo-sys-rs-0+v1-12-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0+v1-14-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0+x11-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+x11-dev
- librust-cairo-sys-rs-0+xcb-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0+xlib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+xlib-dev
- librust-cairo-sys-rs-0-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+dox-dev
- librust-cairo-sys-rs-0.7+glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-dev
- librust-cairo-sys-rs-0.7+glib-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-sys-dev
- librust-cairo-sys-rs-0.7+gobject-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+gobject-sys-dev
- librust-cairo-sys-rs-0.7+png-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+use-glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+use-glib-dev
- librust-cairo-sys-rs-0.7+v1-12-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+v1-14-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+x11-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+x11-dev
- librust-cairo-sys-rs-0.7+xcb-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7+xlib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+xlib-dev
- librust-cairo-sys-rs-0.7-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+dox-dev
- librust-cairo-sys-rs-0.7.0+glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-dev
- librust-cairo-sys-rs-0.7.0+glib-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+glib-sys-dev
- librust-cairo-sys-rs-0.7.0+gobject-sys-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+gobject-sys-dev
- librust-cairo-sys-rs-0.7.0+png-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+use-glib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+use-glib-dev
- librust-cairo-sys-rs-0.7.0+v1-12-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+v1-14-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+x11-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+x11-dev
- librust-cairo-sys-rs-0.7.0+xcb-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-0.7.0+xlib-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs+xlib-dev
- librust-cairo-sys-rs-0.7.0-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-cairo-sys-rs-dev
- librust-cairo-sys-rs-dev (0.7.0-2)
- FFI bindings to libcairo - Rust source code
- librust-cargo+default-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo+openssl-dev (0.32.0-2)
- Package manager for Rust - feature "openssl"
- librust-cargo+vendored-openssl-dev (0.32.0-2)
- Package manager for Rust - feature "vendored-openssl"
- librust-cargo-0+default-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-0+openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+openssl-dev
- librust-cargo-0+vendored-openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+vendored-openssl-dev
- librust-cargo-0-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-0.32+default-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-0.32+openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+openssl-dev
- librust-cargo-0.32+vendored-openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+vendored-openssl-dev
- librust-cargo-0.32-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-0.32.0+default-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-0.32.0+openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+openssl-dev
- librust-cargo-0.32.0+vendored-openssl-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo+vendored-openssl-dev
- librust-cargo-0.32.0-dev (= 0.32.0-2)
- virtueel pakket geboden door librust-cargo-dev
- librust-cargo-dev (0.32.0-2)
- Package manager for Rust - Rust source code
- librust-cargo-metadata+backtrace-dev (0.6.4-1)
- Structured access to the output of `cargo metadata` - feature "backtrace"
- librust-cargo-metadata+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0+backtrace-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata-dev
- librust-cargo-metadata-0.6+backtrace-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0.6+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0.6-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata-dev
- librust-cargo-metadata-0.6.4+backtrace-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0.6.4+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata+backtrace-dev
- librust-cargo-metadata-0.6.4-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-cargo-metadata-dev
- librust-cargo-metadata-dev (0.6.4-1)
- Structured access to the output of `cargo metadata` - Rust source code
- librust-cc+default-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc+parallel-dev (1.0.28-1)
- Assist Cargo build scripts in invoking the C compiler - feature "parallel"
- librust-cc+rayon-dev (1.0.28-1)
- Assist Cargo build scripts in invoking the C compiler - feature "rayon"
- librust-cc-1+default-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-1+parallel-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+parallel-dev
- librust-cc-1+rayon-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+rayon-dev
- librust-cc-1-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-1.0+default-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-1.0+parallel-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+parallel-dev
- librust-cc-1.0+rayon-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+rayon-dev
- librust-cc-1.0-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-1.0.28+default-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-1.0.28+parallel-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+parallel-dev
- librust-cc-1.0.28+rayon-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc+rayon-dev
- librust-cc-1.0.28-dev (= 1.0.28-1)
- virtueel pakket geboden door librust-cc-dev
- librust-cc-dev (1.0.28-1)
- Assist Cargo build scripts in invoking the C compiler - Rust source code
- librust-cexpr+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0.3.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-0.3.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-cexpr-dev
- librust-cexpr-dev (0.3.3-1)
- C expression parser and evaluator - Rust source code
- librust-cfg-if+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-cfg-if-dev
- librust-cfg-if-dev (0.1.6-1)
- Rust macro for defining #[cfg] if-else statements - Rust source code
- librust-chrono+clock-dev (0.4.6-1)
- Date and time library for Rust - feature "clock"
- librust-chrono+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono+rustc-serialize-dev (0.4.6-1)
- Date and time library for Rust - feature "rustc-serialize"
- librust-chrono+serde-dev (0.4.6-1)
- Date and time library for Rust - feature "serde"
- librust-chrono+time-dev (0.4.6-1)
- Date and time library for Rust - feature "time"
- librust-chrono-0+clock-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0+rustc-serialize-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+rustc-serialize-dev
- librust-chrono-0+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+serde-dev
- librust-chrono-0+time-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+time-dev
- librust-chrono-0-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono-dev
- librust-chrono-0.4+clock-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0.4+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0.4+rustc-serialize-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+rustc-serialize-dev
- librust-chrono-0.4+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+serde-dev
- librust-chrono-0.4+time-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+time-dev
- librust-chrono-0.4-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono-dev
- librust-chrono-0.4.6+clock-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0.4.6+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+clock-dev
- librust-chrono-0.4.6+rustc-serialize-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+rustc-serialize-dev
- librust-chrono-0.4.6+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+serde-dev
- librust-chrono-0.4.6+time-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono+time-dev
- librust-chrono-0.4.6-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-chrono-dev
- librust-chrono-dev (0.4.6-1)
- Date and time library for Rust - Rust source code
- librust-clang-sys+assert-minimum-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+clang-3-5-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+clang-3-7-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_3_7"
- librust-clang-sys+clang-3-8-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_3_8"
- librust-clang-sys+clang-3-9-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_3_9"
- librust-clang-sys+clang-4-0-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_4_0"
- librust-clang-sys+clang-5-0-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_5_0"
- librust-clang-sys+clang-6-0-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_6_0"
- librust-clang-sys+clang-7-0-dev (0.27.0-1)
- Rust bindings for libclang - feature "clang_7_0"
- librust-clang-sys+default-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+gte-clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys+libloading-dev (0.27.0-1)
- Rust bindings for libclang - feature "libloading"
- librust-clang-sys+runtime-dev (0.27.0-1)
- Rust bindings for libclang - feature "runtime"
- librust-clang-sys+static-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+assert-minimum-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+clang-3-5-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-7-dev
- librust-clang-sys-0+clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-8-dev
- librust-clang-sys-0+clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-9-dev
- librust-clang-sys-0+clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-4-0-dev
- librust-clang-sys-0+clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-5-0-dev
- librust-clang-sys-0+clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-6-0-dev
- librust-clang-sys-0+clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-7-0-dev
- librust-clang-sys-0+default-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+gte-clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0+libloading-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+libloading-dev
- librust-clang-sys-0+runtime-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+runtime-dev
- librust-clang-sys-0+static-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+assert-minimum-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+clang-3-5-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-7-dev
- librust-clang-sys-0.27+clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-8-dev
- librust-clang-sys-0.27+clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-9-dev
- librust-clang-sys-0.27+clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-4-0-dev
- librust-clang-sys-0.27+clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-5-0-dev
- librust-clang-sys-0.27+clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-6-0-dev
- librust-clang-sys-0.27+clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-7-0-dev
- librust-clang-sys-0.27+default-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+gte-clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27+libloading-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+libloading-dev
- librust-clang-sys-0.27+runtime-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+runtime-dev
- librust-clang-sys-0.27+static-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+assert-minimum-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+clang-3-5-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-7-dev
- librust-clang-sys-0.27.0+clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-8-dev
- librust-clang-sys-0.27.0+clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-3-9-dev
- librust-clang-sys-0.27.0+clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-4-0-dev
- librust-clang-sys-0.27.0+clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-5-0-dev
- librust-clang-sys-0.27.0+clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-6-0-dev
- librust-clang-sys-0.27.0+clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+clang-7-0-dev
- librust-clang-sys-0.27.0+default-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-3-6-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-3-7-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-3-8-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-3-9-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-4-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-5-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-6-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+gte-clang-7-0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0+libloading-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+libloading-dev
- librust-clang-sys-0.27.0+runtime-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys+runtime-dev
- librust-clang-sys-0.27.0+static-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-0.27.0-dev (= 0.27.0-1)
- virtueel pakket geboden door librust-clang-sys-dev
- librust-clang-sys-dev (0.27.0-1)
- Rust bindings for libclang - Rust source code
- librust-clap+ansi-term-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "ansi_term"
- librust-clap+atty-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "atty"
- librust-clap+color-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "color"
- librust-clap+debug-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap+default-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "default"
- librust-clap+doc-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap+nightly-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap+no-cargo-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap+strsim-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "strsim"
- librust-clap+suggestions-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "suggestions"
- librust-clap+term-size-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "term_size"
- librust-clap+unstable-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap+vec-map-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "vec_map"
- librust-clap+wrap-help-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "wrap_help"
- librust-clap+yaml-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "yaml"
- librust-clap+yaml-rust-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - feature "yaml-rust"
- librust-clap-2+ansi-term-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+ansi-term-dev
- librust-clap-2+atty-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+atty-dev
- librust-clap-2+color-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+color-dev
- librust-clap-2+debug-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2+default-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+default-dev
- librust-clap-2+doc-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2+nightly-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2+no-cargo-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2+strsim-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+strsim-dev
- librust-clap-2+suggestions-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+suggestions-dev
- librust-clap-2+term-size-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+term-size-dev
- librust-clap-2+unstable-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2+vec-map-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+vec-map-dev
- librust-clap-2+wrap-help-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+wrap-help-dev
- librust-clap-2+yaml-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2+yaml-rust-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-rust-dev
- librust-clap-2-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32+ansi-term-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+ansi-term-dev
- librust-clap-2.32+atty-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+atty-dev
- librust-clap-2.32+color-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+color-dev
- librust-clap-2.32+debug-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32+default-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+default-dev
- librust-clap-2.32+doc-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2.32+nightly-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32+no-cargo-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32+strsim-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+strsim-dev
- librust-clap-2.32+suggestions-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+suggestions-dev
- librust-clap-2.32+term-size-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+term-size-dev
- librust-clap-2.32+unstable-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32+vec-map-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+vec-map-dev
- librust-clap-2.32+wrap-help-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+wrap-help-dev
- librust-clap-2.32+yaml-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2.32+yaml-rust-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-rust-dev
- librust-clap-2.32-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32.0+ansi-term-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+ansi-term-dev
- librust-clap-2.32.0+atty-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+atty-dev
- librust-clap-2.32.0+color-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+color-dev
- librust-clap-2.32.0+debug-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32.0+default-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+default-dev
- librust-clap-2.32.0+doc-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2.32.0+nightly-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32.0+no-cargo-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32.0+strsim-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+strsim-dev
- librust-clap-2.32.0+suggestions-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+suggestions-dev
- librust-clap-2.32.0+term-size-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+term-size-dev
- librust-clap-2.32.0+unstable-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-2.32.0+vec-map-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+vec-map-dev
- librust-clap-2.32.0+wrap-help-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+wrap-help-dev
- librust-clap-2.32.0+yaml-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-dev
- librust-clap-2.32.0+yaml-rust-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap+yaml-rust-dev
- librust-clap-2.32.0-dev (= 2.32.0-4)
- virtueel pakket geboden door librust-clap-dev
- librust-clap-dev (2.32.0-4)
- Simple to use, efficient, and full featured Command Line Argument Parser - Rust source code
- librust-cloudabi+bitflags-dev (0.0.3-1)
- Low level interface to CloudABI - feature "bitflags"
- librust-cloudabi+default-dev (0.0.3-1)
- Low level interface to CloudABI - feature "default"
- librust-cloudabi-0+bitflags-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+bitflags-dev
- librust-cloudabi-0+default-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+default-dev
- librust-cloudabi-0-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi-dev
- librust-cloudabi-0.0+bitflags-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+bitflags-dev
- librust-cloudabi-0.0+default-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+default-dev
- librust-cloudabi-0.0-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi-dev
- librust-cloudabi-0.0.3+bitflags-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+bitflags-dev
- librust-cloudabi-0.0.3+default-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi+default-dev
- librust-cloudabi-0.0.3-dev (= 0.0.3-1)
- virtueel pakket geboden door librust-cloudabi-dev
- librust-cloudabi-dev (0.0.3-1)
- Low level interface to CloudABI - Rust source code
- librust-cmake+default-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0+default-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0.1+default-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0.1-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0.1.35+default-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-0.1.35-dev (= 0.1.35-1)
- virtueel pakket geboden door librust-cmake-dev
- librust-cmake-dev (0.1.35-1)
- Build dependency for running cmake to build a native library - Rust source code
- librust-colored+default-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored+no-color-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1+default-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1+no-color-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6+default-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6+no-color-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6.1+default-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6.1+no-color-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-1.6.1-dev (= 1.6.1-1)
- virtueel pakket geboden door librust-colored-dev
- librust-colored-dev (1.6.1-1)
- Most simple way to add colors in your terminal - Rust source code
- librust-colored-json+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2.0+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2.0-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2.0.0+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-2.0.0-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-colored-json-dev
- librust-colored-json-dev (2.0.0-1)
- Colorize JSON, for printing it out on the command line - Rust source code
- librust-commoncrypto+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0.2+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0.2-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0.2.0+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-0.2.0-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-dev
- librust-commoncrypto-dev (0.2.0-2)
- Idiomatic Rust wrappers for Mac OS X's CommonCrypto library - Rust source code
- librust-commoncrypto-sys+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0.2+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0.2-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0.2.0+default-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-0.2.0-dev (= 0.2.0-2)
- virtueel pakket geboden door librust-commoncrypto-sys-dev
- librust-commoncrypto-sys-dev (0.2.0-2)
- FFI bindings to Mac OS X's CommonCrypto library - Rust source code
- librust-constant-time-eq+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0.1+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0.1-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0.1.3+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-0.1.3-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-constant-time-eq-dev
- librust-constant-time-eq-dev (0.1.3-1)
- Compares two equal-sized byte strings in constant time - Rust source code
- librust-cookie-factory+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0.2+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0.2-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0.2.4+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-0.2.4-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-cookie-factory-dev
- librust-cookie-factory-dev (0.2.4-1)
- Nom inspired serialization library - Rust source code
- librust-core-foundation+chrono-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "chrono"
- librust-core-foundation+default-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation+mac-os-10-7-support-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "mac_os_10_7_support"
- librust-core-foundation+mac-os-10-8-features-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "mac_os_10_8_features"
- librust-core-foundation+uuid-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "uuid"
- librust-core-foundation+with-chrono-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "with-chrono"
- librust-core-foundation+with-uuid-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - feature "with-uuid"
- librust-core-foundation-0+chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+chrono-dev
- librust-core-foundation-0+default-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-0+mac-os-10-7-support-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-7-support-dev
- librust-core-foundation-0+mac-os-10-8-features-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-8-features-dev
- librust-core-foundation-0+uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+uuid-dev
- librust-core-foundation-0+with-chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-chrono-dev
- librust-core-foundation-0+with-uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-uuid-dev
- librust-core-foundation-0-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-0.6+chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+chrono-dev
- librust-core-foundation-0.6+default-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-0.6+mac-os-10-7-support-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-7-support-dev
- librust-core-foundation-0.6+mac-os-10-8-features-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-8-features-dev
- librust-core-foundation-0.6+uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+uuid-dev
- librust-core-foundation-0.6+with-chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-chrono-dev
- librust-core-foundation-0.6+with-uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-uuid-dev
- librust-core-foundation-0.6-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-0.6.3+chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+chrono-dev
- librust-core-foundation-0.6.3+default-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-0.6.3+mac-os-10-7-support-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-7-support-dev
- librust-core-foundation-0.6.3+mac-os-10-8-features-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+mac-os-10-8-features-dev
- librust-core-foundation-0.6.3+uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+uuid-dev
- librust-core-foundation-0.6.3+with-chrono-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-chrono-dev
- librust-core-foundation-0.6.3+with-uuid-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation+with-uuid-dev
- librust-core-foundation-0.6.3-dev (= 0.6.3-2)
- virtueel pakket geboden door librust-core-foundation-dev
- librust-core-foundation-dev (0.6.3-2)
- Bindings to Core Foundation for OS X - Rust source code
- librust-core-foundation-sys+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys+mac-os-10-7-support-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys+mac-os-10-8-features-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0+mac-os-10-7-support-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0+mac-os-10-8-features-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6+mac-os-10-7-support-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6+mac-os-10-8-features-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6.2+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6.2+mac-os-10-7-support-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6.2+mac-os-10-8-features-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-0.6.2-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-core-foundation-sys-dev
- librust-core-foundation-sys-dev (0.6.2-1)
- Bindings to Core Foundation for OS X - Rust source code
- librust-coresimd+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd+intel-sde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd+strict-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd+wasm-simd128-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0+intel-sde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0+strict-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0+wasm-simd128-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1+intel-sde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1+strict-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1+wasm-simd128-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1.2+intel-sde-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1.2+strict-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1.2+wasm-simd128-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-coresimd-dev
- librust-coresimd-dev (0.1.2-1)
- SIMD support in Rust's core library - Rust source code
- librust-cpp-demangle+alloc-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle+default-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle+logging-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle+nightly-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle+run-libiberty-tests-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle+std-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+alloc-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+default-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+logging-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+nightly-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+run-libiberty-tests-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0+std-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+alloc-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+default-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+logging-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+nightly-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+run-libiberty-tests-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2+std-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+alloc-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+default-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+logging-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+nightly-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+run-libiberty-tests-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12+std-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-0.2.12-dev (= 0.2.12-1)
- virtueel pakket geboden door librust-cpp-demangle-dev
- librust-cpp-demangle-dev (0.2.12-1)
- Demangling C++ symbols - Rust source code
- librust-crates-io+default-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0+default-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0.20+default-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0.20-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0.20.0+default-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-0.20.0-dev (= 0.20.0-1)
- virtueel pakket geboden door librust-crates-io-dev
- librust-crates-io-dev (0.20.0-1)
- Helpers for interacting with crates.io - Rust source code
- librust-crc+default-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc+std-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1+default-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1+std-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8+default-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8+std-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8.1+default-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8.1+std-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-1.8.1-dev (= 1.8.1-1)
- virtueel pakket geboden door librust-crc-dev
- librust-crc-dev (1.8.1-1)
- CRC(16, 32, 64) with support of various standards - Rust source code
- librust-crc32fast+default-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1+default-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1.1+default-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1.1-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1.1.2+default-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-1.1.2-dev (= 1.1.2-1)
- virtueel pakket geboden door librust-crc32fast-dev
- librust-crc32fast-dev (1.1.2-1)
- Fast, SIMD-accelerated CRC32 (IEEE) checksum computation - Rust source code
- librust-crossbeam+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam+default-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam+nightly-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam+nightly-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0+default-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0+nightly-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0+nightly-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0.3+default-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3+nightly-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0.3+nightly-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3-dev (0.3.2-1)
- Support for concurrent and parallel programming - Rust source code
- librust-crossbeam-0.3-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0.3.2+default-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3.2+nightly-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0.3.2+nightly-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-0.3.2-dev (= 0.3.2-2)
- virtueel pakket geboden door librust-crossbeam-dev
- librust-crossbeam-channel+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0.3+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0.3-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0.3.8+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-0.3.8-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-crossbeam-channel-dev
- librust-crossbeam-channel-dev (0.3.8-1)
- Multi-producer multi-consumer channels for message passing - Rust source code
- librust-crossbeam-deque+default-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0+default-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0.6+default-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0.6-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0.6.3+default-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-0.6.3-dev (= 0.6.3-1)
- virtueel pakket geboden door librust-crossbeam-deque-dev
- librust-crossbeam-deque-dev (0.6.3-1)
- Concurrent work-stealing deque - Rust source code
- librust-crossbeam-dev (0.3.2-2)
- Support for concurrent and parallel programming - Rust source code
- librust-crossbeam-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-crossbeam-0.3-dev
- librust-crossbeam-epoch+default-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch+lazy-static-dev (0.7.1-1)
- Epoch-based garbage collection - feature "lazy_static"
- librust-crossbeam-epoch+lazy-static-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+lazy-static-dev
- librust-crossbeam-epoch+nightly-dev (0.7.1-1)
- Epoch-based garbage collection - feature "nightly"
- librust-crossbeam-epoch+nightly-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+nightly-dev
- librust-crossbeam-epoch+sanitize-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch+sanitize-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch+std-dev (0.7.1-1)
- Epoch-based garbage collection - feature "std"
- librust-crossbeam-epoch+use-std-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0+default-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0+lazy-static-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+lazy-static-dev
- librust-crossbeam-epoch-0+lazy-static-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+lazy-static-dev
- librust-crossbeam-epoch-0+nightly-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+nightly-dev
- librust-crossbeam-epoch-0+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+nightly-dev
- librust-crossbeam-epoch-0+sanitize-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch-0+sanitize-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-0+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0+use-std-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch-0-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-0.5+default-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0.5+lazy-static-dev (0.5.2-2)
- Epoch-based garbage collection - feature "lazy_static"
- librust-crossbeam-epoch-0.5+nightly-dev (0.5.2-2)
- Epoch-based garbage collection - feature "nightly"
- librust-crossbeam-epoch-0.5+sanitize-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch-0.5+use-std-dev (0.5.2-2)
- Epoch-based garbage collection - feature "use_std"
- librust-crossbeam-epoch-0.5-dev (0.5.2-2)
- Epoch-based garbage collection - Rust source code
- librust-crossbeam-epoch-0.5.2+default-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0.5.2+lazy-static-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+lazy-static-dev
- librust-crossbeam-epoch-0.5.2+nightly-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+nightly-dev
- librust-crossbeam-epoch-0.5.2+sanitize-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch-0.5.2+use-std-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5+use-std-dev
- librust-crossbeam-epoch-0.5.2-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-epoch-0.7+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0.7+lazy-static-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+lazy-static-dev
- librust-crossbeam-epoch-0.7+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+nightly-dev
- librust-crossbeam-epoch-0.7+sanitize-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-0.7+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0.7-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-0.7.1+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0.7.1+lazy-static-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+lazy-static-dev
- librust-crossbeam-epoch-0.7.1+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+nightly-dev
- librust-crossbeam-epoch-0.7.1+sanitize-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-0.7.1+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch+std-dev
- librust-crossbeam-epoch-0.7.1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-crossbeam-epoch-dev
- librust-crossbeam-epoch-dev (0.7.1-1)
- Epoch-based garbage collection - Rust source code
- librust-crossbeam-epoch-dev (= 0.5.2-2)
- virtueel pakket geboden door librust-crossbeam-epoch-0.5-dev
- librust-crossbeam-utils+default-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils+lazy-static-dev (0.6.5-1)
- Utilities for concurrent programming - feature "lazy_static"
- librust-crossbeam-utils+nightly-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils+nightly-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils+std-dev (0.6.5-1)
- Utilities for concurrent programming - feature "std"
- librust-crossbeam-utils+use-std-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0+default-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0+lazy-static-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+lazy-static-dev
- librust-crossbeam-utils-0+nightly-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0+nightly-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-0+std-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0+use-std-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-0.5+default-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5+nightly-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5+use-std-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5-dev (0.5.0-3)
- Utilities for concurrent programming - Rust source code
- librust-crossbeam-utils-0.5.0+default-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5.0+nightly-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5.0+use-std-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.5.0-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crossbeam-utils-0.6+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0.6+lazy-static-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+lazy-static-dev
- librust-crossbeam-utils-0.6+nightly-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-0.6+std-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0.6-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-0.6.5+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0.6.5+lazy-static-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+lazy-static-dev
- librust-crossbeam-utils-0.6.5+nightly-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-0.6.5+std-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils+std-dev
- librust-crossbeam-utils-0.6.5-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-crossbeam-utils-dev
- librust-crossbeam-utils-dev (0.6.5-1)
- Utilities for concurrent programming - Rust source code
- librust-crossbeam-utils-dev (= 0.5.0-3)
- virtueel pakket geboden door librust-crossbeam-utils-0.5-dev
- librust-crypto-hash+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-crypto-hash-dev
- librust-crypto-hash-dev (0.3.1-1)
- Wrapper for OS-level cryptographic hash functions - Rust source code
- librust-cssparser-macros+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0.3+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0.3-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0.3.5+default-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-0.3.5-dev (= 0.3.5-1)
- virtueel pakket geboden door librust-cssparser-macros-dev
- librust-cssparser-macros-dev (0.3.5-1)
- Procedural macros for cssparser - Rust source code
- librust-csv+default-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1+default-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1.0+default-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1.0-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1.0.5+default-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-1.0.5-dev (= 1.0.5-1)
- virtueel pakket geboden door librust-csv-dev
- librust-csv-core+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core+libc-dev (0.1.5-1)
- Bare bones CSV parsing with no_std support - feature "libc"
- librust-csv-core-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0+libc-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core-dev
- librust-csv-core-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0.1+libc-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core-dev
- librust-csv-core-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0.1.5+libc-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core+libc-dev
- librust-csv-core-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-csv-core-dev
- librust-csv-core-dev (0.1.5-1)
- Bare bones CSV parsing with no_std support - Rust source code
- librust-csv-dev (1.0.5-1)
- Fast CSV parsing with support for serde - Rust source code
- librust-ctrlc+default-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc+termination-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3+default-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3+termination-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1+default-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1+termination-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1.1+default-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1.1+termination-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-3.1.1-dev (= 3.1.1-2)
- virtueel pakket geboden door librust-ctrlc-dev
- librust-ctrlc-dev (3.1.1-2)
- Easy Ctrl-C handler for Rust projects - Rust source code
- librust-curl+default-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl+http2-dev (0.4.17-1)
- Rust bindings to libcurl for making HTTP requests - feature "http2"
- librust-curl-0+default-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-0+http2-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl+http2-dev
- librust-curl-0-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-0.4+default-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-0.4+http2-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl+http2-dev
- librust-curl-0.4-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-0.4.17+default-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-0.4.17+http2-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl+http2-dev
- librust-curl-0.4.17-dev (= 0.4.17-1)
- virtueel pakket geboden door librust-curl-dev
- librust-curl-dev (0.4.17-1)
- Rust bindings to libcurl for making HTTP requests - Rust source code
- librust-curl-sys+default-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys+http2-dev (0.4.12-1)
- Native bindings to the libcurl library - feature "http2"
- librust-curl-sys+libnghttp2-sys-dev (0.4.12-1)
- Native bindings to the libcurl library - feature "libnghttp2-sys"
- librust-curl-sys-0+default-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-0+http2-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+http2-dev
- librust-curl-sys-0+libnghttp2-sys-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+libnghttp2-sys-dev
- librust-curl-sys-0-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-0.4+default-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-0.4+http2-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+http2-dev
- librust-curl-sys-0.4+libnghttp2-sys-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+libnghttp2-sys-dev
- librust-curl-sys-0.4-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-0.4.12+default-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-0.4.12+http2-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+http2-dev
- librust-curl-sys-0.4.12+libnghttp2-sys-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys+libnghttp2-sys-dev
- librust-curl-sys-0.4.12-dev (= 0.4.12-1)
- virtueel pakket geboden door librust-curl-sys-dev
- librust-curl-sys-dev (0.4.12-1)
- Native bindings to the libcurl library - Rust source code
- librust-data-url+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-data-url-dev
- librust-data-url-dev (0.1.0-1)
- Processing of data: URL according to WHATWG’s Fetch Standard - Rust source code
- librust-datetime+default-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0+default-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0.4+default-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0.4-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0.4.7+default-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-0.4.7-dev (= 0.4.7-2)
- virtueel pakket geboden door librust-datetime-dev
- librust-datetime-dev (0.4.7-2)
- Date and time formatting and arithmetic - Rust source code
- librust-dbus+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus+no-string-validation-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0+no-string-validation-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6+no-string-validation-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6.4+default-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6.4+no-string-validation-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-0.6.4-dev (= 0.6.4-1)
- virtueel pakket geboden door librust-dbus-dev
- librust-dbus-dev (0.6.4-1)
- Bindings to D-Bus, a bus commonly used on Linux for IPC - Rust source code
- librust-debcargo+default-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2+default-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2.2+default-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2.2-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2.2.10+default-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-2.2.10-dev (= 2.2.10-1)
- virtueel pakket geboden door librust-debcargo-dev
- librust-debcargo-dev (2.2.10-1)
- Create a Debian package from a Cargo crate - Rust source code
- librust-der-parser+bigint-dev (1.1.1-1)
- Parser for BER/DER encoding data - feature "bigint"
- librust-der-parser+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser+num-bigint-dev (1.1.1-1)
- Parser for BER/DER encoding data - feature "num-bigint"
- librust-der-parser-1+bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+bigint-dev
- librust-der-parser-1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-1+num-bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+num-bigint-dev
- librust-der-parser-1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-1.1+bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+bigint-dev
- librust-der-parser-1.1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-1.1+num-bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+num-bigint-dev
- librust-der-parser-1.1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-1.1.1+bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+bigint-dev
- librust-der-parser-1.1.1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-1.1.1+num-bigint-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser+num-bigint-dev
- librust-der-parser-1.1.1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-der-parser-dev
- librust-der-parser-dev (1.1.1-1)
- Parser for BER/DER encoding data - Rust source code
- librust-derive-new+default-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0+default-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0.5+default-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0.5-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0.5.6+default-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-0.5.6-dev (= 0.5.6-1)
- virtueel pakket geboden door librust-derive-new-dev
- librust-derive-new-dev (0.5.6-1)
- Derive simple constructor functions for structs and enums - Rust source code
- librust-dhcp4r+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-dhcp4r-dev
- librust-dhcp4r-dev (0.1.0-1)
- IPv4 DHCP library with working server example - Rust source code
- librust-diff+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0.1+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0.1-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0.1.11+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-0.1.11-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-diff-dev
- librust-diff-dev (0.1.11-1)
- LCS based slice and string diffing implementation - Rust source code
- librust-difference+bin-dev (2.0.0-1)
- text diffing and assertion library - feature "bin"
- librust-difference+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference+getopts-dev (2.0.0-1)
- text diffing and assertion library - feature "getopts"
- librust-difference-2+bin-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2+getopts-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+getopts-dev
- librust-difference-2-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference-dev
- librust-difference-2.0+bin-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2.0+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2.0+getopts-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+getopts-dev
- librust-difference-2.0-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference-dev
- librust-difference-2.0.0+bin-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2.0.0+default-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+bin-dev
- librust-difference-2.0.0+getopts-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference+getopts-dev
- librust-difference-2.0.0-dev (= 2.0.0-1)
- virtueel pakket geboden door librust-difference-dev
- librust-difference-dev (2.0.0-1)
- text diffing and assertion library - Rust source code
- librust-digest+blobby-dev (0.8.0-1)
- Traits for cryptographic hash functions - feature "blobby"
- librust-digest+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest+dev-dev (0.8.0-1)
- Traits for cryptographic hash functions - feature "dev"
- librust-digest+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0+blobby-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+blobby-dev
- librust-digest-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0+dev-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+dev-dev
- librust-digest-0+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8+blobby-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+blobby-dev
- librust-digest-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8+dev-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+dev-dev
- librust-digest-0.8+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8.0+blobby-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+blobby-dev
- librust-digest-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8.0+dev-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest+dev-dev
- librust-digest-0.8.0+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-digest-dev
- librust-digest-dev (0.8.0-1)
- Traits for cryptographic hash functions - Rust source code
- librust-dirs+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1.0+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1.0-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1.0.3+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-1.0.3-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-dirs-dev
- librust-dirs-dev (1.0.3-1)
- low-level library for standard locations of directories - Rust source code
- librust-discard+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1.0+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1.0-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1.0.4+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-1.0.4-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-discard-dev
- librust-discard-dev (1.0.4-1)
- Discard trait which allows for intentionally leaking memory - Rust source code
- librust-dns-parser+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser+serde-derive-dev (0.8.0-1)
- Pure-rust DNS protocol parser library - feature "serde_derive"
- librust-dns-parser+serde-dev (0.8.0-1)
- Pure-rust DNS protocol parser library - feature "serde"
- librust-dns-parser+with-serde-dev (0.8.0-1)
- Pure-rust DNS protocol parser library - feature "with-serde"
- librust-dns-parser-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-0+serde-derive-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-derive-dev
- librust-dns-parser-0+serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-dev
- librust-dns-parser-0+with-serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+with-serde-dev
- librust-dns-parser-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-0.8+serde-derive-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-derive-dev
- librust-dns-parser-0.8+serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-dev
- librust-dns-parser-0.8+with-serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+with-serde-dev
- librust-dns-parser-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-0.8.0+serde-derive-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-derive-dev
- librust-dns-parser-0.8.0+serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+serde-dev
- librust-dns-parser-0.8.0+with-serde-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser+with-serde-dev
- librust-dns-parser-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-dns-parser-dev
- librust-dns-parser-dev (0.8.0-1)
- Pure-rust DNS protocol parser library - Rust source code
- librust-docopt+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1.0+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1.0-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1.0.2+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-1.0.2-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-docopt-dev
- librust-docopt-dev (1.0.2-1)
- Command line argument parsing - Rust source code
- librust-dogged+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-dogged-dev
- librust-dogged-dev (0.2.0-1)
- Persistent vector, similar to Clojure - Rust source code
- librust-dtoa+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0.4+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0.4-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0.4.3+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-0.4.3-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-dtoa-dev
- librust-dtoa-dev (0.4.3-1)
- Fast functions for printing floating-point primitives - Rust source code
- librust-dtoa-short+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0.3-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-dtoa-short-dev
- librust-dtoa-short-dev (0.3.2-1)
- Serialize float number and truncate to certain precision - Rust source code
- librust-either+default-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either+serde-dev (1.5.0-1)
- Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - feature "serde"
- librust-either+use-std-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1+default-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1+serde-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either+serde-dev
- librust-either-1+use-std-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5+default-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5+serde-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either+serde-dev
- librust-either-1.5+use-std-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5.0+default-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5.0+serde-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either+serde-dev
- librust-either-1.5.0+use-std-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-1.5.0-dev (= 1.5.0-1)
- virtueel pakket geboden door librust-either-dev
- librust-either-dev (1.5.0-1)
- Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - Rust source code
- librust-ena+bench-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena+congruence-closure-dev (0.11.0-1)
- Rust implementation of union-find - feature "congruence-closure"
- librust-ena+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena+dogged-dev (0.11.0-1)
- Rust implementation of union-find - feature "dogged"
- librust-ena+persistent-dev (0.11.0-1)
- Rust implementation of union-find - feature "persistent"
- librust-ena+petgraph-dev (0.11.0-1)
- Rust implementation of union-find - feature "petgraph"
- librust-ena-0+bench-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0+congruence-closure-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+congruence-closure-dev
- librust-ena-0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0+dogged-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+dogged-dev
- librust-ena-0+persistent-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+persistent-dev
- librust-ena-0+petgraph-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+petgraph-dev
- librust-ena-0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11+bench-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11+congruence-closure-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+congruence-closure-dev
- librust-ena-0.11+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11+dogged-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+dogged-dev
- librust-ena-0.11+persistent-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+persistent-dev
- librust-ena-0.11+petgraph-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+petgraph-dev
- librust-ena-0.11-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11.0+bench-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11.0+congruence-closure-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+congruence-closure-dev
- librust-ena-0.11.0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-0.11.0+dogged-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+dogged-dev
- librust-ena-0.11.0+persistent-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+persistent-dev
- librust-ena-0.11.0+petgraph-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena+petgraph-dev
- librust-ena-0.11.0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-ena-dev
- librust-ena-dev (0.11.0-1)
- Rust implementation of union-find - Rust source code
- librust-encoding+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-encoding-dev
- librust-encoding-dev (0.2.33-1)
- Character encoding support for Rust - Rust source code
- librust-encoding-index-japanese+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1.20141219+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1.20141219-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1.20141219.5+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-1.20141219.5-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-japanese-dev
- librust-encoding-index-japanese-dev (1.20141219.5-1)
- Index tables for Japanese character encodings - Rust source code
- librust-encoding-index-korean+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1.20141219+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1.20141219-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1.20141219.5+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-1.20141219.5-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-korean-dev
- librust-encoding-index-korean-dev (1.20141219.5-1)
- Index tables for Korean character encodings - Rust source code
- librust-encoding-index-simpchinese+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1.20141219+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1.20141219-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1.20141219.5+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-1.20141219.5-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-simpchinese-dev
- librust-encoding-index-simpchinese-dev (1.20141219.5-1)
- Index tables for simplified Chinese character encodings - Rust source code
- librust-encoding-index-singlebyte+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1.20141219+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1.20141219-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1.20141219.5+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-1.20141219.5-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-singlebyte-dev
- librust-encoding-index-singlebyte-dev (1.20141219.5-1)
- Index tables for various single-byte character encodings - Rust source code
- librust-encoding-index-tests+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-index-tests-dev
- librust-encoding-index-tests-dev (0.1.4-1)
- Helper macros to test index tables for character encodings - Rust source code
- librust-encoding-index-tradchinese+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1.20141219+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1.20141219-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1.20141219.5+default-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-1.20141219.5-dev (= 1.20141219.5-1)
- virtueel pakket geboden door librust-encoding-index-tradchinese-dev
- librust-encoding-index-tradchinese-dev (1.20141219.5-1)
- Index tables for traditional Chinese character encodings - Rust source code
- librust-encoding-rs+default-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-hangul-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-hanja-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+fast-legacy-encode-dev (0.8.15-1)
- Gecko-oriented Encoding Standard implementation - feature "fast-legacy-encode"
- librust-encoding-rs+less-slow-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+less-slow-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+less-slow-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs+serde-dev (0.8.15-1)
- Gecko-oriented Encoding Standard implementation - feature "serde"
- librust-encoding-rs+simd-accel-dev (0.8.15-1)
- Gecko-oriented Encoding Standard implementation - feature "simd-accel"
- librust-encoding-rs+simd-dev (0.8.15-1)
- Gecko-oriented Encoding Standard implementation - feature "simd"
- librust-encoding-rs-0+default-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-hangul-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-hanja-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+fast-legacy-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+fast-legacy-encode-dev
- librust-encoding-rs-0+less-slow-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+less-slow-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+less-slow-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0+serde-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+serde-dev
- librust-encoding-rs-0+simd-accel-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-accel-dev
- librust-encoding-rs-0+simd-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-dev
- librust-encoding-rs-0-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+default-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-hangul-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-hanja-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+fast-legacy-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+fast-legacy-encode-dev
- librust-encoding-rs-0.8+less-slow-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+less-slow-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+less-slow-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8+serde-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+serde-dev
- librust-encoding-rs-0.8+simd-accel-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-accel-dev
- librust-encoding-rs-0.8+simd-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-dev
- librust-encoding-rs-0.8-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+default-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-hangul-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-hanja-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+fast-legacy-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+fast-legacy-encode-dev
- librust-encoding-rs-0.8.15+less-slow-big5-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+less-slow-gb-hanzi-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+less-slow-kanji-encode-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-0.8.15+serde-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+serde-dev
- librust-encoding-rs-0.8.15+simd-accel-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-accel-dev
- librust-encoding-rs-0.8.15+simd-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs+simd-dev
- librust-encoding-rs-0.8.15-dev (= 0.8.15-1)
- virtueel pakket geboden door librust-encoding-rs-dev
- librust-encoding-rs-dev (0.8.15-1)
- Gecko-oriented Encoding Standard implementation - Rust source code
- librust-encoding-rs-io+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-encoding-rs-io-dev
- librust-encoding-rs-io-dev (0.1.4-1)
- Streaming transcoding for encoding_rs - Rust source code
- librust-enum-primitive+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-enum-primitive-dev
- librust-enum-primitive-dev (0.1.1-1)
- Macro to generate num::FromPrimitive instances for enum - Rust source code
- librust-env-logger+atty-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - feature "atty"
- librust-env-logger+default-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - feature "default"
- librust-env-logger+humantime-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - feature "humantime"
- librust-env-logger+regex-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - feature "regex"
- librust-env-logger+termcolor-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - feature "termcolor"
- librust-env-logger-0+atty-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+atty-dev
- librust-env-logger-0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+default-dev
- librust-env-logger-0+humantime-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+humantime-dev
- librust-env-logger-0+regex-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+regex-dev
- librust-env-logger-0+termcolor-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+termcolor-dev
- librust-env-logger-0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger-dev
- librust-env-logger-0.6+atty-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+atty-dev
- librust-env-logger-0.6+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+default-dev
- librust-env-logger-0.6+humantime-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+humantime-dev
- librust-env-logger-0.6+regex-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+regex-dev
- librust-env-logger-0.6+termcolor-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+termcolor-dev
- librust-env-logger-0.6-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger-dev
- librust-env-logger-0.6.0+atty-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+atty-dev
- librust-env-logger-0.6.0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+default-dev
- librust-env-logger-0.6.0+humantime-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+humantime-dev
- librust-env-logger-0.6.0+regex-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+regex-dev
- librust-env-logger-0.6.0+termcolor-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger+termcolor-dev
- librust-env-logger-0.6.0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-env-logger-dev
- librust-env-logger-dev (0.6.0-1)
- Logging implementation for `log` which is configured via an environment variable - Rust source code
- librust-env-proxy+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-env-proxy-dev
- librust-env-proxy-dev (0.2.0-1)
- determine proxy parameters for a URL from the environment - Rust source code
- librust-environment+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-environment-dev
- librust-environment-dev (0.1.1-1)
- handle environment variable context - Rust source code
- librust-erased-serde+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde+unstable-debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0+unstable-debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3+unstable-debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3.6+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3.6+unstable-debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-0.3.6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-erased-serde-dev
- librust-erased-serde-dev (0.3.6-1)
- Type-erased Serialize and Serializer traits - Rust source code
- librust-error-chain+backtrace-dev (0.12.0-1)
- Yet another error boilerplate library - feature "backtrace"
- librust-error-chain+default-dev (0.12.0-1)
- Yet another error boilerplate library - feature "default"
- librust-error-chain+example-generated-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0+backtrace-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+backtrace-dev
- librust-error-chain-0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+default-dev
- librust-error-chain-0+example-generated-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0.12+backtrace-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+backtrace-dev
- librust-error-chain-0.12+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+default-dev
- librust-error-chain-0.12+example-generated-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0.12-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0.12.0+backtrace-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+backtrace-dev
- librust-error-chain-0.12.0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain+default-dev
- librust-error-chain-0.12.0+example-generated-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-0.12.0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-error-chain-dev
- librust-error-chain-dev (0.12.0-1)
- Yet another error boilerplate library - Rust source code
- librust-euclid+default-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid+mint-dev (0.19.5-1)
- Geometry primitives - feature "mint"
- librust-euclid+serde-dev (0.19.5-1)
- Geometry primitives - feature "serde"
- librust-euclid+unstable-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0+default-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0+mint-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+mint-dev
- librust-euclid-0+serde-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+serde-dev
- librust-euclid-0+unstable-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19+default-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19+mint-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+mint-dev
- librust-euclid-0.19+serde-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+serde-dev
- librust-euclid-0.19+unstable-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19.5+default-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19.5+mint-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+mint-dev
- librust-euclid-0.19.5+serde-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid+serde-dev
- librust-euclid-0.19.5+unstable-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-0.19.5-dev (= 0.19.5-1)
- virtueel pakket geboden door librust-euclid-dev
- librust-euclid-dev (0.19.5-1)
- Geometry primitives - Rust source code
- librust-euclid-macros+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-euclid-macros-dev
- librust-euclid-macros-dev (0.1.0-1)
- Euclid implementation detail - Rust source code
- librust-exa+default-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa+git-dev (0.8.0-2)
- Modern replacement for ls - feature "git"
- librust-exa+git2-dev (0.8.0-2)
- Modern replacement for ls - feature "git2"
- librust-exa-0+default-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0+git-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0+git2-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git2-dev
- librust-exa-0-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa-dev
- librust-exa-0.8+default-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0.8+git-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0.8+git2-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git2-dev
- librust-exa-0.8-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa-dev
- librust-exa-0.8.0+default-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0.8.0+git-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git-dev
- librust-exa-0.8.0+git2-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa+git2-dev
- librust-exa-0.8.0-dev (= 0.8.0-2)
- virtueel pakket geboden door librust-exa-dev
- librust-exa-dev (0.8.0-2)
- Modern replacement for ls - Rust source code
- librust-extprim+default-dev (1.6.0-1)
- Extra primitive types (u128, i128) - feature "default"
- librust-extprim+rand-dev (1.6.0-1)
- Extra primitive types (u128, i128) - feature "rand"
- librust-extprim+serde-dev (1.6.0-1)
- Extra primitive types (u128, i128) - feature "serde"
- librust-extprim+use-std-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1+default-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+default-dev
- librust-extprim-1+rand-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+rand-dev
- librust-extprim-1+serde-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+serde-dev
- librust-extprim-1+use-std-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1.6+default-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+default-dev
- librust-extprim-1.6+rand-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+rand-dev
- librust-extprim-1.6+serde-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+serde-dev
- librust-extprim-1.6+use-std-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1.6-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1.6.0+default-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+default-dev
- librust-extprim-1.6.0+rand-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+rand-dev
- librust-extprim-1.6.0+serde-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim+serde-dev
- librust-extprim-1.6.0+use-std-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-1.6.0-dev (= 1.6.0-1)
- virtueel pakket geboden door librust-extprim-dev
- librust-extprim-dev (1.6.0-1)
- Extra primitive types (u128, i128) - Rust source code
- librust-failure+backtrace-dev (0.1.5-1)
- Experimental error handling abstraction - feature "backtrace"
- librust-failure+default-dev (0.1.5-1)
- Experimental error handling abstraction - feature "default"
- librust-failure+derive-dev (0.1.5-1)
- Experimental error handling abstraction - feature "derive"
- librust-failure+failure-derive-dev (0.1.5-1)
- Experimental error handling abstraction - feature "failure_derive"
- librust-failure+std-dev (0.1.5-1)
- Experimental error handling abstraction - feature "std"
- librust-failure-0+backtrace-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+backtrace-dev
- librust-failure-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+default-dev
- librust-failure-0+derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+derive-dev
- librust-failure-0+failure-derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+failure-derive-dev
- librust-failure-0+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+std-dev
- librust-failure-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-dev
- librust-failure-0.1+backtrace-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+backtrace-dev
- librust-failure-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+default-dev
- librust-failure-0.1+derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+derive-dev
- librust-failure-0.1+failure-derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+failure-derive-dev
- librust-failure-0.1+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+std-dev
- librust-failure-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-dev
- librust-failure-0.1.5+backtrace-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+backtrace-dev
- librust-failure-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+default-dev
- librust-failure-0.1.5+derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+derive-dev
- librust-failure-0.1.5+failure-derive-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+failure-derive-dev
- librust-failure-0.1.5+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure+std-dev
- librust-failure-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-dev
- librust-failure-derive+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1.5+std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-failure-derive-dev
- librust-failure-derive-dev (0.1.5-1)
- Derives for the failure crate - Rust source code
- librust-failure-dev (0.1.5-1)
- Experimental error handling abstraction - Rust source code
- librust-fake-simd+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-fake-simd-dev
- librust-fake-simd-dev (0.1.2-1)
- Mimicking simd crate on stable Rust - Rust source code
- librust-fallible-iterator+alloc-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0+alloc-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1+alloc-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1.6+alloc-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1.6+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-fallible-iterator-dev
- librust-fallible-iterator-dev (0.1.6-1)
- Fallible iterator traits - Rust source code
- librust-filetime+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0.2+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0.2-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0.2.4+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-0.2.4-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-filetime-dev
- librust-filetime-dev (0.2.4-1)
- Platform-agnostic accessors of timestamps in File metadata - Rust source code
- librust-findshlibs+default-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs+nightly-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0+default-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0+nightly-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4+default-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4+nightly-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4.0+default-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4.0+nightly-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-0.4.0-dev (= 0.4.0-2)
- virtueel pakket geboden door librust-findshlibs-dev
- librust-findshlibs-dev (0.4.0-2)
- Find the set of shared libraries loaded in the current process with a cross platform API - Rust source code
- librust-fixedbitset+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0.1+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0.1-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0.1.9+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-0.1.9-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-fixedbitset-dev
- librust-fixedbitset-dev (0.1.9-1)
- Simple bitset collection - Rust source code
- librust-flate2+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2+futures-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - feature "futures"
- librust-flate2+libz-sys-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - feature "libz-sys"
- librust-flate2+miniz-oxide-c-api-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2+miniz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2+rust-backend-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2+tokio-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - feature "tokio"
- librust-flate2+tokio-io-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - feature "tokio-io"
- librust-flate2+zlib-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - feature "zlib"
- librust-flate2-1+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1+futures-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+futures-dev
- librust-flate2-1+libz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+libz-sys-dev
- librust-flate2-1+miniz-oxide-c-api-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1+miniz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1+rust-backend-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1+tokio-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-dev
- librust-flate2-1+tokio-io-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-io-dev
- librust-flate2-1+zlib-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2-dev
- librust-flate2-1.0+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0+futures-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+futures-dev
- librust-flate2-1.0+libz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+libz-sys-dev
- librust-flate2-1.0+miniz-oxide-c-api-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0+miniz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0+rust-backend-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0+tokio-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-dev
- librust-flate2-1.0+tokio-io-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-io-dev
- librust-flate2-1.0+zlib-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2-dev
- librust-flate2-1.0.6+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0.6+futures-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+futures-dev
- librust-flate2-1.0.6+libz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+libz-sys-dev
- librust-flate2-1.0.6+miniz-oxide-c-api-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0.6+miniz-sys-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0.6+rust-backend-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0.6+tokio-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-dev
- librust-flate2-1.0.6+tokio-io-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+tokio-io-dev
- librust-flate2-1.0.6+zlib-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2+zlib-dev
- librust-flate2-1.0.6-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-flate2-dev
- librust-flate2-dev (1.0.6-1)
- FLATE, Gzip, and Zlib bindings for Rust - Rust source code
- librust-float-cmp+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-float-cmp-dev
- librust-float-cmp-dev (0.4.0-1)
- Floating point approximate comparison traits - Rust source code
- librust-fnv+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1.0+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1.0-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1.0.6+default-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-1.0.6-dev (= 1.0.6-1)
- virtueel pakket geboden door librust-fnv-dev
- librust-fnv-dev (1.0.6-1)
- Fowler–Noll–Vo hash function - Rust source code
- librust-foreign-types+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0.3-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-foreign-types-dev
- librust-foreign-types-dev (0.3.2-1)
- Framework for Rust wrappers over C APIs - Rust source code
- librust-foreign-types-shared+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-foreign-types-shared-dev
- librust-foreign-types-shared-dev (0.1.1-1)
- Internal crate used by foreign-types - Rust source code
- librust-fragile+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-fragile-dev
- librust-fragile-dev (0.3.0-1)
- Wrapper types that permit sending non Send types - Rust source code
- librust-fs2+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0.4+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0.4-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0.4.3+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-0.4.3-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-fs2-dev
- librust-fs2-dev (0.4.3-1)
- Cross-platform file locks and file duplication - Rust source code
- librust-fuchsia-zircon+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0.3+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0.3-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0.3.3+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-0.3.3-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-dev
- librust-fuchsia-zircon-dev (0.3.3-2)
- Rust bindings for the Zircon kernel - Rust source code
- librust-fuchsia-zircon-sys+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0.3+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0.3-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0.3.3+default-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-0.3.3-dev (= 0.3.3-2)
- virtueel pakket geboden door librust-fuchsia-zircon-sys-dev
- librust-fuchsia-zircon-sys-dev (0.3.3-2)
- Low-level Rust bindings for the Zircon kernel - Rust source code
- librust-futf+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-futf-dev
- librust-futf-dev (0.1.4-1)
- Handling fragments of UTF-8 - Rust source code
- librust-futures+default-dev (0.1.25-1)
- Futures and streams - feature "default"
- librust-futures+nightly-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures+use-std-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures+with-deprecated-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0+default-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures+default-dev
- librust-futures-0+nightly-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0+use-std-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0+with-deprecated-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1+default-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures+default-dev
- librust-futures-0.1+nightly-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1+use-std-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1+with-deprecated-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1.25+default-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures+default-dev
- librust-futures-0.1.25+nightly-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1.25+use-std-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1.25+with-deprecated-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-0.1.25-dev (= 0.1.25-1)
- virtueel pakket geboden door librust-futures-dev
- librust-futures-core+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core+either-dev (0.2.1-1)
- Core traits and types in for the `futures` library - feature "either"
- librust-futures-core+nightly-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core+std-dev (0.2.1-1)
- Core traits and types in for the `futures` library - feature "std"
- librust-futures-core-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0+either-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+either-dev
- librust-futures-core-0+nightly-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-0+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0.2+either-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+either-dev
- librust-futures-core-0.2+nightly-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-0.2+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0.2.1+either-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+either-dev
- librust-futures-core-0.2.1+nightly-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-0.2.1+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core+std-dev
- librust-futures-core-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-futures-core-dev
- librust-futures-core-dev (0.2.1-1)
- Core traits and types in for the `futures` library - Rust source code
- librust-futures-cpupool+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool+with-deprecated-dev (0.1.8-1)
- Thread pools implementation which hand out futures - feature "with-deprecated"
- librust-futures-cpupool-0+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0+with-deprecated-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool-dev
- librust-futures-cpupool-0.1+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0.1+with-deprecated-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0.1-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool-dev
- librust-futures-cpupool-0.1.8+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0.1.8+with-deprecated-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool+with-deprecated-dev
- librust-futures-cpupool-0.1.8-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-futures-cpupool-dev
- librust-futures-cpupool-dev (0.1.8-1)
- Thread pools implementation which hand out futures - Rust source code
- librust-futures-dev (0.1.25-1)
- Futures and streams - Rust source code
- librust-fwdansi+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1.0+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1.0-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1.0.1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-1.0.1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-fwdansi-dev
- librust-fwdansi-dev (1.0.1-1)
- Forwards a byte string with ANSI escape code to a termcolor terminal - Rust source code
- librust-fxhash+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-fxhash-dev
- librust-fxhash-dev (0.2.1-1)
- Fast, non-secure, hashing algorithm - Rust source code
- librust-gdk+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk+dox-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "dox"
- librust-gdk+embed-lgpl-docs-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "embed-lgpl-docs"
- librust-gdk+gtk-rs-lgpl-docs-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "gtk-rs-lgpl-docs"
- librust-gdk+purge-lgpl-docs-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "purge-lgpl-docs"
- librust-gdk+v3-10-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_10"
- librust-gdk+v3-12-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_12"
- librust-gdk+v3-14-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_14"
- librust-gdk+v3-16-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_16"
- librust-gdk+v3-18-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_18"
- librust-gdk+v3-20-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_20"
- librust-gdk+v3-22-30-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_22_30"
- librust-gdk+v3-22-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_22"
- librust-gdk+v3-6-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_6"
- librust-gdk+v3-8-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - feature "v3_8"
- librust-gdk-0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-0+dox-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+dox-dev
- librust-gdk-0+embed-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+embed-lgpl-docs-dev
- librust-gdk-0+gtk-rs-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+gtk-rs-lgpl-docs-dev
- librust-gdk-0+purge-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+purge-lgpl-docs-dev
- librust-gdk-0+v3-10-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-10-dev
- librust-gdk-0+v3-12-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-12-dev
- librust-gdk-0+v3-14-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-14-dev
- librust-gdk-0+v3-16-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-16-dev
- librust-gdk-0+v3-18-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-18-dev
- librust-gdk-0+v3-20-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-20-dev
- librust-gdk-0+v3-22-30-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-30-dev
- librust-gdk-0+v3-22-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-dev
- librust-gdk-0+v3-6-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-6-dev
- librust-gdk-0+v3-8-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-8-dev
- librust-gdk-0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-0.9+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-0.9+dox-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+dox-dev
- librust-gdk-0.9+embed-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+embed-lgpl-docs-dev
- librust-gdk-0.9+gtk-rs-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+gtk-rs-lgpl-docs-dev
- librust-gdk-0.9+purge-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+purge-lgpl-docs-dev
- librust-gdk-0.9+v3-10-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-10-dev
- librust-gdk-0.9+v3-12-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-12-dev
- librust-gdk-0.9+v3-14-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-14-dev
- librust-gdk-0.9+v3-16-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-16-dev
- librust-gdk-0.9+v3-18-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-18-dev
- librust-gdk-0.9+v3-20-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-20-dev
- librust-gdk-0.9+v3-22-30-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-30-dev
- librust-gdk-0.9+v3-22-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-dev
- librust-gdk-0.9+v3-6-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-6-dev
- librust-gdk-0.9+v3-8-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-8-dev
- librust-gdk-0.9-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-0.9.0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-0.9.0+dox-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+dox-dev
- librust-gdk-0.9.0+embed-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+embed-lgpl-docs-dev
- librust-gdk-0.9.0+gtk-rs-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+gtk-rs-lgpl-docs-dev
- librust-gdk-0.9.0+purge-lgpl-docs-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+purge-lgpl-docs-dev
- librust-gdk-0.9.0+v3-10-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-10-dev
- librust-gdk-0.9.0+v3-12-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-12-dev
- librust-gdk-0.9.0+v3-14-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-14-dev
- librust-gdk-0.9.0+v3-16-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-16-dev
- librust-gdk-0.9.0+v3-18-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-18-dev
- librust-gdk-0.9.0+v3-20-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-20-dev
- librust-gdk-0.9.0+v3-22-30-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-30-dev
- librust-gdk-0.9.0+v3-22-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-22-dev
- librust-gdk-0.9.0+v3-6-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-6-dev
- librust-gdk-0.9.0+v3-8-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk+v3-8-dev
- librust-gdk-0.9.0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-gdk-dev
- librust-gdk-dev (0.9.0-1)
- Rust bindings for the GDK 3 library - Rust source code
- librust-gdk-pixbuf+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf+dox-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "dox"
- librust-gdk-pixbuf+embed-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "embed-lgpl-docs"
- librust-gdk-pixbuf+fragile-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "fragile"
- librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "gtk-rs-lgpl-docs"
- librust-gdk-pixbuf+purge-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "purge-lgpl-docs"
- librust-gdk-pixbuf+v2-28-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "v2_28"
- librust-gdk-pixbuf+v2-30-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "v2_30"
- librust-gdk-pixbuf+v2-32-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "v2_32"
- librust-gdk-pixbuf+v2-36-8-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "v2_36_8"
- librust-gdk-pixbuf+v2-36-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - feature "v2_36"
- librust-gdk-pixbuf-0+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-0+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+dox-dev
- librust-gdk-pixbuf-0+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+embed-lgpl-docs-dev
- librust-gdk-pixbuf-0+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+fragile-dev
- librust-gdk-pixbuf-0+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
- librust-gdk-pixbuf-0+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+purge-lgpl-docs-dev
- librust-gdk-pixbuf-0+v2-28-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-28-dev
- librust-gdk-pixbuf-0+v2-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-30-dev
- librust-gdk-pixbuf-0+v2-32-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-32-dev
- librust-gdk-pixbuf-0+v2-36-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-8-dev
- librust-gdk-pixbuf-0+v2-36-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-dev
- librust-gdk-pixbuf-0-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-0.5+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-0.5+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+dox-dev
- librust-gdk-pixbuf-0.5+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+embed-lgpl-docs-dev
- librust-gdk-pixbuf-0.5+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+fragile-dev
- librust-gdk-pixbuf-0.5+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
- librust-gdk-pixbuf-0.5+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+purge-lgpl-docs-dev
- librust-gdk-pixbuf-0.5+v2-28-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-28-dev
- librust-gdk-pixbuf-0.5+v2-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-30-dev
- librust-gdk-pixbuf-0.5+v2-32-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-32-dev
- librust-gdk-pixbuf-0.5+v2-36-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-8-dev
- librust-gdk-pixbuf-0.5+v2-36-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-dev
- librust-gdk-pixbuf-0.5-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-0.5.0+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-0.5.0+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+dox-dev
- librust-gdk-pixbuf-0.5.0+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+embed-lgpl-docs-dev
- librust-gdk-pixbuf-0.5.0+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+fragile-dev
- librust-gdk-pixbuf-0.5.0+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
- librust-gdk-pixbuf-0.5.0+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+purge-lgpl-docs-dev
- librust-gdk-pixbuf-0.5.0+v2-28-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-28-dev
- librust-gdk-pixbuf-0.5.0+v2-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-30-dev
- librust-gdk-pixbuf-0.5.0+v2-32-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-32-dev
- librust-gdk-pixbuf-0.5.0+v2-36-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-8-dev
- librust-gdk-pixbuf-0.5.0+v2-36-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf+v2-36-dev
- librust-gdk-pixbuf-0.5.0-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gdk-pixbuf-dev
- librust-gdk-pixbuf-dev (0.5.0-2)
- Rust bindings for the GdkPixbuf library - Rust source code
- librust-gdk-pixbuf-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+v2-28-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+v2-30-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+v2-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+v2-36-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+v2-28-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+v2-30-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+v2-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+v2-36-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+v2-28-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+v2-30-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+v2-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+v2-36-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+v2-28-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+v2-30-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+v2-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+v2-36-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-pixbuf-sys-dev
- librust-gdk-pixbuf-sys-dev (0.7.0-1)
- FFI bindings to libgdk_pixbuf-2.0 - Rust source code
- librust-gdk-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gdk-sys-dev
- librust-gdk-sys-dev (0.7.0-1)
- FFI bindings to libgdk-3 - Rust source code
- librust-generic-array+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array+serde-dev (0.12.0-1)
- Generic types implementing functionality of arrays - feature "serde"
- librust-generic-array-0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-0+serde-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array+serde-dev
- librust-generic-array-0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-0.12+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-0.12+serde-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array+serde-dev
- librust-generic-array-0.12-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-0.12.0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-0.12.0+serde-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array+serde-dev
- librust-generic-array-0.12.0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-generic-array-dev
- librust-generic-array-dev (0.12.0-1)
- Generic types implementing functionality of arrays - Rust source code
- librust-getopts+default-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0+default-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0.2+default-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0.2-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0.2.18+default-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-0.2.18-dev (= 0.2.18-1)
- virtueel pakket geboden door librust-getopts-dev
- librust-getopts-dev (0.2.18-1)
- Getopts-like option parsing - Rust source code
- librust-gimli+alloc-dev (0.16.1-1)
- Blazing fast DWARF debugging format parser - feature "alloc"
- librust-gimli+default-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli+std-dev (0.16.1-1)
- Blazing fast DWARF debugging format parser - feature "std"
- librust-gimli-0+alloc-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+alloc-dev
- librust-gimli-0+default-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0+std-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli-dev
- librust-gimli-0.16+alloc-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+alloc-dev
- librust-gimli-0.16+default-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0.16+std-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0.16-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli-dev
- librust-gimli-0.16.1+alloc-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+alloc-dev
- librust-gimli-0.16.1+default-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0.16.1+std-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli+std-dev
- librust-gimli-0.16.1-dev (= 0.16.1-1)
- virtueel pakket geboden door librust-gimli-dev
- librust-gimli-dev (0.16.1-1)
- Blazing fast DWARF debugging format parser - Rust source code
- librust-gio+default-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio+dox-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "dox"
- librust-gio+embed-lgpl-docs-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "embed-lgpl-docs"
- librust-gio+gtk-rs-lgpl-docs-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "gtk-rs-lgpl-docs"
- librust-gio+purge-lgpl-docs-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "purge-lgpl-docs"
- librust-gio+v2-34-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_34"
- librust-gio+v2-36-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_36"
- librust-gio+v2-38-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_38"
- librust-gio+v2-40-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_40"
- librust-gio+v2-42-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_42"
- librust-gio+v2-44-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_44"
- librust-gio+v2-46-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_46"
- librust-gio+v2-48-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_48"
- librust-gio+v2-50-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_50"
- librust-gio+v2-52-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_52"
- librust-gio+v2-54-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_54"
- librust-gio+v2-56-dev (0.5.1-2)
- Rust bindings for the Gio library - feature "v2_56"
- librust-gio-0+default-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-0+dox-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+dox-dev
- librust-gio-0+embed-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+embed-lgpl-docs-dev
- librust-gio-0+gtk-rs-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+gtk-rs-lgpl-docs-dev
- librust-gio-0+purge-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+purge-lgpl-docs-dev
- librust-gio-0+v2-34-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-34-dev
- librust-gio-0+v2-36-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-36-dev
- librust-gio-0+v2-38-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-38-dev
- librust-gio-0+v2-40-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-40-dev
- librust-gio-0+v2-42-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-42-dev
- librust-gio-0+v2-44-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-44-dev
- librust-gio-0+v2-46-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-46-dev
- librust-gio-0+v2-48-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-48-dev
- librust-gio-0+v2-50-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-50-dev
- librust-gio-0+v2-52-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-52-dev
- librust-gio-0+v2-54-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-54-dev
- librust-gio-0+v2-56-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-56-dev
- librust-gio-0-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-0.5+default-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-0.5+dox-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+dox-dev
- librust-gio-0.5+embed-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+embed-lgpl-docs-dev
- librust-gio-0.5+gtk-rs-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+gtk-rs-lgpl-docs-dev
- librust-gio-0.5+purge-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+purge-lgpl-docs-dev
- librust-gio-0.5+v2-34-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-34-dev
- librust-gio-0.5+v2-36-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-36-dev
- librust-gio-0.5+v2-38-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-38-dev
- librust-gio-0.5+v2-40-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-40-dev
- librust-gio-0.5+v2-42-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-42-dev
- librust-gio-0.5+v2-44-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-44-dev
- librust-gio-0.5+v2-46-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-46-dev
- librust-gio-0.5+v2-48-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-48-dev
- librust-gio-0.5+v2-50-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-50-dev
- librust-gio-0.5+v2-52-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-52-dev
- librust-gio-0.5+v2-54-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-54-dev
- librust-gio-0.5+v2-56-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-56-dev
- librust-gio-0.5-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-0.5.1+default-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-0.5.1+dox-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+dox-dev
- librust-gio-0.5.1+embed-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+embed-lgpl-docs-dev
- librust-gio-0.5.1+gtk-rs-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+gtk-rs-lgpl-docs-dev
- librust-gio-0.5.1+purge-lgpl-docs-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+purge-lgpl-docs-dev
- librust-gio-0.5.1+v2-34-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-34-dev
- librust-gio-0.5.1+v2-36-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-36-dev
- librust-gio-0.5.1+v2-38-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-38-dev
- librust-gio-0.5.1+v2-40-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-40-dev
- librust-gio-0.5.1+v2-42-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-42-dev
- librust-gio-0.5.1+v2-44-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-44-dev
- librust-gio-0.5.1+v2-46-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-46-dev
- librust-gio-0.5.1+v2-48-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-48-dev
- librust-gio-0.5.1+v2-50-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-50-dev
- librust-gio-0.5.1+v2-52-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-52-dev
- librust-gio-0.5.1+v2-54-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-54-dev
- librust-gio-0.5.1+v2-56-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio+v2-56-dev
- librust-gio-0.5.1-dev (= 0.5.1-2)
- virtueel pakket geboden door librust-gio-dev
- librust-gio-dev (0.5.1-2)
- Rust bindings for the Gio library - Rust source code
- librust-gio-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-40-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-48-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-50-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-52-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys+v2-56-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-40-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-48-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-50-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-52-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0+v2-56-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-40-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-48-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-50-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-52-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7+v2-56-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-40-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-48-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-50-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-52-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0+v2-56-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gio-sys-dev
- librust-gio-sys-dev (0.7.0-1)
- FFI bindings to libgio-2.0 - Rust source code
- librust-git2+curl-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "curl"
- librust-git2+default-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "default"
- librust-git2+https-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "https"
- librust-git2+openssl-probe-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "openssl-probe"
- librust-git2+openssl-sys-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "openssl-sys"
- librust-git2+ssh-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "ssh"
- librust-git2+ssh-key-from-memory-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - feature "ssh_key_from_memory"
- librust-git2+unstable-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0+curl-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+curl-dev
- librust-git2-0+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+default-dev
- librust-git2-0+https-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+https-dev
- librust-git2-0+openssl-probe-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-probe-dev
- librust-git2-0+openssl-sys-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-sys-dev
- librust-git2-0+ssh-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-dev
- librust-git2-0+ssh-key-from-memory-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-key-from-memory-dev
- librust-git2-0+unstable-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0.7+curl-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+curl-dev
- librust-git2-0.7+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+default-dev
- librust-git2-0.7+https-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+https-dev
- librust-git2-0.7+openssl-probe-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-probe-dev
- librust-git2-0.7+openssl-sys-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-sys-dev
- librust-git2-0.7+ssh-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-dev
- librust-git2-0.7+ssh-key-from-memory-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-key-from-memory-dev
- librust-git2-0.7+unstable-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0.7-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0.7.5+curl-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+curl-dev
- librust-git2-0.7.5+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+default-dev
- librust-git2-0.7.5+https-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+https-dev
- librust-git2-0.7.5+openssl-probe-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-probe-dev
- librust-git2-0.7.5+openssl-sys-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+openssl-sys-dev
- librust-git2-0.7.5+ssh-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-dev
- librust-git2-0.7.5+ssh-key-from-memory-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2+ssh-key-from-memory-dev
- librust-git2-0.7.5+unstable-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-0.7.5-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-git2-dev
- librust-git2-curl+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0.8+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0.8-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0.8.1+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-0.8.1-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-git2-curl-dev
- librust-git2-curl-dev (0.8.1-1)
- Backend for an HTTP transport in libgit2 powered by libcurl - Rust source code
- librust-git2-dev (0.7.5-1)
- Bindings to libgit2 for interoperating with git repositories - Rust source code
- librust-glib+default-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib+dox-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "dox"
- librust-glib+v2-34-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_34"
- librust-glib+v2-36-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_36"
- librust-glib+v2-38-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_38"
- librust-glib+v2-40-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_40"
- librust-glib+v2-44-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_44"
- librust-glib+v2-46-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_46"
- librust-glib+v2-48-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_48"
- librust-glib+v2-50-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_50"
- librust-glib+v2-52-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_52"
- librust-glib+v2-54-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_54"
- librust-glib+v2-56-dev (0.6.1-1)
- Rust bindings for the GLib library - feature "v2_56"
- librust-glib-0+default-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-0+dox-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+dox-dev
- librust-glib-0+v2-34-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-34-dev
- librust-glib-0+v2-36-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-36-dev
- librust-glib-0+v2-38-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-38-dev
- librust-glib-0+v2-40-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-40-dev
- librust-glib-0+v2-44-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-44-dev
- librust-glib-0+v2-46-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-46-dev
- librust-glib-0+v2-48-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-48-dev
- librust-glib-0+v2-50-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-50-dev
- librust-glib-0+v2-52-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-52-dev
- librust-glib-0+v2-54-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-54-dev
- librust-glib-0+v2-56-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-56-dev
- librust-glib-0-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-0.6+default-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-0.6+dox-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+dox-dev
- librust-glib-0.6+v2-34-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-34-dev
- librust-glib-0.6+v2-36-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-36-dev
- librust-glib-0.6+v2-38-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-38-dev
- librust-glib-0.6+v2-40-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-40-dev
- librust-glib-0.6+v2-44-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-44-dev
- librust-glib-0.6+v2-46-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-46-dev
- librust-glib-0.6+v2-48-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-48-dev
- librust-glib-0.6+v2-50-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-50-dev
- librust-glib-0.6+v2-52-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-52-dev
- librust-glib-0.6+v2-54-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-54-dev
- librust-glib-0.6+v2-56-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-56-dev
- librust-glib-0.6-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-0.6.1+default-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-0.6.1+dox-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+dox-dev
- librust-glib-0.6.1+v2-34-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-34-dev
- librust-glib-0.6.1+v2-36-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-36-dev
- librust-glib-0.6.1+v2-38-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-38-dev
- librust-glib-0.6.1+v2-40-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-40-dev
- librust-glib-0.6.1+v2-44-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-44-dev
- librust-glib-0.6.1+v2-46-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-46-dev
- librust-glib-0.6.1+v2-48-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-48-dev
- librust-glib-0.6.1+v2-50-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-50-dev
- librust-glib-0.6.1+v2-52-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-52-dev
- librust-glib-0.6.1+v2-54-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-54-dev
- librust-glib-0.6.1+v2-56-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib+v2-56-dev
- librust-glib-0.6.1-dev (= 0.6.1-1)
- virtueel pakket geboden door librust-glib-dev
- librust-glib-dev (0.6.1-1)
- Rust bindings for the GLib library - Rust source code
- librust-glib-sys+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-34-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-36-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-38-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-40-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-44-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-46-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-48-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-50-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-52-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-54-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys+v2-56-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-34-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-36-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-38-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-40-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-44-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-46-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-48-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-50-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-52-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-54-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0+v2-56-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-34-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-36-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-38-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-40-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-44-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-46-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-48-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-50-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-52-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-54-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7+v2-56-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+default-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+dox-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-34-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-36-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-38-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-40-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-44-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-46-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-48-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-50-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-52-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-54-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0+v2-56-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-0.7.0-dev (= 0.7.0-2)
- virtueel pakket geboden door librust-glib-sys-dev
- librust-glib-sys-dev (0.7.0-2)
- FFI bindings to libglib-2.0 - Rust source code
- librust-glob+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0.2+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0.2-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0.2.11+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-0.2.11-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-glob-dev
- librust-glob-dev (0.2.11-1)
- Support for matching file paths against Unix shell style patterns - Rust source code
- librust-globset+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset+simd-accel-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0+simd-accel-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4+simd-accel-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4.2+simd-accel-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-globset-dev
- librust-globset-dev (0.4.2-1)
- Cross platform single glob and glob set matching - Rust source code
- librust-gobject-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-36-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-44-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-46-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0+v2-54-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gobject-sys-dev
- librust-gobject-sys-dev (0.7.0-1)
- FFI bindings to libgobject-2.0 - Rust source code
- librust-goblin+alloc-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "alloc"
- librust-goblin+archive-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin+default-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "default"
- librust-goblin+elf32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin+elf64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin+endian-fd-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin+log-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "log"
- librust-goblin+mach32-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "mach32"
- librust-goblin+mach64-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "mach64"
- librust-goblin+pe32-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "pe32"
- librust-goblin+pe64-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "pe64"
- librust-goblin+std-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "std"
- librust-goblin-0+alloc-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0+archive-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0+default-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+default-dev
- librust-goblin-0+elf32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0+elf64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0+endian-fd-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0+log-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+log-dev
- librust-goblin-0+mach32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach32-dev
- librust-goblin-0+mach64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach64-dev
- librust-goblin-0+pe32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe32-dev
- librust-goblin-0+pe64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe64-dev
- librust-goblin-0+std-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+std-dev
- librust-goblin-0-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0+alloc-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0+archive-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0+default-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+default-dev
- librust-goblin-0.0+elf32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0+elf64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0+endian-fd-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0+log-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+log-dev
- librust-goblin-0.0+mach32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach32-dev
- librust-goblin-0.0+mach64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach64-dev
- librust-goblin-0.0+pe32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe32-dev
- librust-goblin-0.0+pe64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe64-dev
- librust-goblin-0.0+std-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+std-dev
- librust-goblin-0.0-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0.19+alloc-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0.19+archive-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0.19+default-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+default-dev
- librust-goblin-0.0.19+elf32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0.19+elf64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-0.0.19+endian-fd-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+alloc-dev
- librust-goblin-0.0.19+log-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+log-dev
- librust-goblin-0.0.19+mach32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach32-dev
- librust-goblin-0.0.19+mach64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+mach64-dev
- librust-goblin-0.0.19+pe32-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe32-dev
- librust-goblin-0.0.19+pe64-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+pe64-dev
- librust-goblin-0.0.19+std-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin+std-dev
- librust-goblin-0.0.19-dev (= 0.0.19-1)
- virtueel pakket geboden door librust-goblin-dev
- librust-goblin-dev (0.0.19-1)
- Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - Rust source code
- librust-grcov+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0.4+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0.4-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0.4.1+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-0.4.1-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-grcov-dev
- librust-grcov-dev (0.4.1-1)
- Collect and aggregate code coverage data for multiple source files - Rust source code
- librust-grep+avx-accel-dev (0.2.3-1)
- Fast line oriented regex searching as a library - feature "avx-accel"
- librust-grep+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep+grep-pcre2-dev (0.2.3-1)
- Fast line oriented regex searching as a library - feature "grep-pcre2"
- librust-grep+pcre2-dev (0.2.3-1)
- Fast line oriented regex searching as a library - feature "pcre2"
- librust-grep+simd-accel-dev (0.2.3-1)
- Fast line oriented regex searching as a library - feature "simd-accel"
- librust-grep-0+avx-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+avx-accel-dev
- librust-grep-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-0+grep-pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+grep-pcre2-dev
- librust-grep-0+pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+pcre2-dev
- librust-grep-0+simd-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+simd-accel-dev
- librust-grep-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-0.2+avx-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+avx-accel-dev
- librust-grep-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-0.2+grep-pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+grep-pcre2-dev
- librust-grep-0.2+pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+pcre2-dev
- librust-grep-0.2+simd-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+simd-accel-dev
- librust-grep-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-0.2.3+avx-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+avx-accel-dev
- librust-grep-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-0.2.3+grep-pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+grep-pcre2-dev
- librust-grep-0.2.3+pcre2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+pcre2-dev
- librust-grep-0.2.3+simd-accel-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep+simd-accel-dev
- librust-grep-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-grep-dev
- librust-grep-cli+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-cli-dev
- librust-grep-cli-dev (0.1.1-1)
- Utilities for search oriented command line applications - Rust source code
- librust-grep-dev (0.2.3-1)
- Fast line oriented regex searching as a library - Rust source code
- librust-grep-matcher+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-matcher-dev
- librust-grep-matcher-dev (0.1.1-1)
- Trait for regular expressions for line oriented search - Rust source code
- librust-grep-pcre2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-grep-pcre2-dev
- librust-grep-pcre2-dev (0.1.2-1)
- Use PCRE2 with the 'grep' crate - Rust source code
- librust-grep-printer+base64-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "base64"
- librust-grep-printer+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer+serde-derive-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_derive"
- librust-grep-printer+serde-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde"
- librust-grep-printer+serde-json-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_json"
- librust-grep-printer+serde1-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde1"
- librust-grep-printer-0+base64-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+base64-dev
- librust-grep-printer-0+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0+serde-derive-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-derive-dev
- librust-grep-printer-0+serde-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-dev
- librust-grep-printer-0+serde-json-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-json-dev
- librust-grep-printer-0+serde1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer-dev
- librust-grep-printer-0.1+base64-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+base64-dev
- librust-grep-printer-0.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0.1+serde-derive-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-derive-dev
- librust-grep-printer-0.1+serde-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-dev
- librust-grep-printer-0.1+serde-json-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-json-dev
- librust-grep-printer-0.1+serde1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer-dev
- librust-grep-printer-0.1.1+base64-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+base64-dev
- librust-grep-printer-0.1.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0.1.1+serde-derive-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-derive-dev
- librust-grep-printer-0.1.1+serde-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-dev
- librust-grep-printer-0.1.1+serde-json-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde-json-dev
- librust-grep-printer-0.1.1+serde1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer+serde1-dev
- librust-grep-printer-0.1.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-grep-printer-dev
- librust-grep-printer-dev (0.1.1-2)
- The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - Rust source code
- librust-grep-regex+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-grep-regex-dev
- librust-grep-regex-dev (0.1.1-1)
- Use Rust's regex library with the 'grep' crate - Rust source code
- librust-grep-searcher+avx-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher+default-dev (0.1.3-1)
- Fast line oriented regex searching as a library - feature "default"
- librust-grep-searcher+simd-accel-dev (0.1.3-1)
- Fast line oriented regex searching as a library - feature "simd-accel"
- librust-grep-searcher-0+avx-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-0+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+default-dev
- librust-grep-searcher-0+simd-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+simd-accel-dev
- librust-grep-searcher-0-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-0.1+avx-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-0.1+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+default-dev
- librust-grep-searcher-0.1+simd-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+simd-accel-dev
- librust-grep-searcher-0.1-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-0.1.3+avx-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-0.1.3+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+default-dev
- librust-grep-searcher-0.1.3+simd-accel-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher+simd-accel-dev
- librust-grep-searcher-0.1.3-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-grep-searcher-dev
- librust-grep-searcher-dev (0.1.3-1)
- Fast line oriented regex searching as a library - Rust source code
- librust-gtk+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk+dox-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "dox"
- librust-gtk+embed-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "embed-lgpl-docs"
- librust-gtk+fragile-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "fragile"
- librust-gtk+gtk-rs-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "gtk-rs-lgpl-docs"
- librust-gtk+purge-lgpl-docs-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "purge-lgpl-docs"
- librust-gtk+v3-10-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_10"
- librust-gtk+v3-12-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_12"
- librust-gtk+v3-14-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_14"
- librust-gtk+v3-16-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_16"
- librust-gtk+v3-18-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_18"
- librust-gtk+v3-20-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_20"
- librust-gtk+v3-22-20-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22_20"
- librust-gtk+v3-22-26-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22_26"
- librust-gtk+v3-22-27-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22_27"
- librust-gtk+v3-22-29-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22_29"
- librust-gtk+v3-22-30-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22_30"
- librust-gtk+v3-22-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_22"
- librust-gtk+v3-6-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_6"
- librust-gtk+v3-8-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - feature "v3_8"
- librust-gtk-0+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-0+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+dox-dev
- librust-gtk-0+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+embed-lgpl-docs-dev
- librust-gtk-0+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+fragile-dev
- librust-gtk-0+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+gtk-rs-lgpl-docs-dev
- librust-gtk-0+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+purge-lgpl-docs-dev
- librust-gtk-0+v3-10-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-10-dev
- librust-gtk-0+v3-12-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-12-dev
- librust-gtk-0+v3-14-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-14-dev
- librust-gtk-0+v3-16-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-16-dev
- librust-gtk-0+v3-18-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-18-dev
- librust-gtk-0+v3-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-20-dev
- librust-gtk-0+v3-22-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-20-dev
- librust-gtk-0+v3-22-26-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-26-dev
- librust-gtk-0+v3-22-27-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-27-dev
- librust-gtk-0+v3-22-29-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-29-dev
- librust-gtk-0+v3-22-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-30-dev
- librust-gtk-0+v3-22-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-dev
- librust-gtk-0+v3-6-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-6-dev
- librust-gtk-0+v3-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-8-dev
- librust-gtk-0-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-0.5+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-0.5+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+dox-dev
- librust-gtk-0.5+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+embed-lgpl-docs-dev
- librust-gtk-0.5+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+fragile-dev
- librust-gtk-0.5+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+gtk-rs-lgpl-docs-dev
- librust-gtk-0.5+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+purge-lgpl-docs-dev
- librust-gtk-0.5+v3-10-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-10-dev
- librust-gtk-0.5+v3-12-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-12-dev
- librust-gtk-0.5+v3-14-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-14-dev
- librust-gtk-0.5+v3-16-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-16-dev
- librust-gtk-0.5+v3-18-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-18-dev
- librust-gtk-0.5+v3-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-20-dev
- librust-gtk-0.5+v3-22-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-20-dev
- librust-gtk-0.5+v3-22-26-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-26-dev
- librust-gtk-0.5+v3-22-27-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-27-dev
- librust-gtk-0.5+v3-22-29-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-29-dev
- librust-gtk-0.5+v3-22-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-30-dev
- librust-gtk-0.5+v3-22-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-dev
- librust-gtk-0.5+v3-6-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-6-dev
- librust-gtk-0.5+v3-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-8-dev
- librust-gtk-0.5-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-0.5.0+default-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-0.5.0+dox-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+dox-dev
- librust-gtk-0.5.0+embed-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+embed-lgpl-docs-dev
- librust-gtk-0.5.0+fragile-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+fragile-dev
- librust-gtk-0.5.0+gtk-rs-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+gtk-rs-lgpl-docs-dev
- librust-gtk-0.5.0+purge-lgpl-docs-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+purge-lgpl-docs-dev
- librust-gtk-0.5.0+v3-10-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-10-dev
- librust-gtk-0.5.0+v3-12-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-12-dev
- librust-gtk-0.5.0+v3-14-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-14-dev
- librust-gtk-0.5.0+v3-16-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-16-dev
- librust-gtk-0.5.0+v3-18-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-18-dev
- librust-gtk-0.5.0+v3-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-20-dev
- librust-gtk-0.5.0+v3-22-20-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-20-dev
- librust-gtk-0.5.0+v3-22-26-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-26-dev
- librust-gtk-0.5.0+v3-22-27-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-27-dev
- librust-gtk-0.5.0+v3-22-29-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-29-dev
- librust-gtk-0.5.0+v3-22-30-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-30-dev
- librust-gtk-0.5.0+v3-22-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-22-dev
- librust-gtk-0.5.0+v3-6-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-6-dev
- librust-gtk-0.5.0+v3-8-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk+v3-8-dev
- librust-gtk-0.5.0-dev (= 0.5.0-2)
- virtueel pakket geboden door librust-gtk-dev
- librust-gtk-dev (0.5.0-2)
- Rust bindings for the GTK+ 3 library - Rust source code
- librust-gtk-rs-lgpl-docs+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0.1+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0.1-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0.1.7+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-0.1.7-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-gtk-rs-lgpl-docs-dev
- librust-gtk-rs-lgpl-docs-dev (0.1.7-1)
- LGPL-licensed docs for Gtk-rs crates - Rust source code
- librust-gtk-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-22-26-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-22-27-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-22-29-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-22-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-22-26-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-22-27-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-22-29-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-22-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-22-26-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-22-27-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-22-29-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-22-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-10-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-12-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-14-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-16-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-18-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-20-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-22-26-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-22-27-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-22-29-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-22-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-22-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-6-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0+v3-8-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-gtk-sys-dev
- librust-gtk-sys-dev (0.7.0-1)
- FFI bindings to libgtk-3 - Rust source code
- librust-h2+default-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2+unstable-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0+default-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0+unstable-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1+default-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1+unstable-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1.16+default-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1.16+unstable-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-0.1.16-dev (= 0.1.16-1)
- virtueel pakket geboden door librust-h2-dev
- librust-h2-dev (0.1.16-1)
- HTTP/2.0 client and server - Rust source code
- librust-hashbrown+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown+nightly-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown+rayon-dev (0.1.8-1)
- Rust port of Google's SwissTable hash map - feature "rayon"
- librust-hashbrown+serde-dev (0.1.8-1)
- Rust port of Google's SwissTable hash map - feature "serde"
- librust-hashbrown-0+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0+nightly-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0+rayon-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+rayon-dev
- librust-hashbrown-0+serde-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+serde-dev
- librust-hashbrown-0-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1+nightly-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1+rayon-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+rayon-dev
- librust-hashbrown-0.1+serde-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+serde-dev
- librust-hashbrown-0.1-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1.8+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1.8+nightly-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-0.1.8+rayon-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+rayon-dev
- librust-hashbrown-0.1.8+serde-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown+serde-dev
- librust-hashbrown-0.1.8-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-hashbrown-dev
- librust-hashbrown-dev (0.1.8-1)
- Rust port of Google's SwissTable hash map - Rust source code
- librust-heapsize+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize+flexible-tests-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize+unstable-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0+flexible-tests-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0+unstable-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4+flexible-tests-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4+unstable-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4.2+flexible-tests-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4.2+unstable-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-heapsize-dev
- librust-heapsize-dev (0.4.2-1)
- Measure the total runtime size of an object on the heap - Rust source code
- librust-heck+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-heck-dev
- librust-heck-dev (0.3.1-1)
- Case conversion library - Rust source code
- librust-hex+benchmarks-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0+benchmarks-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3+benchmarks-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3.2+benchmarks-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-hex-dev
- librust-hex-dev (0.3.2-1)
- Encoding and decoding data into/from hexadecimal representation - Rust source code
- librust-hidapi-sys+build-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys+static-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0+build-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0+static-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1+build-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1+static-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1.4+build-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1.4+static-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-hidapi-sys-dev
- librust-hidapi-sys-dev (0.1.4-1)
- FFI bindings to hidapi - Rust source code
- librust-home+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0.3.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-0.3.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-home-dev
- librust-home-dev (0.3.3-1)
- Shared definitions of home directories - Rust source code
- librust-http+default-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0+default-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0.1+default-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0.1-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0.1.15+default-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-0.1.15-dev (= 0.1.15-1)
- virtueel pakket geboden door librust-http-dev
- librust-http-dev (0.1.15-1)
- Set of types for representing HTTP requests and responses - Rust source code
- librust-httparse+default-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse+std-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1+default-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1+std-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3+default-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3+std-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3.3+default-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3.3+std-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-1.3.3-dev (= 1.3.3-1)
- virtueel pakket geboden door librust-httparse-dev
- librust-httparse-dev (1.3.3-1)
- Tiny, safe, speedy, zero-copy HTTP/1.x parser - Rust source code
- librust-humantime+default-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1+default-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1.1+default-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1.1-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1.1.1+default-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-1.1.1-dev (= 1.1.1-2)
- virtueel pakket geboden door librust-humantime-dev
- librust-humantime-dev (1.1.1-2)
- Parser and formatter for std::time::{Duration, SystemTime} - Rust source code
- librust-hyphenation+build-dictionaries-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation+embed-all-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "embed_all"
- librust-hyphenation+nfc-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "nfc"
- librust-hyphenation+nfd-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "nfd"
- librust-hyphenation+nfkc-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "nfkc"
- librust-hyphenation+nfkd-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "nfkd"
- librust-hyphenation+pocket-resources-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "pocket-resources"
- librust-hyphenation+unicode-normalization-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - feature "unicode-normalization"
- librust-hyphenation-0+build-dictionaries-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0+embed-all-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+embed-all-dev
- librust-hyphenation-0+nfc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfc-dev
- librust-hyphenation-0+nfd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfd-dev
- librust-hyphenation-0+nfkc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkc-dev
- librust-hyphenation-0+nfkd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkd-dev
- librust-hyphenation-0+pocket-resources-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+pocket-resources-dev
- librust-hyphenation-0+unicode-normalization-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+unicode-normalization-dev
- librust-hyphenation-0-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7+build-dictionaries-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7+embed-all-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+embed-all-dev
- librust-hyphenation-0.7+nfc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfc-dev
- librust-hyphenation-0.7+nfd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfd-dev
- librust-hyphenation-0.7+nfkc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkc-dev
- librust-hyphenation-0.7+nfkd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkd-dev
- librust-hyphenation-0.7+pocket-resources-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+pocket-resources-dev
- librust-hyphenation-0.7+unicode-normalization-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+unicode-normalization-dev
- librust-hyphenation-0.7-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7.1+build-dictionaries-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7.1+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-0.7.1+embed-all-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+embed-all-dev
- librust-hyphenation-0.7.1+nfc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfc-dev
- librust-hyphenation-0.7.1+nfd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfd-dev
- librust-hyphenation-0.7.1+nfkc-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkc-dev
- librust-hyphenation-0.7.1+nfkd-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+nfkd-dev
- librust-hyphenation-0.7.1+pocket-resources-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+pocket-resources-dev
- librust-hyphenation-0.7.1+unicode-normalization-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation+unicode-normalization-dev
- librust-hyphenation-0.7.1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-dev
- librust-hyphenation-commons+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0.7+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0.7-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0.7.1+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-0.7.1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-hyphenation-commons-dev
- librust-hyphenation-commons-dev (0.7.1-1)
- Proemial code for the `hyphenation` library - Rust source code
- librust-hyphenation-dev (0.7.1-1)
- Knuth-Liang hyphenation for a variety of languages - Rust source code
- librust-idna+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-idna-dev
- librust-idna-dev (0.1.5-1)
- IDNA (Internationalizing Domain Names in Applications) and Punycode - Rust source code
- librust-ignore+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore+simd-accel-dev (0.4.6-1)
- Fast library for matching ignore files like .gitignore against file paths - feature "simd-accel"
- librust-ignore-0+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-0+simd-accel-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore+simd-accel-dev
- librust-ignore-0-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-0.4+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-0.4+simd-accel-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore+simd-accel-dev
- librust-ignore-0.4-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-0.4.6+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-0.4.6+simd-accel-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore+simd-accel-dev
- librust-ignore-0.4.6-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-ignore-dev
- librust-ignore-dev (0.4.6-1)
- Fast library for matching ignore files like .gitignore against file paths - Rust source code
- librust-indexmap+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap+serde-1-dev (1.0.2-1)
- Hash table with consistent order and fast iteration - feature "serde-1"
- librust-indexmap+serde-dev (1.0.2-1)
- Hash table with consistent order and fast iteration - feature "serde"
- librust-indexmap+test-debug-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap+test-low-transition-point-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1+serde-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-1-dev
- librust-indexmap-1+serde-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-dev
- librust-indexmap-1+test-debug-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1+test-low-transition-point-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0+serde-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-1-dev
- librust-indexmap-1.0+serde-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-dev
- librust-indexmap-1.0+test-debug-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0+test-low-transition-point-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0.2+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0.2+serde-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-1-dev
- librust-indexmap-1.0.2+serde-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap+serde-dev
- librust-indexmap-1.0.2+test-debug-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0.2+test-low-transition-point-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-1.0.2-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-indexmap-dev
- librust-indexmap-dev (1.0.2-1)
- Hash table with consistent order and fast iteration - Rust source code
- librust-intervaltree+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree+std-dev (0.2.4-1)
- Simple and generic implementation of an immutable interval tree - feature "std"
- librust-intervaltree-0+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0+std-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree-dev
- librust-intervaltree-0.2+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0.2+std-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0.2-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree-dev
- librust-intervaltree-0.2.4+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0.2.4+std-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree+std-dev
- librust-intervaltree-0.2.4-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-intervaltree-dev
- librust-intervaltree-dev (0.2.4-1)
- Simple and generic implementation of an immutable interval tree - Rust source code
- librust-iovec+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-iovec-dev
- librust-iovec-dev (0.1.2-1)
- Portable buffer type for scatter/gather I/O operations - Rust source code
- librust-is-match+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-is-match-dev
- librust-is-match-dev (0.1.0-1)
- Check whether something matches something else - Rust source code
- librust-iso8601+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-iso8601-dev
- librust-iso8601-dev (0.3.0-1)
- Parsing ISO8601 dates using nom - Rust source code
- librust-itertools+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools+use-std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0+use-std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8+use-std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8.0+use-std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-itertools-dev
- librust-itertools-dev (0.8.0-1)
- Extra iterator adaptors, iterator methods, free functions, and macros - Rust source code
- librust-itoa+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa+i128-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa+std-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0+i128-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0+std-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4+i128-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4+std-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4.3+default-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4.3+i128-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4.3+std-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-0.4.3-dev (= 0.4.3-1)
- virtueel pakket geboden door librust-itoa-dev
- librust-itoa-dev (0.4.3-1)
- Fast functions printing integer primitives to io::Write - Rust source code
- librust-jobserver+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0.1+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0.1-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0.1.11+default-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-0.1.11-dev (= 0.1.11-1)
- virtueel pakket geboden door librust-jobserver-dev
- librust-jobserver-dev (0.1.11-1)
- The GNU make jobserver for Rust - Rust source code
- librust-keccak+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak+no-unroll-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0+no-unroll-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1+no-unroll-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1.0+no-unroll-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-keccak-dev
- librust-keccak-dev (0.1.0-1)
- Keccak-f sponge function - Rust source code
- librust-language-tags+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0.2+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0.2-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0.2.2+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-0.2.2-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-language-tags-dev
- librust-language-tags-dev (0.2.2-2)
- Language tags for Rust - Rust source code
- librust-lazy-static+default-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static+nightly-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static+spin-dev (1.2.0-1)
- Macro for declaring lazily evaluated statics in Rust - feature "spin"
- librust-lazy-static+spin-no-std-dev (1.2.0-1)
- Macro for declaring lazily evaluated statics in Rust - feature "spin_no_std"
- librust-lazy-static-1+default-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1+nightly-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1+spin-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-dev
- librust-lazy-static-1+spin-no-std-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-no-std-dev
- librust-lazy-static-1-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2+default-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2+nightly-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2+spin-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-dev
- librust-lazy-static-1.2+spin-no-std-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-no-std-dev
- librust-lazy-static-1.2-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2.0+default-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2.0+nightly-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-1.2.0+spin-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-dev
- librust-lazy-static-1.2.0+spin-no-std-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static+spin-no-std-dev
- librust-lazy-static-1.2.0-dev (= 1.2.0-1)
- virtueel pakket geboden door librust-lazy-static-dev
- librust-lazy-static-dev (1.2.0-1)
- Macro for declaring lazily evaluated statics in Rust - Rust source code
- librust-lazycell+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1.2+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1.2-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1.2.1+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-1.2.1-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-lazycell-dev
- librust-lazycell-dev (1.2.1-1)
- Library providing a lazily filled Cell struct - Rust source code
- librust-libc+align-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc+default-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc+rustc-dep-of-std-dev (0.2.48-1)
- Rust bindings to libc - feature "rustc-dep-of-std"
- librust-libc+rustc-std-workspace-core-dev (0.2.48-1)
- Rust bindings to libc - feature "rustc-std-workspace-core"
- librust-libc+use-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0+align-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0+default-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0+rustc-dep-of-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-dep-of-std-dev
- librust-libc-0+rustc-std-workspace-core-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-std-workspace-core-dev
- librust-libc-0+use-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2+align-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2+default-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2+rustc-dep-of-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-dep-of-std-dev
- librust-libc-0.2+rustc-std-workspace-core-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-std-workspace-core-dev
- librust-libc-0.2+use-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2.48+align-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2.48+default-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2.48+rustc-dep-of-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-dep-of-std-dev
- librust-libc-0.2.48+rustc-std-workspace-core-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc+rustc-std-workspace-core-dev
- librust-libc-0.2.48+use-std-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-0.2.48-dev (= 0.2.48-1)
- virtueel pakket geboden door librust-libc-dev
- librust-libc-dev (0.2.48-1)
- Rust bindings to libc - Rust source code
- librust-libdbus-sys+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-libdbus-sys-dev
- librust-libdbus-sys-dev (0.1.5-1)
- FFI bindings to libdbus - Rust source code
- librust-libflate+default-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0+default-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0.1+default-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0.1-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0.1.19+default-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-0.1.19-dev (= 0.1.19-1)
- virtueel pakket geboden door librust-libflate-dev
- librust-libflate-dev (0.1.19-1)
- DEFLATE algorithm and related formats (ZLIB, GZIP) - Rust source code
- librust-libgit2-sys+curl-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "curl"
- librust-libgit2-sys+curl-sys-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "curl-sys"
- librust-libgit2-sys+default-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys+https-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "https"
- librust-libgit2-sys+libssh2-sys-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "libssh2-sys"
- librust-libgit2-sys+openssl-sys-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "openssl-sys"
- librust-libgit2-sys+ssh-dev (0.7.10-1)
- Native bindings to the libgit2 library - feature "ssh"
- librust-libgit2-sys+ssh-key-from-memory-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0+curl-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-dev
- librust-libgit2-sys-0+curl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-sys-dev
- librust-libgit2-sys-0+default-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0+https-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+https-dev
- librust-libgit2-sys-0+libssh2-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+libssh2-sys-dev
- librust-libgit2-sys-0+openssl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+openssl-sys-dev
- librust-libgit2-sys-0+ssh-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+ssh-dev
- librust-libgit2-sys-0+ssh-key-from-memory-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7+curl-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-dev
- librust-libgit2-sys-0.7+curl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-sys-dev
- librust-libgit2-sys-0.7+default-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7+https-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+https-dev
- librust-libgit2-sys-0.7+libssh2-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+libssh2-sys-dev
- librust-libgit2-sys-0.7+openssl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+openssl-sys-dev
- librust-libgit2-sys-0.7+ssh-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+ssh-dev
- librust-libgit2-sys-0.7+ssh-key-from-memory-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7.10+curl-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-dev
- librust-libgit2-sys-0.7.10+curl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+curl-sys-dev
- librust-libgit2-sys-0.7.10+default-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7.10+https-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+https-dev
- librust-libgit2-sys-0.7.10+libssh2-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+libssh2-sys-dev
- librust-libgit2-sys-0.7.10+openssl-sys-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+openssl-sys-dev
- librust-libgit2-sys-0.7.10+ssh-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys+ssh-dev
- librust-libgit2-sys-0.7.10+ssh-key-from-memory-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-0.7.10-dev (= 0.7.10-1)
- virtueel pakket geboden door librust-libgit2-sys-dev
- librust-libgit2-sys-dev (0.7.10-1)
- Native bindings to the libgit2 library - Rust source code
- librust-libloading+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-libloading-dev
- librust-libloading-dev (0.5.0-1)
- Safer binding to dynamic library loading utilities - Rust source code
- librust-libmount+default-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0+default-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0.1+default-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0.1-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0.1.12+default-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-0.1.12-dev (= 0.1.12-1)
- virtueel pakket geboden door librust-libmount-dev
- librust-libmount-dev (0.1.12-1)
- Type-safe wrapper around mount system call - Rust source code
- librust-libnghttp2-sys+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-libnghttp2-sys-dev
- librust-libnghttp2-sys-dev (0.1.1-1)
- FFI bindings for libnghttp2 (nghttp2) - Rust source code
- librust-libssh2-sys+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0.2+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0.2-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0.2.11+default-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-0.2.11-dev (= 0.2.11-1)
- virtueel pakket geboden door librust-libssh2-sys-dev
- librust-libssh2-sys-dev (0.2.11-1)
- Native bindings to the libssh2 library - Rust source code
- librust-libudev-sys+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-libudev-sys-dev
- librust-libudev-sys-dev (0.1.4-1)
- FFI bindings to libudev - Rust source code
- librust-libz-sys+asm-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys+default-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys+static-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1+asm-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1+default-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1+static-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0+asm-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0+default-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0+static-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0.25+asm-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0.25+default-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0.25+static-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-1.0.25-dev (= 1.0.25-1)
- virtueel pakket geboden door librust-libz-sys-dev
- librust-libz-sys-dev (1.0.25-1)
- Bindings to the system libz library (also known as zlib) - Rust source code
- librust-linked-hash-map+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map+heapsize-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - feature "heapsize"
- librust-linked-hash-map+heapsize-impl-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - feature "heapsize_impl"
- librust-linked-hash-map+nightly-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map+serde-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - feature "serde"
- librust-linked-hash-map+serde-impl-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - feature "serde_impl"
- librust-linked-hash-map+serde-test-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - feature "serde_test"
- librust-linked-hash-map-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0+heapsize-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-dev
- librust-linked-hash-map-0+heapsize-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-impl-dev
- librust-linked-hash-map-0+nightly-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0+serde-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-dev
- librust-linked-hash-map-0+serde-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-impl-dev
- librust-linked-hash-map-0+serde-test-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-test-dev
- librust-linked-hash-map-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5+heapsize-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-dev
- librust-linked-hash-map-0.5+heapsize-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-impl-dev
- librust-linked-hash-map-0.5+nightly-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5+serde-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-dev
- librust-linked-hash-map-0.5+serde-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-impl-dev
- librust-linked-hash-map-0.5+serde-test-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-test-dev
- librust-linked-hash-map-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5.1+heapsize-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-dev
- librust-linked-hash-map-0.5.1+heapsize-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+heapsize-impl-dev
- librust-linked-hash-map-0.5.1+nightly-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-0.5.1+serde-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-dev
- librust-linked-hash-map-0.5.1+serde-impl-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-impl-dev
- librust-linked-hash-map-0.5.1+serde-test-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map+serde-test-dev
- librust-linked-hash-map-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-linked-hash-map-dev
- librust-linked-hash-map-dev (0.5.1-1)
- HashMap that holds key-value pairs in insertion order - Rust source code
- librust-locale+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0.2.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-0.2.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-locale-dev
- librust-locale-dev (0.2.2-1)
- Basic localisation - Rust source code
- librust-lock-api+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api+nightly-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api+owning-ref-dev (0.1.5-1)
- Wrappers to create fully-featured Mutex and RwLock types - feature "owning_ref"
- librust-lock-api-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0+nightly-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0+owning-ref-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api+owning-ref-dev
- librust-lock-api-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1+nightly-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1+owning-ref-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api+owning-ref-dev
- librust-lock-api-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1.5+nightly-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-0.1.5+owning-ref-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api+owning-ref-dev
- librust-lock-api-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-lock-api-dev
- librust-lock-api-dev (0.1.5-1)
- Wrappers to create fully-featured Mutex and RwLock types - Rust source code
- librust-log+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+release-max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log+serde-dev (0.4.6-1)
- Lightweight logging facade for Rust - feature "serde"
- librust-log+std-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+release-max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log+serde-dev
- librust-log-0+std-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+release-max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log+serde-dev
- librust-log-0.4+std-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+default-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-debug-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-error-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-info-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-off-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-trace-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+release-max-level-warn-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6+serde-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log+serde-dev
- librust-log-0.4.6+std-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-0.4.6-dev (= 0.4.6-1)
- virtueel pakket geboden door librust-log-dev
- librust-log-dev (0.4.6-1)
- Lightweight logging facade for Rust - Rust source code
- librust-lua52-sys+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-lua52-sys-dev
- librust-lua52-sys-dev (0.1.2-1)
- Bindings for Lua 5.2 - Rust source code
- librust-lyon-geom+default-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom+serde-dev (0.12.2-1)
- 2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serde"
- librust-lyon-geom+serialization-dev (0.12.2-1)
- 2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serialization"
- librust-lyon-geom-0+default-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-0+serde-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serde-dev
- librust-lyon-geom-0+serialization-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serialization-dev
- librust-lyon-geom-0-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-0.12+default-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-0.12+serde-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serde-dev
- librust-lyon-geom-0.12+serialization-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serialization-dev
- librust-lyon-geom-0.12-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-0.12.2+default-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-0.12.2+serde-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serde-dev
- librust-lyon-geom-0.12.2+serialization-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom+serialization-dev
- librust-lyon-geom-0.12.2-dev (= 0.12.2-1)
- virtueel pakket geboden door librust-lyon-geom-dev
- librust-lyon-geom-dev (0.12.2-1)
- 2D quadratic and cubic bézier arcs and line segment math on top of euclid - Rust source code
- librust-mac+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-mac-dev
- librust-mac-dev (0.1.1-1)
- Collection of great and ubiqutitous macros - Rust source code
- librust-macro-attr+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr+unstable-macros-1-1-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0+unstable-macros-1-1-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2+unstable-macros-1-1-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2.0+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2.0+unstable-macros-1-1-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-macro-attr-dev
- librust-macro-attr-dev (0.2.0-1)
- `macro_attr!` macro for custom macro derives and attributes - Rust source code
- librust-maplit+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1.0+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1.0-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1.0.1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-1.0.1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-maplit-dev
- librust-maplit-dev (1.0.1-1)
- Literal macros for HashMap, HashSet, BTreeMap, BTreeSet - Rust source code
- librust-markup5ever+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0.7+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0.7-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0.7.5+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-0.7.5-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-markup5ever-dev
- librust-markup5ever-dev (0.7.5-1)
- Common code for xml5ever and html5ever - Rust source code
- librust-matches+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0.1+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0.1-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0.1.8+default-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-0.1.8-dev (= 0.1.8-1)
- virtueel pakket geboden door librust-matches-dev
- librust-matches-dev (0.1.8-1)
- Macro to evaluate, as a boolean, whether an expression matches a pattern - Rust source code
- librust-maxminddb+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb+memmap-dev (0.12.0-1)
- Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "memmap"
- librust-maxminddb+mmap-dev (0.12.0-1)
- Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "mmap"
- librust-maxminddb-0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-0+memmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+memmap-dev
- librust-maxminddb-0+mmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+mmap-dev
- librust-maxminddb-0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-0.12+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-0.12+memmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+memmap-dev
- librust-maxminddb-0.12+mmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+mmap-dev
- librust-maxminddb-0.12-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-0.12.0+default-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-0.12.0+memmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+memmap-dev
- librust-maxminddb-0.12.0+mmap-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb+mmap-dev
- librust-maxminddb-0.12.0-dev (= 0.12.0-1)
- virtueel pakket geboden door librust-maxminddb-dev
- librust-maxminddb-dev (0.12.0-1)
- Reading MaxMind DB format used by GeoIP2 and GeoLite2 - Rust source code
- librust-md5+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0.3+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0.3-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0.3.8+default-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-0.3.8-dev (= 0.3.8-1)
- virtueel pakket geboden door librust-md5-dev
- librust-md5-dev (0.3.8-1)
- Package provides the MD5 hash function - Rust source code
- librust-memchr+default-dev (2.1.3-1)
- Safe interface to memchr - feature "default"
- librust-memchr+libc-dev (2.1.3-1)
- Safe interface to memchr - feature "libc"
- librust-memchr+use-std-dev (2.1.3-1)
- Safe interface to memchr - feature "use_std"
- librust-memchr-2+default-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+default-dev
- librust-memchr-2+libc-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+libc-dev
- librust-memchr-2+use-std-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+use-std-dev
- librust-memchr-2-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr-dev
- librust-memchr-2.1+default-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+default-dev
- librust-memchr-2.1+libc-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+libc-dev
- librust-memchr-2.1+use-std-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+use-std-dev
- librust-memchr-2.1-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr-dev
- librust-memchr-2.1.3+default-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+default-dev
- librust-memchr-2.1.3+libc-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+libc-dev
- librust-memchr-2.1.3+use-std-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr+use-std-dev
- librust-memchr-2.1.3-dev (= 2.1.3-1)
- virtueel pakket geboden door librust-memchr-dev
- librust-memchr-dev (2.1.3-1)
- Safe interface to memchr - Rust source code
- librust-memmap+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-memmap-dev
- librust-memmap-dev (0.7.0-1)
- Cross-platform Rust API for memory-mapped file IO - Rust source code
- librust-memoffset+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-memoffset-dev
- librust-memoffset-dev (0.2.1-1)
- Offset_of functionality for Rust structs - Rust source code
- librust-mime+default-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0+default-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0.3+default-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0.3-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0.3.9+default-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-0.3.9-dev (= 0.3.9-1)
- virtueel pakket geboden door librust-mime-dev
- librust-mime-dev (0.3.9-1)
- Strongly Typed Mimes - Rust source code
- librust-mint+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-mint-dev
- librust-mint-dev (0.5.1-1)
- Math interoperability standard types - Rust source code
- librust-mio+default-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio+with-deprecated-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0+default-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0+with-deprecated-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6+default-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6+with-deprecated-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6.16+default-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6.16+with-deprecated-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-0.6.16-dev (= 0.6.16-1)
- virtueel pakket geboden door librust-mio-dev
- librust-mio-dev (0.6.16-1)
- Lightweight non-blocking IO - Rust source code
- librust-mio-uds+default-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0+default-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0.6+default-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0.6-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0.6.7+default-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-0.6.7-dev (= 0.6.7-1)
- virtueel pakket geboden door librust-mio-uds-dev
- librust-mio-uds-dev (0.6.7-1)
- Unix domain socket bindings for mio - Rust source code
- librust-miow+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-miow-dev
- librust-miow-dev (0.3.1-1)
- Zero overhead I/O library for Windows, focusing on IOCP and Async I/O abstractions - Rust source code
- librust-natord+default-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1+default-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1.0+default-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1.0-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1.0.9+default-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-1.0.9-dev (= 1.0.9-1)
- virtueel pakket geboden door librust-natord-dev
- librust-natord-dev (1.0.9-1)
- Natural ordering for Rust - Rust source code
- librust-net2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2+duration-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0+duration-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2+duration-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2.33+duration-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2.33+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-net2-dev
- librust-net2-dev (0.2.33-1)
- Extensions to the standard library's networking types as proposed in RFC 1158 - Rust source code
- librust-new-debug-unreachable+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1.0+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1.0-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1.0.1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-1.0.1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-new-debug-unreachable-dev
- librust-new-debug-unreachable-dev (1.0.1-1)
- Panic in debug, intrinsics::unreachable() in release (fork of debug_unreachable) - Rust source code
- librust-newtype-derive+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive+std-unstable-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0+std-unstable-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1+std-unstable-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1.6+std-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1.6+std-unstable-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-newtype-derive-dev
- librust-newtype-derive-dev (0.1.6-1)
- Macros for deriving common traits for newtype structures - Rust source code
- librust-nitrokey+default-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey+test-pro-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey+test-storage-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0+default-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0+test-pro-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0+test-storage-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3+default-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3+test-pro-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3+test-storage-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3.4+default-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3.4+test-pro-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3.4+test-storage-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-0.3.4-dev (= 0.3.4-1)
- virtueel pakket geboden door librust-nitrokey-dev
- librust-nitrokey-dev (0.3.4-1)
- Bindings to libnitrokey for communication with Nitrokey devices - Rust source code
- librust-nitrokey-sys+default-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3+default-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3.4+default-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3.4-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3.4.3+default-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-3.4.3-dev (= 3.4.3-2)
- virtueel pakket geboden door librust-nitrokey-sys-dev
- librust-nitrokey-sys-dev (3.4.3-2)
- Low-level bindings to libnitrokey - Rust source code
- librust-nitrokey-test+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-nitrokey-test-dev
- librust-nitrokey-test-dev (0.2.0-1)
- supporting test infrastructure for the nitrokey crate - Rust source code
- librust-nix+default-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0+default-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0.13+default-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0.13-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0.13.0+default-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-0.13.0-dev (= 0.13.0-1)
- virtueel pakket geboden door librust-nix-dev
- librust-nix-dev (0.13.0-1)
- Rust friendly bindings to *nix APIs - Rust source code
- librust-no-panic+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-no-panic-dev
- librust-no-panic-dev (0.1.6-1)
- Attribute macro to require that the compiler prove a function can't ever panic - Rust source code
- librust-nodrop+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop+nodrop-union-dev (0.1.13-1)
- Wrapper type to inhibit drop (destructor) - feature "nodrop-union"
- librust-nodrop+std-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop+use-needs-drop-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop+use-union-dev (0.1.13-1)
- Wrapper type to inhibit drop (destructor) - feature "use_union"
- librust-nodrop-0+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0+nodrop-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+nodrop-union-dev
- librust-nodrop-0+std-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0+use-needs-drop-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0+use-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+use-union-dev
- librust-nodrop-0-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1+nodrop-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+nodrop-union-dev
- librust-nodrop-0.1+std-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1+use-needs-drop-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1+use-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+use-union-dev
- librust-nodrop-0.1-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1.13+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1.13+nodrop-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+nodrop-union-dev
- librust-nodrop-0.1.13+std-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1.13+use-needs-drop-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-0.1.13+use-union-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop+use-union-dev
- librust-nodrop-0.1.13-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-nodrop-dev
- librust-nodrop-dev (0.1.13-1)
- Wrapper type to inhibit drop (destructor) - Rust source code
- librust-nodrop-union+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0.1+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0.1-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0.1.9+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-0.1.9-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-nodrop-union-dev
- librust-nodrop-union-dev (0.1.9-1)
- Wrapper type to inhibit drop (destructor) - Rust source code
- librust-nom+alloc-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom+default-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom+lazy-static-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - feature "lazy_static"
- librust-nom+regex-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - feature "regex"
- librust-nom+regexp-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - feature "regexp"
- librust-nom+regexp-macros-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - feature "regexp_macros"
- librust-nom+std-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - feature "std"
- librust-nom+verbose-errors-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4+alloc-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4+default-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4+lazy-static-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+lazy-static-dev
- librust-nom-4+regex-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regex-dev
- librust-nom-4+regexp-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-dev
- librust-nom-4+regexp-macros-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-macros-dev
- librust-nom-4+std-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4+verbose-errors-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0+alloc-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0+default-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4.0+lazy-static-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+lazy-static-dev
- librust-nom-4.0+regex-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regex-dev
- librust-nom-4.0+regexp-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-dev
- librust-nom-4.0+regexp-macros-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-macros-dev
- librust-nom-4.0+std-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4.0+verbose-errors-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0.0+alloc-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0.0+default-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4.0.0+lazy-static-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+lazy-static-dev
- librust-nom-4.0.0+regex-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regex-dev
- librust-nom-4.0.0+regexp-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-dev
- librust-nom-4.0.0+regexp-macros-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+regexp-macros-dev
- librust-nom-4.0.0+std-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom+std-dev
- librust-nom-4.0.0+verbose-errors-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-4.0.0-dev (= 4.0.0-1)
- virtueel pakket geboden door librust-nom-dev
- librust-nom-dev (4.0.0-1)
- Byte-oriented, zero-copy, parser combinators library - Rust source code
- librust-num-bigint+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint+i128-dev (0.2.0-1)
- Big integer implementation for Rust - feature "i128"
- librust-num-bigint+rand-dev (0.2.0-1)
- Big integer implementation for Rust - feature "rand"
- librust-num-bigint+serde-dev (0.2.0-1)
- Big integer implementation for Rust - feature "serde"
- librust-num-bigint+std-dev (0.2.0-1)
- Big integer implementation for Rust - feature "std"
- librust-num-bigint-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0+i128-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+i128-dev
- librust-num-bigint-0+rand-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+rand-dev
- librust-num-bigint-0+serde-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+serde-dev
- librust-num-bigint-0+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint-dev
- librust-num-bigint-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0.2+i128-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+i128-dev
- librust-num-bigint-0.2+rand-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+rand-dev
- librust-num-bigint-0.2+serde-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+serde-dev
- librust-num-bigint-0.2+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint-dev
- librust-num-bigint-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0.2.0+i128-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+i128-dev
- librust-num-bigint-0.2.0+rand-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+rand-dev
- librust-num-bigint-0.2.0+serde-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+serde-dev
- librust-num-bigint-0.2.0+std-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint+std-dev
- librust-num-bigint-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-num-bigint-dev
- librust-num-bigint-dev (0.2.0-1)
- Big integer implementation for Rust - Rust source code
- librust-num-complex+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex+i128-dev (0.2.1-1)
- Complex numbers implementation for Rust - feature "i128"
- librust-num-complex+rand-dev (0.2.1-1)
- Complex numbers implementation for Rust - feature "rand"
- librust-num-complex+serde-dev (0.2.1-1)
- Complex numbers implementation for Rust - feature "serde"
- librust-num-complex+std-dev (0.2.1-1)
- Complex numbers implementation for Rust - feature "std"
- librust-num-complex-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0+i128-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+i128-dev
- librust-num-complex-0+rand-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+rand-dev
- librust-num-complex-0+serde-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+serde-dev
- librust-num-complex-0+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex-dev
- librust-num-complex-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0.2+i128-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+i128-dev
- librust-num-complex-0.2+rand-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+rand-dev
- librust-num-complex-0.2+serde-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+serde-dev
- librust-num-complex-0.2+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex-dev
- librust-num-complex-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0.2.1+i128-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+i128-dev
- librust-num-complex-0.2.1+rand-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+rand-dev
- librust-num-complex-0.2.1+serde-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+serde-dev
- librust-num-complex-0.2.1+std-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex+std-dev
- librust-num-complex-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-num-complex-dev
- librust-num-complex-dev (0.2.1-1)
- Complex numbers implementation for Rust - Rust source code
- librust-num-cpus+default-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1+default-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1.10+default-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1.10-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1.10.0+default-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-1.10.0-dev (= 1.10.0-1)
- virtueel pakket geboden door librust-num-cpus-dev
- librust-num-cpus-dev (1.10.0-1)
- Get the number of CPUs on a machine - Rust source code
- librust-num-integer+default-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer+i128-dev (0.1.39-1)
- Integer traits and functions - feature "i128"
- librust-num-integer+std-dev (0.1.39-1)
- Integer traits and functions - feature "std"
- librust-num-integer-0+default-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0+i128-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+i128-dev
- librust-num-integer-0+std-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer-dev
- librust-num-integer-0.1+default-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0.1+i128-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+i128-dev
- librust-num-integer-0.1+std-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0.1-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer-dev
- librust-num-integer-0.1.39+default-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0.1.39+i128-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+i128-dev
- librust-num-integer-0.1.39+std-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer+std-dev
- librust-num-integer-0.1.39-dev (= 0.1.39-1)
- virtueel pakket geboden door librust-num-integer-dev
- librust-num-integer-dev (0.1.39-1)
- Integer traits and functions - Rust source code
- librust-num-iter+default-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter+i128-dev (0.1.37-1)
- External iterators for generic mathematics - feature "i128"
- librust-num-iter+std-dev (0.1.37-1)
- External iterators for generic mathematics - feature "std"
- librust-num-iter-0+default-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0+i128-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+i128-dev
- librust-num-iter-0+std-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter-dev
- librust-num-iter-0.1+default-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0.1+i128-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+i128-dev
- librust-num-iter-0.1+std-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0.1-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter-dev
- librust-num-iter-0.1.37+default-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0.1.37+i128-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+i128-dev
- librust-num-iter-0.1.37+std-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter+std-dev
- librust-num-iter-0.1.37-dev (= 0.1.37-1)
- virtueel pakket geboden door librust-num-iter-dev
- librust-num-iter-dev (0.1.37-1)
- External iterators for generic mathematics - Rust source code
- librust-num-traits+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits+i128-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits+std-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0+i128-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0+std-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2+i128-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2+std-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2.5+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2.5+i128-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2.5+std-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-0.2.5-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-num-traits-dev
- librust-num-traits-dev (0.2.5-1)
- Numeric traits for generic mathematics - Rust source code
- librust-number-prefix+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0.2+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0.2-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0.2.8+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-0.2.8-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-number-prefix-dev
- librust-number-prefix-dev (0.2.8-1)
- Formatting numeric prefixes: kilo, giga, kibi - Rust source code
- librust-object+compression-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "compression"
- librust-object+default-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "default"
- librust-object+flate2-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "flate2"
- librust-object+parity-wasm-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "parity-wasm"
- librust-object+std-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "std"
- librust-object+wasm-dev (0.11.0-1)
- Unified interface for parsing object file formats - feature "wasm"
- librust-object-0+compression-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+compression-dev
- librust-object-0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+default-dev
- librust-object-0+flate2-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+flate2-dev
- librust-object-0+parity-wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+parity-wasm-dev
- librust-object-0+std-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+std-dev
- librust-object-0+wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+wasm-dev
- librust-object-0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object-dev
- librust-object-0.11+compression-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+compression-dev
- librust-object-0.11+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+default-dev
- librust-object-0.11+flate2-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+flate2-dev
- librust-object-0.11+parity-wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+parity-wasm-dev
- librust-object-0.11+std-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+std-dev
- librust-object-0.11+wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+wasm-dev
- librust-object-0.11-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object-dev
- librust-object-0.11.0+compression-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+compression-dev
- librust-object-0.11.0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+default-dev
- librust-object-0.11.0+flate2-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+flate2-dev
- librust-object-0.11.0+parity-wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+parity-wasm-dev
- librust-object-0.11.0+std-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+std-dev
- librust-object-0.11.0+wasm-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object+wasm-dev
- librust-object-0.11.0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-object-dev
- librust-object-dev (0.11.0-1)
- Unified interface for parsing object file formats - Rust source code
- librust-opaque-debug+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-opaque-debug-dev
- librust-opaque-debug-dev (0.2.1-1)
- Macro for opaque Debug trait implementation - Rust source code
- librust-opener+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0.3-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-opener-dev
- librust-opener-dev (0.3.2-1)
- Open a file or link using the system default program - Rust source code
- librust-openssl+default-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl+v101-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl+v102-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl+v110-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl+v111-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl+vendored-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+default-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+v101-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+v102-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+v110-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+v111-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0+vendored-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+default-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+v101-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+v102-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+v110-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+v111-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10+vendored-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+default-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+v101-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+v102-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+v110-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+v111-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16+vendored-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-0.10.16-dev (= 0.10.16-1)
- virtueel pakket geboden door librust-openssl-dev
- librust-openssl-dev (0.10.16-1)
- OpenSSL bindings - Rust source code
- librust-openssl-probe+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-openssl-probe-dev
- librust-openssl-probe-dev (0.1.2-1)
- Helping to find SSL certificate locations on the system for OpenSSL - Rust source code
- librust-openssl-sys+default-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys+openssl-src-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys+vendored-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0+default-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0+openssl-src-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0+vendored-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9+default-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9+openssl-src-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9+vendored-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9.40+default-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9.40+openssl-src-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9.40+vendored-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-0.9.40-dev (= 0.9.40-1)
- virtueel pakket geboden door librust-openssl-sys-dev
- librust-openssl-sys-dev (0.9.40-1)
- FFI bindings to OpenSSL - Rust source code
- librust-ordermap+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap+serde-1-dev (0.4.2-1)
- Hash table with consistent order and fast iteration - feature "serde-1"
- librust-ordermap+serde-dev (0.4.2-1)
- Hash table with consistent order and fast iteration - feature "serde"
- librust-ordermap+test-debug-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap+test-low-transition-point-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0+serde-1-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-1-dev
- librust-ordermap-0+serde-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-dev
- librust-ordermap-0+test-debug-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0+test-low-transition-point-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4+serde-1-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-1-dev
- librust-ordermap-0.4+serde-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-dev
- librust-ordermap-0.4+test-debug-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4+test-low-transition-point-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4.2+serde-1-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-1-dev
- librust-ordermap-0.4.2+serde-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap+serde-dev
- librust-ordermap-0.4.2+test-debug-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4.2+test-low-transition-point-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-ordermap-dev
- librust-ordermap-dev (0.4.2-1)
- Hash table with consistent order and fast iteration - Rust source code
- librust-os-pipe+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0.8+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0.8-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0.8.1+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-0.8.1-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-os-pipe-dev
- librust-os-pipe-dev (0.8.1-1)
- Cross-platform library for opening OS pipes - Rust source code
- librust-owning-ref+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-owning-ref-dev
- librust-owning-ref-dev (0.4.0-1)
- Creating references that carry their owner with them - Rust source code
- librust-packed-simd+coresimd-dev (0.3.1-1)
- Portable Packed SIMD vectors - feature "coresimd"
- librust-packed-simd+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd+into-bits-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd+sleef-sys-dev (0.3.1-1)
- Portable Packed SIMD vectors - feature "sleef-sys"
- librust-packed-simd-0+coresimd-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+coresimd-dev
- librust-packed-simd-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0+into-bits-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0+sleef-sys-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+sleef-sys-dev
- librust-packed-simd-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3+coresimd-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+coresimd-dev
- librust-packed-simd-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3+into-bits-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3+sleef-sys-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+sleef-sys-dev
- librust-packed-simd-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3.1+coresimd-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+coresimd-dev
- librust-packed-simd-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3.1+into-bits-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-0.3.1+sleef-sys-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd+sleef-sys-dev
- librust-packed-simd-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-packed-simd-dev
- librust-packed-simd-dev (0.3.1-1)
- Portable Packed SIMD vectors - Rust source code
- librust-pad+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-pad-dev
- librust-pad-dev (0.1.5-1)
- Padding strings at runtime - Rust source code
- librust-pango+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango+dox-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "dox"
- librust-pango+v1-31-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_31"
- librust-pango+v1-32-4-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_32_4"
- librust-pango+v1-32-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_32"
- librust-pango+v1-34-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_34"
- librust-pango+v1-36-7-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_36_7"
- librust-pango+v1-38-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_38"
- librust-pango+v1-40-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango+v1-42-dev (0.5.0-1)
- Rust bindings for the Pango library - feature "v1_42"
- librust-pango-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-0+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+dox-dev
- librust-pango-0+v1-31-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-31-dev
- librust-pango-0+v1-32-4-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-4-dev
- librust-pango-0+v1-32-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-dev
- librust-pango-0+v1-34-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-34-dev
- librust-pango-0+v1-36-7-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-36-7-dev
- librust-pango-0+v1-38-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0+v1-40-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0+v1-42-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-42-dev
- librust-pango-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-0.5+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+dox-dev
- librust-pango-0.5+v1-31-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-31-dev
- librust-pango-0.5+v1-32-4-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-4-dev
- librust-pango-0.5+v1-32-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-dev
- librust-pango-0.5+v1-34-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-34-dev
- librust-pango-0.5+v1-36-7-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-36-7-dev
- librust-pango-0.5+v1-38-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0.5+v1-40-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0.5+v1-42-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-42-dev
- librust-pango-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-0.5.0+dox-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+dox-dev
- librust-pango-0.5.0+v1-31-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-31-dev
- librust-pango-0.5.0+v1-32-4-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-4-dev
- librust-pango-0.5.0+v1-32-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-32-dev
- librust-pango-0.5.0+v1-34-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-34-dev
- librust-pango-0.5.0+v1-36-7-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-36-7-dev
- librust-pango-0.5.0+v1-38-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0.5.0+v1-40-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-38-dev
- librust-pango-0.5.0+v1-42-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango+v1-42-dev
- librust-pango-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-pango-dev
- librust-pango-dev (0.5.0-1)
- Rust bindings for the Pango library - Rust source code
- librust-pango-sys+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-31-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-32-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-36-7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys+v1-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-31-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-32-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-36-7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0+v1-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-31-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-32-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-36-7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7+v1-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+dox-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-31-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-32-4-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-32-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-34-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-36-7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-38-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0+v1-42-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-pango-sys-dev
- librust-pango-sys-dev (0.7.0-1)
- FFI bindings to libpango-1.0 - Rust source code
- librust-pangocairo+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo+dox-dev (0.6.0-1)
- Rust bindings for the PangoCairo library - feature "dox"
- librust-pangocairo+embed-lgpl-docs-dev (0.6.0-1)
- Rust bindings for the PangoCairo library - feature "embed-lgpl-docs"
- librust-pangocairo+gtk-rs-lgpl-docs-dev (0.6.0-1)
- Rust bindings for the PangoCairo library - feature "gtk-rs-lgpl-docs"
- librust-pangocairo+purge-lgpl-docs-dev (0.6.0-1)
- Rust bindings for the PangoCairo library - feature "purge-lgpl-docs"
- librust-pangocairo-0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-0+dox-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+dox-dev
- librust-pangocairo-0+embed-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+embed-lgpl-docs-dev
- librust-pangocairo-0+gtk-rs-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+gtk-rs-lgpl-docs-dev
- librust-pangocairo-0+purge-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+purge-lgpl-docs-dev
- librust-pangocairo-0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-0.6+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-0.6+dox-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+dox-dev
- librust-pangocairo-0.6+embed-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+embed-lgpl-docs-dev
- librust-pangocairo-0.6+gtk-rs-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+gtk-rs-lgpl-docs-dev
- librust-pangocairo-0.6+purge-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+purge-lgpl-docs-dev
- librust-pangocairo-0.6-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-0.6.0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-0.6.0+dox-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+dox-dev
- librust-pangocairo-0.6.0+embed-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+embed-lgpl-docs-dev
- librust-pangocairo-0.6.0+gtk-rs-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+gtk-rs-lgpl-docs-dev
- librust-pangocairo-0.6.0+purge-lgpl-docs-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo+purge-lgpl-docs-dev
- librust-pangocairo-0.6.0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-pangocairo-dev
- librust-pangocairo-dev (0.6.0-1)
- Rust bindings for the PangoCairo library - Rust source code
- librust-pangocairo-sys+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys+dox-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0+dox-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8+dox-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8.0+dox-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-pangocairo-sys-dev
- librust-pangocairo-sys-dev (0.8.0-1)
- FFI bindings to libgtk-3 - Rust source code
- librust-parity-wasm+default-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm+std-dev (0.35.5-1)
- WebAssembly binary format serialization/deserialization/interpreter - feature "std"
- librust-parity-wasm-0+default-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0+std-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm-dev
- librust-parity-wasm-0.35+default-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0.35+std-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0.35-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm-dev
- librust-parity-wasm-0.35.5+default-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0.35.5+std-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm+std-dev
- librust-parity-wasm-0.35.5-dev (= 0.35.5-1)
- virtueel pakket geboden door librust-parity-wasm-dev
- librust-parity-wasm-dev (0.35.5-1)
- WebAssembly binary format serialization/deserialization/interpreter - Rust source code
- librust-pcre2+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-dev
- librust-pcre2-dev (0.1.1-1)
- High level wrapper library for PCRE2 - Rust source code
- librust-pcre2-sys+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-pcre2-sys-dev
- librust-pcre2-sys-dev (0.1.1-1)
- Low level bindings to PCRE2 - Rust source code
- librust-peeking-take-while+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-peeking-take-while-dev
- librust-peeking-take-while-dev (0.1.2-1)
- Peekable Iterator::take_while - Rust source code
- librust-percent-encoding+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1.0+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1.0-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1.0.1+default-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-1.0.1-dev (= 1.0.1-1)
- virtueel pakket geboden door librust-percent-encoding-dev
- librust-percent-encoding-dev (1.0.1-1)
- Percent encoding and decoding - Rust source code
- librust-pest+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2.1+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2.1-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2.1.0+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-2.1.0-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-dev
- librust-pest-dev (2.1.0-1)
- Elegant Parser - Rust source code
- librust-pest-meta+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2.1+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2.1-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2.1.0+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-2.1.0-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-pest-meta-dev
- librust-pest-meta-dev (2.1.0-1)
- Pest meta language parser and validator - Rust source code
- librust-petgraph+all-dev (0.4.13-2)
- Graph data structure library - feature "all"
- librust-petgraph+check-dev (0.4.13-2)
- Graph data structure library - feature "check"
- librust-petgraph+default-dev (0.4.13-2)
- Graph data structure library - feature "default"
- librust-petgraph+generate-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph+graphmap-dev (0.4.13-2)
- Graph data structure library - feature "graphmap"
- librust-petgraph+indexmap-dev (0.4.13-2)
- Graph data structure library - feature "indexmap"
- librust-petgraph+ordermap-dev (0.4.13-2)
- Graph data structure library - feature "ordermap"
- librust-petgraph+quickcheck-dev (0.4.13-2)
- Graph data structure library - feature "quickcheck"
- librust-petgraph+rand-dev (0.4.13-2)
- Graph data structure library - feature "rand"
- librust-petgraph+serde-1-dev (0.4.13-2)
- Graph data structure library - feature "serde-1"
- librust-petgraph+serde-derive-dev (0.4.13-2)
- Graph data structure library - feature "serde_derive"
- librust-petgraph+serde-dev (0.4.13-2)
- Graph data structure library - feature "serde"
- librust-petgraph+stable-graph-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph+unstable-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0+all-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+all-dev
- librust-petgraph-0+check-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+check-dev
- librust-petgraph-0+default-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+default-dev
- librust-petgraph-0+generate-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0+graphmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+graphmap-dev
- librust-petgraph-0+indexmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+indexmap-dev
- librust-petgraph-0+ordermap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+ordermap-dev
- librust-petgraph-0+quickcheck-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+quickcheck-dev
- librust-petgraph-0+rand-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+rand-dev
- librust-petgraph-0+serde-1-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-1-dev
- librust-petgraph-0+serde-derive-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-derive-dev
- librust-petgraph-0+serde-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-dev
- librust-petgraph-0+stable-graph-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0+unstable-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4+all-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+all-dev
- librust-petgraph-0.4+check-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+check-dev
- librust-petgraph-0.4+default-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+default-dev
- librust-petgraph-0.4+generate-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4+graphmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+graphmap-dev
- librust-petgraph-0.4+indexmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+indexmap-dev
- librust-petgraph-0.4+ordermap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+ordermap-dev
- librust-petgraph-0.4+quickcheck-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+quickcheck-dev
- librust-petgraph-0.4+rand-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+rand-dev
- librust-petgraph-0.4+serde-1-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-1-dev
- librust-petgraph-0.4+serde-derive-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-derive-dev
- librust-petgraph-0.4+serde-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-dev
- librust-petgraph-0.4+stable-graph-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4+unstable-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4.13+all-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+all-dev
- librust-petgraph-0.4.13+check-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+check-dev
- librust-petgraph-0.4.13+default-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+default-dev
- librust-petgraph-0.4.13+generate-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4.13+graphmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+graphmap-dev
- librust-petgraph-0.4.13+indexmap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+indexmap-dev
- librust-petgraph-0.4.13+ordermap-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+ordermap-dev
- librust-petgraph-0.4.13+quickcheck-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+quickcheck-dev
- librust-petgraph-0.4.13+rand-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+rand-dev
- librust-petgraph-0.4.13+serde-1-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-1-dev
- librust-petgraph-0.4.13+serde-derive-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-derive-dev
- librust-petgraph-0.4.13+serde-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph+serde-dev
- librust-petgraph-0.4.13+stable-graph-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4.13+unstable-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-0.4.13-dev (= 0.4.13-2)
- virtueel pakket geboden door librust-petgraph-dev
- librust-petgraph-dev (0.4.13-2)
- Graph data structure library - Rust source code
- librust-phf+core-dev (0.7.23-1)
- Runtime support for perfect hash function data structures - feature "core"
- librust-phf+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf+unicase-dev (0.7.23-1)
- Runtime support for perfect hash function data structures - feature "unicase"
- librust-phf-0+core-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+core-dev
- librust-phf-0+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-0+unicase-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+unicase-dev
- librust-phf-0-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-0.7+core-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+core-dev
- librust-phf-0.7+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-0.7+unicase-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+unicase-dev
- librust-phf-0.7-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-0.7.23+core-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+core-dev
- librust-phf-0.7.23+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-0.7.23+unicase-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf+unicase-dev
- librust-phf-0.7.23-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-dev
- librust-phf-codegen+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0.7+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0.7-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0.7.23+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-0.7.23-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-codegen-dev
- librust-phf-codegen-dev (0.7.23-1)
- Codegen library for PHF types - Rust source code
- librust-phf-dev (0.7.23-1)
- Runtime support for perfect hash function data structures - Rust source code
- librust-phf-generator+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0.7+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0.7-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0.7.23+default-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-0.7.23-dev (= 0.7.23-1)
- virtueel pakket geboden door librust-phf-generator-dev
- librust-phf-generator-dev (0.7.23-1)
- PHF generation logic - Rust source code
- librust-phf-shared+core-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared+default-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared+unicase-dev (0.7.23-2)
- Support code shared by PHF libraries - feature "unicase"
- librust-phf-shared-0+core-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0+default-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0+unicase-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared+unicase-dev
- librust-phf-shared-0-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7+core-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7+default-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7+unicase-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared+unicase-dev
- librust-phf-shared-0.7-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7.23+core-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7.23+default-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-0.7.23+unicase-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared+unicase-dev
- librust-phf-shared-0.7.23-dev (= 0.7.23-2)
- virtueel pakket geboden door librust-phf-shared-dev
- librust-phf-shared-dev (0.7.23-2)
- Support code shared by PHF libraries - Rust source code
- librust-pkg-config+default-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0+default-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0.3+default-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0.3-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0.3.14+default-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-0.3.14-dev (= 0.3.14-1)
- virtueel pakket geboden door librust-pkg-config-dev
- librust-pkg-config-dev (0.3.14-1)
- Run pkg-config at build time Cargo build scripts - Rust source code
- librust-pktparse+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse+derive-dev (0.3.0-1)
- Collection of packet parsers - feature "derive"
- librust-pktparse+serde-derive-dev (0.3.0-1)
- Collection of packet parsers - feature "serde_derive"
- librust-pktparse+serde-dev (0.3.0-1)
- Collection of packet parsers - feature "serde"
- librust-pktparse-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-0+derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+derive-dev
- librust-pktparse-0+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-derive-dev
- librust-pktparse-0+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-dev
- librust-pktparse-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-0.3+derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+derive-dev
- librust-pktparse-0.3+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-derive-dev
- librust-pktparse-0.3+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-dev
- librust-pktparse-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-0.3.0+derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+derive-dev
- librust-pktparse-0.3.0+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-derive-dev
- librust-pktparse-0.3.0+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse+serde-dev
- librust-pktparse-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-pktparse-dev
- librust-pktparse-dev (0.3.0-1)
- Collection of packet parsers - Rust source code
- librust-plain+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-plain-dev
- librust-plain-dev (0.2.3-1)
- Small Rust library that allows users to reinterpret data of certain types safely - Rust source code
- librust-pledge+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0.3+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0.3-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0.3.1+default-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-0.3.1-dev (= 0.3.1-1)
- virtueel pakket geboden door librust-pledge-dev
- librust-pledge-dev (0.3.1-1)
- Rust binding to OpenBSD's pledge(2) interface - Rust source code
- librust-pocket-resources+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0.3+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0.3-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0.3.2+default-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-0.3.2-dev (= 0.3.2-1)
- virtueel pakket geboden door librust-pocket-resources-dev
- librust-pocket-resources-dev (0.3.2-1)
- Include resources in your applications - Rust source code
- librust-podio+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-podio-dev
- librust-podio-dev (0.1.6-1)
- Additional trait for Read and Write to read and write Plain Old Data - Rust source code
- librust-precomputed-hash+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-precomputed-hash-dev
- librust-precomputed-hash-dev (0.1.1-1)
- Expose a precomputed hash for a given type - Rust source code
- librust-proc-macro2+default-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2+nightly-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2+proc-macro-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2+span-locations-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0+default-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0+nightly-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0+proc-macro-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0+span-locations-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4+default-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4+nightly-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4+proc-macro-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4+span-locations-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4.27+default-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4.27+nightly-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4.27+proc-macro-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4.27+span-locations-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-0.4.27-dev (= 0.4.27-1)
- virtueel pakket geboden door librust-proc-macro2-dev
- librust-proc-macro2-dev (0.4.27-1)
- Stable implementation of the upcoming new `proc_macro` API - Rust source code
- librust-procedural-masquerade+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-procedural-masquerade-dev
- librust-procedural-masquerade-dev (0.1.6-1)
- proc_macro_derive pretending to be proc_macro - Rust source code
- librust-proptest+alloc-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest+bit-set-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "bit-set"
- librust-proptest+default-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "default"
- librust-proptest+fork-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "fork"
- librust-proptest+nightly-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "nightly"
- librust-proptest+quick-error-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "quick-error"
- librust-proptest+regex-syntax-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "regex-syntax"
- librust-proptest+rusty-fork-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "rusty-fork"
- librust-proptest+std-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "std"
- librust-proptest+tempfile-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "tempfile"
- librust-proptest+timeout-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "timeout"
- librust-proptest+unstable-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - feature "unstable"
- librust-proptest-0+alloc-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-0+bit-set-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+bit-set-dev
- librust-proptest-0+default-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+default-dev
- librust-proptest-0+fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+fork-dev
- librust-proptest-0+nightly-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+nightly-dev
- librust-proptest-0+quick-error-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+quick-error-dev
- librust-proptest-0+regex-syntax-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+regex-syntax-dev
- librust-proptest-0+rusty-fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+rusty-fork-dev
- librust-proptest-0+std-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+std-dev
- librust-proptest-0+tempfile-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+tempfile-dev
- librust-proptest-0+timeout-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+timeout-dev
- librust-proptest-0+unstable-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+unstable-dev
- librust-proptest-0-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-0.8+alloc-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-0.8+bit-set-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+bit-set-dev
- librust-proptest-0.8+default-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+default-dev
- librust-proptest-0.8+fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+fork-dev
- librust-proptest-0.8+nightly-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+nightly-dev
- librust-proptest-0.8+quick-error-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+quick-error-dev
- librust-proptest-0.8+regex-syntax-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+regex-syntax-dev
- librust-proptest-0.8+rusty-fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+rusty-fork-dev
- librust-proptest-0.8+std-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+std-dev
- librust-proptest-0.8+tempfile-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+tempfile-dev
- librust-proptest-0.8+timeout-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+timeout-dev
- librust-proptest-0.8+unstable-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+unstable-dev
- librust-proptest-0.8-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-0.8.7+alloc-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-0.8.7+bit-set-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+bit-set-dev
- librust-proptest-0.8.7+default-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+default-dev
- librust-proptest-0.8.7+fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+fork-dev
- librust-proptest-0.8.7+nightly-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+nightly-dev
- librust-proptest-0.8.7+quick-error-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+quick-error-dev
- librust-proptest-0.8.7+regex-syntax-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+regex-syntax-dev
- librust-proptest-0.8.7+rusty-fork-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+rusty-fork-dev
- librust-proptest-0.8.7+std-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+std-dev
- librust-proptest-0.8.7+tempfile-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+tempfile-dev
- librust-proptest-0.8.7+timeout-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+timeout-dev
- librust-proptest-0.8.7+unstable-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest+unstable-dev
- librust-proptest-0.8.7-dev (= 0.8.7-1)
- virtueel pakket geboden door librust-proptest-dev
- librust-proptest-dev (0.8.7-1)
- Hypothesis-like property-based testing and shrinking - Rust source code
- librust-pulldown-cmark+default-dev (0.2.0-1)
- Pull parser for CommonMark - feature "default"
- librust-pulldown-cmark+gen-tests-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark+getopts-dev (0.2.0-1)
- Pull parser for CommonMark - feature "getopts"
- librust-pulldown-cmark-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+default-dev
- librust-pulldown-cmark-0+gen-tests-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-0+getopts-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+getopts-dev
- librust-pulldown-cmark-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+default-dev
- librust-pulldown-cmark-0.2+gen-tests-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-0.2+getopts-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+getopts-dev
- librust-pulldown-cmark-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+default-dev
- librust-pulldown-cmark-0.2.0+gen-tests-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-0.2.0+getopts-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark+getopts-dev
- librust-pulldown-cmark-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-pulldown-cmark-dev
- librust-pulldown-cmark-dev (0.2.0-1)
- Pull parser for CommonMark - Rust source code
- librust-python27-sys+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys+extension-module-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys+python-2-7-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0+extension-module-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0+python-2-7-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2+extension-module-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2+python-2-7-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2.1+extension-module-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2.1+python-2-7-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-python27-sys-dev
- librust-python27-sys-dev (0.2.1-1)
- FFI Declarations for Python 2.7 - Rust source code
- librust-quick-error+default-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1+default-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1.2+default-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1.2-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1.2.2+default-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-1.2.2-dev (= 1.2.2-1)
- virtueel pakket geboden door librust-quick-error-dev
- librust-quick-error-dev (1.2.2-1)
- Macro which makes error types pleasant to write - Rust source code
- librust-quickcheck+default-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "default"
- librust-quickcheck+env-logger-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "env_logger"
- librust-quickcheck+i128-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "i128"
- librust-quickcheck+log-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "log"
- librust-quickcheck+regex-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "regex"
- librust-quickcheck+unstable-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck+use-logging-dev (0.7.2-2)
- Automatic property based testing with shrinking - feature "use_logging"
- librust-quickcheck-0+default-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+default-dev
- librust-quickcheck-0+env-logger-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+env-logger-dev
- librust-quickcheck-0+i128-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+i128-dev
- librust-quickcheck-0+log-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+log-dev
- librust-quickcheck-0+regex-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+regex-dev
- librust-quickcheck-0+unstable-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-0+use-logging-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+use-logging-dev
- librust-quickcheck-0-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-0.7+default-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+default-dev
- librust-quickcheck-0.7+env-logger-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+env-logger-dev
- librust-quickcheck-0.7+i128-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+i128-dev
- librust-quickcheck-0.7+log-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+log-dev
- librust-quickcheck-0.7+regex-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+regex-dev
- librust-quickcheck-0.7+unstable-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-0.7+use-logging-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+use-logging-dev
- librust-quickcheck-0.7-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-0.7.2+default-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+default-dev
- librust-quickcheck-0.7.2+env-logger-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+env-logger-dev
- librust-quickcheck-0.7.2+i128-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+i128-dev
- librust-quickcheck-0.7.2+log-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+log-dev
- librust-quickcheck-0.7.2+regex-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+regex-dev
- librust-quickcheck-0.7.2+unstable-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-0.7.2+use-logging-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck+use-logging-dev
- librust-quickcheck-0.7.2-dev (= 0.7.2-2)
- virtueel pakket geboden door librust-quickcheck-dev
- librust-quickcheck-dev (0.7.2-2)
- Automatic property based testing with shrinking - Rust source code
- librust-quote+default-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote+proc-macro-dev (0.6.11-1)
- Quasi-quoting macro quote!(...) - feature "proc-macro"
- librust-quote-0+default-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0+proc-macro-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote-dev
- librust-quote-0.6+default-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0.6+proc-macro-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0.6-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote-dev
- librust-quote-0.6.11+default-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0.6.11+proc-macro-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote+proc-macro-dev
- librust-quote-0.6.11-dev (= 0.6.11-1)
- virtueel pakket geboden door librust-quote-dev
- librust-quote-dev (0.6.11-1)
- Quasi-quoting macro quote!(...) - Rust source code
- librust-rand+alloc-dev (0.5.5-2)
- Randomness functionality and number generators - feature "alloc"
- librust-rand+alloc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+alloc-dev
- librust-rand+cloudabi-dev (0.5.5-2)
- Randomness functionality and number generators - feature "cloudabi"
- librust-rand+cloudabi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+cloudabi-dev
- librust-rand+default-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+std-dev, librust-rand+std-dev
- librust-rand+fuchsia-zircon-dev (0.5.5-2)
- Randomness functionality and number generators - feature "fuchsia-zircon"
- librust-rand+fuchsia-zircon-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+fuchsia-zircon-dev
- librust-rand+i128-support-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand+libc-dev (0.5.5-2)
- Randomness functionality and number generators - feature "libc"
- librust-rand+libc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+libc-dev
- librust-rand+log-dev (0.5.5-2)
- Randomness functionality and number generators - feature "log"
- librust-rand+log-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+log-dev
- librust-rand+nightly-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand+serde-derive-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde_derive"
- librust-rand+serde-derive-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde-derive-dev
- librust-rand+serde-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde"
- librust-rand+serde-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde-dev
- librust-rand+serde1-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde1"
- librust-rand+serde1-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde1-dev
- librust-rand+std-dev (0.5.5-2)
- Randomness functionality and number generators - feature "std"
- librust-rand+std-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+std-dev
- librust-rand+stdweb-dev (0.5.5-2)
- Randomness functionality and number generators - feature "stdweb"
- librust-rand+stdweb-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+stdweb-dev
- librust-rand+winapi-dev (0.5.5-2)
- Randomness functionality and number generators - feature "winapi"
- librust-rand+winapi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+winapi-dev
- librust-rand-0+alloc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+alloc-dev, librust-rand+alloc-dev
- librust-rand-0+cloudabi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+cloudabi-dev, librust-rand-0.5+cloudabi-dev
- librust-rand-0+default-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+std-dev, librust-rand-0.5+std-dev
- librust-rand-0+fuchsia-zircon-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+fuchsia-zircon-dev, librust-rand+fuchsia-zircon-dev
- librust-rand-0+i128-support-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand-0+libc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+libc-dev, librust-rand-0.5+libc-dev
- librust-rand-0+log-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+log-dev, librust-rand-0.5+log-dev
- librust-rand-0+nightly-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand-0+serde-derive-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde-derive-dev, librust-rand+serde-derive-dev
- librust-rand-0+serde-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde-dev, librust-rand+serde-dev
- librust-rand-0+serde1-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+serde1-dev, librust-rand-0.5+serde1-dev
- librust-rand-0+std-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+std-dev, librust-rand+std-dev
- librust-rand-0+stdweb-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+stdweb-dev, librust-rand-0.5+stdweb-dev
- librust-rand-0+winapi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+winapi-dev, librust-rand+winapi-dev
- librust-rand-0-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-dev, librust-rand-0.5-dev
- librust-rand-0.5+alloc-dev (0.5.5-2)
- Randomness functionality and number generators - feature "alloc"
- librust-rand-0.5+alloc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+alloc-dev
- librust-rand-0.5+cloudabi-dev (0.5.5-2)
- Randomness functionality and number generators - feature "cloudabi"
- librust-rand-0.5+cloudabi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+cloudabi-dev
- librust-rand-0.5+default-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+std-dev, librust-rand+std-dev
- librust-rand-0.5+fuchsia-zircon-dev (0.5.5-2)
- Randomness functionality and number generators - feature "fuchsia-zircon"
- librust-rand-0.5+fuchsia-zircon-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+fuchsia-zircon-dev
- librust-rand-0.5+i128-support-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand-0.5+libc-dev (0.5.5-2)
- Randomness functionality and number generators - feature "libc"
- librust-rand-0.5+libc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+libc-dev
- librust-rand-0.5+log-dev (0.5.5-2)
- Randomness functionality and number generators - feature "log"
- librust-rand-0.5+log-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+log-dev
- librust-rand-0.5+nightly-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev, librust-rand-dev
- librust-rand-0.5+serde-derive-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde_derive"
- librust-rand-0.5+serde-derive-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+serde-derive-dev
- librust-rand-0.5+serde-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde"
- librust-rand-0.5+serde-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+serde-dev
- librust-rand-0.5+serde1-dev (0.5.5-2)
- Randomness functionality and number generators - feature "serde1"
- librust-rand-0.5+serde1-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+serde1-dev
- librust-rand-0.5+std-dev (0.5.5-2)
- Randomness functionality and number generators - feature "std"
- librust-rand-0.5+std-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+std-dev
- librust-rand-0.5+stdweb-dev (0.5.5-2)
- Randomness functionality and number generators - feature "stdweb"
- librust-rand-0.5+stdweb-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+stdweb-dev
- librust-rand-0.5+winapi-dev (0.5.5-2)
- Randomness functionality and number generators - feature "winapi"
- librust-rand-0.5+winapi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+winapi-dev
- librust-rand-0.5-dev (0.5.5-2)
- Randomness functionality and number generators - Rust source code
- librust-rand-0.5-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-dev
- librust-rand-0.5.5+alloc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+alloc-dev, librust-rand+alloc-dev
- librust-rand-0.5.5+cloudabi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+cloudabi-dev, librust-rand-0.5+cloudabi-dev
- librust-rand-0.5.5+default-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+std-dev, librust-rand+std-dev
- librust-rand-0.5.5+fuchsia-zircon-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+fuchsia-zircon-dev, librust-rand-0.5+fuchsia-zircon-dev
- librust-rand-0.5.5+i128-support-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-dev, librust-rand-0.5-dev
- librust-rand-0.5.5+libc-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+libc-dev, librust-rand+libc-dev
- librust-rand-0.5.5+log-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+log-dev, librust-rand-0.5+log-dev
- librust-rand-0.5.5+nightly-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-dev, librust-rand-0.5-dev
- librust-rand-0.5.5+serde-derive-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde-derive-dev, librust-rand+serde-derive-dev
- librust-rand-0.5.5+serde-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+serde-dev, librust-rand-0.5+serde-dev
- librust-rand-0.5.5+serde1-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+serde1-dev, librust-rand+serde1-dev
- librust-rand-0.5.5+std-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+std-dev, librust-rand-0.5+std-dev
- librust-rand-0.5.5+stdweb-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand+stdweb-dev, librust-rand-0.5+stdweb-dev
- librust-rand-0.5.5+winapi-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5+winapi-dev, librust-rand+winapi-dev
- librust-rand-0.5.5-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-dev, librust-rand-0.5-dev
- librust-rand-chacha+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-chacha-dev
- librust-rand-chacha-dev (0.1.1-1)
- ChaCha random number generator - Rust source code
- librust-rand-core+alloc-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+alloc-dev
- librust-rand-core+alloc-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core+serde-derive-dev (0.3.0-1)
- Core random number generator traits and tools - feature "serde_derive"
- librust-rand-core+serde-dev (0.3.0-1)
- Core random number generator traits and tools - feature "serde"
- librust-rand-core+serde1-dev (0.3.0-1)
- Core random number generator traits and tools - feature "serde1"
- librust-rand-core+serde1-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+serde1-dev
- librust-rand-core+std-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+std-dev
- librust-rand-core+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0+alloc-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+alloc-dev
- librust-rand-core-0+alloc-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-derive-dev
- librust-rand-core-0+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-dev
- librust-rand-core-0+serde1-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+serde1-dev
- librust-rand-core-0+serde1-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde1-dev
- librust-rand-core-0+std-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+std-dev
- librust-rand-core-0+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.2+alloc-dev (0.2.2-1)
- Core random number generator traits and tools - feature "alloc"
- librust-rand-core-0.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core-0.2+serde1-dev (0.2.2-1)
- Core random number generator traits and tools - feature "serde1"
- librust-rand-core-0.2+std-dev (0.2.2-1)
- Core random number generator traits and tools - feature "std"
- librust-rand-core-0.2-dev (0.2.2-1)
- Core random number generator traits and tools - Rust source code
- librust-rand-core-0.2.2+alloc-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+alloc-dev
- librust-rand-core-0.2.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core-0.2.2+serde1-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+serde1-dev
- librust-rand-core-0.2.2+std-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2+std-dev
- librust-rand-core-0.2.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-core-0.3+alloc-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-derive-dev
- librust-rand-core-0.3+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-dev
- librust-rand-core-0.3+serde1-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde1-dev
- librust-rand-core-0.3+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3.0+alloc-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3.0+serde-derive-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-derive-dev
- librust-rand-core-0.3.0+serde-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde-dev
- librust-rand-core-0.3.0+serde1-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core+serde1-dev
- librust-rand-core-0.3.0+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-rand-core-dev
- librust-rand-core-dev (0.3.0-1)
- Core random number generator traits and tools - Rust source code
- librust-rand-core-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-rand-core-0.2-dev
- librust-rand-dev (0.5.5-2)
- Randomness functionality and number generators - Rust source code
- librust-rand-dev (= 0.5.5-2)
- virtueel pakket geboden door librust-rand-0.5-dev
- librust-rand-hc+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-rand-hc-dev
- librust-rand-hc-dev (0.1.0-1)
- HC128 random number generator - Rust source code
- librust-rand-isaac+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac+serde-derive-dev (0.1.1-1)
- ISAAC random number generator - feature "serde_derive"
- librust-rand-isaac+serde-dev (0.1.1-1)
- ISAAC random number generator - feature "serde"
- librust-rand-isaac+serde1-dev (0.1.1-1)
- ISAAC random number generator - feature "serde1"
- librust-rand-isaac-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-0+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-derive-dev
- librust-rand-isaac-0+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-dev
- librust-rand-isaac-0+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde1-dev
- librust-rand-isaac-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-0.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-derive-dev
- librust-rand-isaac-0.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-dev
- librust-rand-isaac-0.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde1-dev
- librust-rand-isaac-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-0.1.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-derive-dev
- librust-rand-isaac-0.1.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde-dev
- librust-rand-isaac-0.1.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac+serde1-dev
- librust-rand-isaac-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-isaac-dev
- librust-rand-isaac-dev (0.1.1-1)
- ISAAC random number generator - Rust source code
- librust-rand-pcg+bincode-dev (0.1.1-1)
- Selected PCG random number generators - feature "bincode"
- librust-rand-pcg+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg+serde-derive-dev (0.1.1-1)
- Selected PCG random number generators - feature "serde_derive"
- librust-rand-pcg+serde-dev (0.1.1-1)
- Selected PCG random number generators - feature "serde"
- librust-rand-pcg+serde1-dev (0.1.1-1)
- Selected PCG random number generators - feature "serde1"
- librust-rand-pcg-0+bincode-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+bincode-dev
- librust-rand-pcg-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-0+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-derive-dev
- librust-rand-pcg-0+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-dev
- librust-rand-pcg-0+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde1-dev
- librust-rand-pcg-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-0.1+bincode-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+bincode-dev
- librust-rand-pcg-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-0.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-derive-dev
- librust-rand-pcg-0.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-dev
- librust-rand-pcg-0.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde1-dev
- librust-rand-pcg-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-0.1.1+bincode-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+bincode-dev
- librust-rand-pcg-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-0.1.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-derive-dev
- librust-rand-pcg-0.1.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde-dev
- librust-rand-pcg-0.1.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg+serde1-dev
- librust-rand-pcg-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-pcg-dev
- librust-rand-pcg-dev (0.1.1-1)
- Selected PCG random number generators - Rust source code
- librust-rand-xorshift+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift+serde-derive-dev (0.1.1-1)
- Xorshift random number generator - feature "serde_derive"
- librust-rand-xorshift+serde-dev (0.1.1-1)
- Xorshift random number generator - feature "serde"
- librust-rand-xorshift+serde1-dev (0.1.1-1)
- Xorshift random number generator - feature "serde1"
- librust-rand-xorshift-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-0+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-derive-dev
- librust-rand-xorshift-0+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-dev
- librust-rand-xorshift-0+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde1-dev
- librust-rand-xorshift-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-0.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-derive-dev
- librust-rand-xorshift-0.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-dev
- librust-rand-xorshift-0.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde1-dev
- librust-rand-xorshift-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-0.1.1+serde-derive-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-derive-dev
- librust-rand-xorshift-0.1.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde-dev
- librust-rand-xorshift-0.1.1+serde1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift+serde1-dev
- librust-rand-xorshift-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-rand-xorshift-dev
- librust-rand-xorshift-dev (0.1.1-1)
- Xorshift random number generator - Rust source code
- librust-rayon+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1.0+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1.0-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1.0.3+default-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-1.0.3-dev (= 1.0.3-1)
- virtueel pakket geboden door librust-rayon-dev
- librust-rayon-core+default-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1+default-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1.4+default-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1.4-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1.4.1+default-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-1.4.1-dev (= 1.4.1-1)
- virtueel pakket geboden door librust-rayon-core-dev
- librust-rayon-core-dev (1.4.1-1)
- Core APIs for Rayon - Rust source code
- librust-rayon-dev (1.0.3-1)
- Simple work-stealing parallelism for Rust - Rust source code
- librust-rctree+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rctree-dev
- librust-rctree-dev (0.2.1-1)
- 'DOM-like' tree implemented using reference counting - Rust source code
- librust-rdrand+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand+std-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0+std-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4+std-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4.0+std-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-rdrand-dev
- librust-rdrand-dev (0.4.0-1)
- Random number generator using rdand and rdseed instructions - Rust source code
- librust-redox-syscall+default-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0+default-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0.1+default-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0.1-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0.1.40+default-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-0.1.40-dev (= 0.1.40-2)
- virtueel pakket geboden door librust-redox-syscall-dev
- librust-redox-syscall-dev (0.1.40-2)
- Access raw Redox system calls - Rust source code
- librust-redox-termios+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0.1.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-0.1.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-redox-termios-dev
- librust-redox-termios-dev (0.1.1-2)
- Access Redox termios functions - Rust source code
- librust-reduce+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-reduce-dev
- librust-reduce-dev (0.1.1-1)
- Fold an iterator without an initial value - Rust source code
- librust-regex+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex+pattern-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex+unstable-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex+use-std-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1+pattern-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1+unstable-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1+use-std-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1+pattern-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1+unstable-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1+use-std-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1.0+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1.0+pattern-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1.0+unstable-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1.0+use-std-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-1.1.0-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-regex-dev
- librust-regex-dev (1.1.0-1)
- Regular expressions for Rust - Rust source code
- librust-regex-syntax+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0.6+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0.6-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0.6.5+default-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-0.6.5-dev (= 0.6.5-1)
- virtueel pakket geboden door librust-regex-syntax-dev
- librust-regex-syntax-dev (0.6.5-1)
- Regular expression parser - Rust source code
- librust-remove-dir-all+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-remove-dir-all-dev
- librust-remove-dir-all-dev (0.5.1-1)
- Safe, reliable implementation of remove_dir_all for Windows - Rust source code
- librust-rgb+default-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb+serde-dev (0.8.11-1)
- `struct RGB` (etc.) for sharing pixels between crates - feature "serde"
- librust-rgb-0+default-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-0+serde-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb+serde-dev
- librust-rgb-0-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-0.8+default-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-0.8+serde-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb+serde-dev
- librust-rgb-0.8-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-0.8.11+default-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-0.8.11+serde-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb+serde-dev
- librust-rgb-0.8.11-dev (= 0.8.11-1)
- virtueel pakket geboden door librust-rgb-dev
- librust-rgb-dev (0.8.11-1)
- `struct RGB` (etc.) for sharing pixels between crates - Rust source code
- librust-roxmltree+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0.4+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0.4-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0.4.1+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-0.4.1-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-roxmltree-dev
- librust-roxmltree-dev (0.4.1-2)
- Represent an XML as a read-only tree - Rust source code
- librust-rustc-demangle+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0.1+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0.1-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0.1.13+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-0.1.13-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-rustc-demangle-dev
- librust-rustc-demangle-dev (0.1.13-1)
- Rust compiler symbol demangling - Rust source code
- librust-rustc-serialize+default-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0+default-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0.3+default-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0.3-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0.3.24+default-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-0.3.24-dev (= 0.3.24-1)
- virtueel pakket geboden door librust-rustc-serialize-dev
- librust-rustc-serialize-dev (0.3.24-1)
- Generic serialization/deserialization support corresponding to the `derive(RustcEncodable, RustcDecodable)` mode in the compiler - Rust source code
- librust-rustc-std-workspace-core+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-std-workspace-core-dev
- librust-rustc-std-workspace-core-dev (1.0.0-1)
- Explicitly empty crate for rust-lang/rust integration - Rust source code
- librust-rustc-version+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-rustc-version-dev
- librust-rustc-version-dev (0.2.3-1)
- Querying the version of a installed rustc compiler - Rust source code
- librust-rustc-workspace-hack+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rustc-workspace-hack-dev
- librust-rustc-workspace-hack-dev (1.0.0-1)
- Hack for the compiler's own build system - Rust source code
- librust-rustdoc-stripper+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-rustdoc-stripper-dev
- librust-rustdoc-stripper-dev (0.1.5-1)
- Manipulate rustdoc comments - Rust source code
- librust-rustfix+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-rustfix-dev
- librust-rustfix-dev (0.4.2-1)
- Automatically apply the suggestions made by rustc - Rust source code
- librust-rusticata-macros+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-rusticata-macros-dev
- librust-rusticata-macros-dev (1.0.0-1)
- Helper macros for Rusticata - Rust source code
- librust-rusty-fork+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork+timeout-dev (0.2.1-1)
- Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "timeout"
- librust-rusty-fork+wait-timeout-dev (0.2.1-1)
- Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "wait-timeout"
- librust-rusty-fork-0+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0+timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0+wait-timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+wait-timeout-dev
- librust-rusty-fork-0-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork-dev
- librust-rusty-fork-0.2+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0.2+timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0.2+wait-timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+wait-timeout-dev
- librust-rusty-fork-0.2-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork-dev
- librust-rusty-fork-0.2.1+default-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0.2.1+timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+timeout-dev
- librust-rusty-fork-0.2.1+wait-timeout-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork+wait-timeout-dev
- librust-rusty-fork-0.2.1-dev (= 0.2.1-1)
- virtueel pakket geboden door librust-rusty-fork-dev
- librust-rusty-fork-dev (0.2.1-1)
- Cross-platform library for running Rust tests in sub-processes using a fork-like interface - Rust source code
- librust-rustyline+default-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3+default-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3.0+default-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3.0-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3.0.0+default-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-3.0.0-dev (= 3.0.0-2+deb10u3)
- virtueel pakket geboden door librust-rustyline-dev
- librust-rustyline-dev (3.0.0-2+deb10u3)
- Readline implementation based on Antirez's Linenoise - Rust source code
- librust-ryu+default-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu+no-panic-dev (0.2.7-1)
- Fast floating point to string conversion - feature "no-panic"
- librust-ryu+small-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0+default-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0+no-panic-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu+no-panic-dev
- librust-ryu-0+small-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2+default-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2+no-panic-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu+no-panic-dev
- librust-ryu-0.2+small-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2.7+default-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2.7+no-panic-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu+no-panic-dev
- librust-ryu-0.2.7+small-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-0.2.7-dev (= 0.2.7-1)
- virtueel pakket geboden door librust-ryu-dev
- librust-ryu-dev (0.2.7-1)
- Fast floating point to string conversion - Rust source code
- librust-safemem+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3.0+std-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-safemem-dev
- librust-safemem-dev (0.3.0-1)
- Safe wrappers for memory-accessing functions, like `std::ptr::copy()` - Rust source code
- librust-same-file+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1.0+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1.0-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1.0.4+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-1.0.4-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-same-file-dev
- librust-same-file-dev (1.0.4-1)
- Simple crate for determining whether two file paths point to the same file - Rust source code
- librust-schannel+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0.1+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0.1-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0.1.13+default-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-0.1.13-dev (= 0.1.13-1)
- virtueel pakket geboden door librust-schannel-dev
- librust-schannel-dev (0.1.13-1)
- Schannel bindings for rust, allowing SSL/TLS (e.g - Rust source code
- librust-scoped-threadpool+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool+nightly-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0+nightly-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1+nightly-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1.9+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1.9+nightly-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-0.1.9-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-scoped-threadpool-dev
- librust-scoped-threadpool-dev (0.1.9-1)
- Scoped and cached threadpools - Rust source code
- librust-scoped-tls+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls+nightly-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0+nightly-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1+nightly-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1.2+nightly-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-scoped-tls-dev
- librust-scoped-tls-dev (0.1.2-1)
- Library implementation of the standard library's old `scoped_thread_local!` macro for providing scoped access to thread local storage (TLS) so any type can be stored into TLS - Rust source code
- librust-scopeguard+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard+use-std-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0+use-std-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3+use-std-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3.3+use-std-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-0.3.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-scopeguard-dev
- librust-scopeguard-dev (0.3.3-1)
- RAII scope guard that will run a given closure when it goes out of scope, even if the code between panics (assuming unwinding panic) - Rust source code
- librust-scroll+default-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll+derive-dev (0.9.2-1)
- Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - feature "derive"
- librust-scroll+scroll-derive-dev (0.9.2-1)
- Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - feature "scroll_derive"
- librust-scroll+std-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0+default-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0+derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+derive-dev
- librust-scroll-0+scroll-derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+scroll-derive-dev
- librust-scroll-0+std-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9+default-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9+derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+derive-dev
- librust-scroll-0.9+scroll-derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+scroll-derive-dev
- librust-scroll-0.9+std-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9.2+default-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9.2+derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+derive-dev
- librust-scroll-0.9.2+scroll-derive-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll+scroll-derive-dev
- librust-scroll-0.9.2+std-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-0.9.2-dev (= 0.9.2-1)
- virtueel pakket geboden door librust-scroll-dev
- librust-scroll-derive+default-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0+default-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0.9+default-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0.9-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0.9.5+default-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-0.9.5-dev (= 0.9.5-1)
- virtueel pakket geboden door librust-scroll-derive-dev
- librust-scroll-derive-dev (0.9.5-1)
- Macros 1.1 derive implementation for Pread and Pwrite traits from the scroll crate - Rust source code
- librust-scroll-dev (0.9.2-1)
- Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - Rust source code
- librust-seccomp-sys+default-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0+default-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0.1+default-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0.1-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0.1.2+default-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-0.1.2-dev (= 0.1.2-2)
- virtueel pakket geboden door librust-seccomp-sys-dev
- librust-seccomp-sys-dev (0.1.2-2)
- Low-level bindings to libseccomp - Rust source code
- librust-semver+ci-dev (0.9.0-1)
- Semantic version parsing and comparison - feature "ci"
- librust-semver+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver+serde-dev (0.9.0-1)
- Semantic version parsing and comparison - feature "serde"
- librust-semver-0+ci-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+ci-dev
- librust-semver-0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-0+serde-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+serde-dev
- librust-semver-0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-0.9+ci-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+ci-dev
- librust-semver-0.9+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-0.9+serde-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+serde-dev
- librust-semver-0.9-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-0.9.0+ci-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+ci-dev
- librust-semver-0.9.0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-0.9.0+serde-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver+serde-dev
- librust-semver-0.9.0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-dev
- librust-semver-dev (0.9.0-1)
- Semantic version parsing and comparison - Rust source code
- librust-semver-parser+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser-0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser-0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser-0.7-dev (0.7.0-1)
- Parsing of the semver spec - Rust source code
- librust-semver-parser-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-semver-parser-0.9+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0.9-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0.9.0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-0.9.0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-semver-parser-dev
- librust-semver-parser-dev (0.9.0-1)
- Parsing of the semver spec - Rust source code
- librust-semver-parser-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-semver-parser-0.7-dev
- librust-separator+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-separator-dev
- librust-separator-dev (0.4.0-1)
- Formats numbers with thousands separators - Rust source code
- librust-serde+alloc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde+derive-dev (1.0.87-1)
- Generic serialization/deserialization framework - feature "derive"
- librust-serde+rc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde+serde-derive-dev (1.0.87-1)
- Generic serialization/deserialization framework - feature "serde_derive"
- librust-serde+std-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde+unstable-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1+alloc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1+derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+derive-dev
- librust-serde-1+rc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1+serde-derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+serde-derive-dev
- librust-serde-1+std-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1+unstable-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0+alloc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0+derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+derive-dev
- librust-serde-1.0+rc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0+serde-derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+serde-derive-dev
- librust-serde-1.0+std-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0+unstable-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87+alloc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87+derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+derive-dev
- librust-serde-1.0.87+rc-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87+serde-derive-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde+serde-derive-dev
- librust-serde-1.0.87+std-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87+unstable-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-1.0.87-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-dev
- librust-serde-derive+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive+deserialize-in-place-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1+deserialize-in-place-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0+deserialize-in-place-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0.87+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0.87+deserialize-in-place-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-1.0.87-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-derive-dev
- librust-serde-derive-dev (1.0.87-1)
- Macros 1.1 implementation of #[derive(Serialize, Deserialize)] - Rust source code
- librust-serde-dev (1.0.87-1)
- Generic serialization/deserialization framework - Rust source code
- librust-serde-ignored+default-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0+default-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0.0+default-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0.0-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0.0.4+default-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-0.0.4-dev (= 0.0.4-1)
- virtueel pakket geboden door librust-serde-ignored-dev
- librust-serde-ignored-dev (0.0.4-1)
- Find out about keys that are ignored when deserializing data - Rust source code
- librust-serde-json+arbitrary-precision-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json+default-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json+indexmap-dev (1.0.38-1)
- JSON serialization file format - feature "indexmap"
- librust-serde-json+preserve-order-dev (1.0.38-1)
- JSON serialization file format - feature "preserve_order"
- librust-serde-json+raw-value-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json+unbounded-depth-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1+arbitrary-precision-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1+default-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1+indexmap-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+indexmap-dev
- librust-serde-json-1+preserve-order-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+preserve-order-dev
- librust-serde-json-1+raw-value-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1+unbounded-depth-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0+arbitrary-precision-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0+default-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0+indexmap-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+indexmap-dev
- librust-serde-json-1.0+preserve-order-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+preserve-order-dev
- librust-serde-json-1.0+raw-value-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0+unbounded-depth-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0.38+arbitrary-precision-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0.38+default-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0.38+indexmap-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+indexmap-dev
- librust-serde-json-1.0.38+preserve-order-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json+preserve-order-dev
- librust-serde-json-1.0.38+raw-value-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0.38+unbounded-depth-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-1.0.38-dev (= 1.0.38-1)
- virtueel pakket geboden door librust-serde-json-dev
- librust-serde-json-dev (1.0.38-1)
- JSON serialization file format - Rust source code
- librust-serde-test+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1.0+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1.0-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1.0.87+default-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-1.0.87-dev (= 1.0.87-1)
- virtueel pakket geboden door librust-serde-test-dev
- librust-serde-test-dev (1.0.87-1)
- Token De/Serializer for testing De/Serialize implementations - Rust source code
- librust-serde-urlencoded+default-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0+default-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0.5+default-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0.5-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0.5.2+default-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-0.5.2-dev (= 0.5.2-1)
- virtueel pakket geboden door librust-serde-urlencoded-dev
- librust-serde-urlencoded-dev (0.5.2-1)
- `x-www-form-urlencoded` meets Serde - Rust source code
- librust-servo-arc+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc+serde-dev (0.1.1-1)
- std::sync::Arc with some extra functionality - feature "serde"
- librust-servo-arc+servo-dev (0.1.1-1)
- std::sync::Arc with some extra functionality - feature "servo"
- librust-servo-arc-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-0+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+serde-dev
- librust-servo-arc-0+servo-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+servo-dev
- librust-servo-arc-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-0.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+serde-dev
- librust-servo-arc-0.1+servo-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+servo-dev
- librust-servo-arc-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-0.1.1+serde-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+serde-dev
- librust-servo-arc-0.1.1+servo-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc+servo-dev
- librust-servo-arc-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-servo-arc-dev
- librust-servo-arc-dev (0.1.1-1)
- std::sync::Arc with some extra functionality - Rust source code
- librust-sha1+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1+serde-dev (0.6.0-1)
- Minimal implementation of SHA1 for Rust - feature "serde"
- librust-sha1+std-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0+serde-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1+serde-dev
- librust-sha1-0+std-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6+serde-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1+serde-dev
- librust-sha1-0.6+std-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6.0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6.0+serde-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1+serde-dev
- librust-sha1-0.6.0+std-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-0.6.0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-sha1-dev
- librust-sha1-dev (0.6.0-1)
- Minimal implementation of SHA1 for Rust - Rust source code
- librust-sha2+asm-dev (0.8.0-1)
- SHA-2 hash functions - feature "asm"
- librust-sha2+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2+sha2-asm-dev (0.8.0-1)
- SHA-2 hash functions - feature "sha2-asm"
- librust-sha2+std-dev (0.8.0-1)
- SHA-2 hash functions - feature "std"
- librust-sha2-0+asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+asm-dev
- librust-sha2-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0+sha2-asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+sha2-asm-dev
- librust-sha2-0+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2-dev
- librust-sha2-0.8+asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+asm-dev
- librust-sha2-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0.8+sha2-asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+sha2-asm-dev
- librust-sha2-0.8+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2-dev
- librust-sha2-0.8.0+asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+asm-dev
- librust-sha2-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0.8.0+sha2-asm-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+sha2-asm-dev
- librust-sha2-0.8.0+std-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2+std-dev
- librust-sha2-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sha2-dev
- librust-sha2-asm+default-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0+default-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0.5+default-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0.5-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0.5.1+default-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-0.5.1-dev (= 0.5.1-3)
- virtueel pakket geboden door librust-sha2-asm-dev
- librust-sha2-asm-dev (0.5.1-3)
- Assembly implementation of SHA-2 compression functions - Rust source code
- librust-sha2-dev (0.8.0-1)
- SHA-2 hash functions - Rust source code
- librust-shared-child+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0.3.3+default-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-0.3.3-dev (= 0.3.3-1)
- virtueel pakket geboden door librust-shared-child-dev
- librust-shared-child-dev (0.3.3-1)
- Using child processes from multiple threads - Rust source code
- librust-shell-escape+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-shell-escape-dev
- librust-shell-escape-dev (0.1.4-1)
- Escape characters that may have a special meaning in a shell - Rust source code
- librust-shellwords+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-shellwords-dev
- librust-shellwords-dev (1.0.0-1)
- Word parsing rules of the UNIX Bourne shell - Rust source code
- librust-shlex+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-shlex-dev
- librust-shlex-dev (0.1.1-1)
- Split a string into shell words, like Python's shlex - Rust source code
- librust-simd+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd+doc-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd+serde-derive-dev (0.2.4-1)
- Cross-platform access to SIMD instructions - feature "serde_derive"
- librust-simd+serde-dev (0.2.4-1)
- Cross-platform access to SIMD instructions - feature "serde"
- librust-simd+with-serde-dev (0.2.4-1)
- Cross-platform access to SIMD instructions - feature "with-serde"
- librust-simd-0+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0+doc-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0+serde-derive-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-derive-dev
- librust-simd-0+serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-dev
- librust-simd-0+with-serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+with-serde-dev
- librust-simd-0-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2+doc-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2+serde-derive-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-derive-dev
- librust-simd-0.2+serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-dev
- librust-simd-0.2+with-serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+with-serde-dev
- librust-simd-0.2-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2.4+default-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2.4+doc-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-0.2.4+serde-derive-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-derive-dev
- librust-simd-0.2.4+serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+serde-dev
- librust-simd-0.2.4+with-serde-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd+with-serde-dev
- librust-simd-0.2.4-dev (= 0.2.4-1)
- virtueel pakket geboden door librust-simd-dev
- librust-simd-dev (0.2.4-1)
- Cross-platform access to SIMD instructions - Rust source code
- librust-simplecss+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-simplecss-dev
- librust-simplecss-dev (0.1.0-1)
- Very simple CSS 2.1 tokenizer - Rust source code
- librust-siphasher+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0.2+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0.2-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0.2.3+default-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-0.2.3-dev (= 0.2.3-1)
- virtueel pakket geboden door librust-siphasher-dev
- librust-siphasher-dev (0.2.3-1)
- SipHash functions from rust-core < 1.13 - Rust source code
- librust-slab+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0.4+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0.4-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0.4.1+default-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-0.4.1-dev (= 0.4.1-1)
- virtueel pakket geboden door librust-slab-dev
- librust-slab-dev (0.4.1-1)
- Pre-allocated storage for a uniform data type - Rust source code
- librust-sleef-sys+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys+dft-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0+dft-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1+dft-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1.1+default-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1.1+dft-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-0.1.1-dev (= 0.1.1-2)
- virtueel pakket geboden door librust-sleef-sys-dev
- librust-sleef-sys-dev (0.1.1-2)
- Rust FFI bindings to the SLEEF Vectorized Math Library - Rust source code
- librust-slog+default-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+dynamic-keys-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+erased-serde-dev (2.4.1-1)
- Structured, extensible, composable logging for Rust - feature "erased-serde"
- librust-slog+max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+nested-values-dev (2.4.1-1)
- Structured, extensible, composable logging for Rust - feature "nested-values"
- librust-slog+release-max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+release-max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+release-max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+release-max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+release-max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+release-max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog+std-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+default-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+dynamic-keys-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+erased-serde-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+erased-serde-dev
- librust-slog-2+max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+nested-values-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+nested-values-dev
- librust-slog-2+release-max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+release-max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+release-max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+release-max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+release-max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+release-max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2+std-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+default-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+dynamic-keys-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+erased-serde-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+erased-serde-dev
- librust-slog-2.4+max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+nested-values-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+nested-values-dev
- librust-slog-2.4+release-max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+release-max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+release-max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+release-max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+release-max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+release-max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4+std-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+default-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+dynamic-keys-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+erased-serde-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+erased-serde-dev
- librust-slog-2.4.1+max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+nested-values-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog+nested-values-dev
- librust-slog-2.4.1+release-max-level-debug-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+release-max-level-error-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+release-max-level-info-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+release-max-level-off-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+release-max-level-trace-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+release-max-level-warn-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1+std-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-2.4.1-dev (= 2.4.1-1)
- virtueel pakket geboden door librust-slog-dev
- librust-slog-dev (2.4.1-1)
- Structured, extensible, composable logging for Rust - Rust source code
- librust-smallvec+default-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec+may-dangle-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec+serde-dev (0.6.8-1)
- Store up to a small number of items on the stack - feature "serde"
- librust-smallvec+specialization-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec+std-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec+union-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0+default-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0+may-dangle-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0+serde-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec+serde-dev
- librust-smallvec-0+specialization-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0+std-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0+union-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6+default-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6+may-dangle-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6+serde-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec+serde-dev
- librust-smallvec-0.6+specialization-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6+std-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6+union-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8+default-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8+may-dangle-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8+serde-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec+serde-dev
- librust-smallvec-0.6.8+specialization-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8+std-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8+union-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-0.6.8-dev (= 0.6.8-1)
- virtueel pakket geboden door librust-smallvec-dev
- librust-smallvec-dev (0.6.8-1)
- Store up to a small number of items on the stack - Rust source code
- librust-socket2+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2+pair-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2+reuseport-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2+unix-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0+pair-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0+reuseport-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0+unix-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3+pair-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3+reuseport-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3+unix-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3.7+default-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3.7+pair-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3.7+reuseport-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3.7+unix-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-0.3.7-dev (= 0.3.7-1)
- virtueel pakket geboden door librust-socket2-dev
- librust-socket2-dev (0.3.7-1)
- Utilities for handling networking sockets with a maximal amount of configuration possible intended - Rust source code
- librust-spin+const-fn-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin+once-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin+unstable-dev (0.4.10-1)
- Synchronization primitives based on spinning - feature "unstable"
- librust-spin-0+const-fn-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0+once-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0+unstable-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4+const-fn-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0.4+once-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4+unstable-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0.4-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4.10+const-fn-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4.10+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0.4.10+once-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-0.4.10+unstable-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin+unstable-dev
- librust-spin-0.4.10-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-spin-dev
- librust-spin-dev (0.4.10-1)
- Synchronization primitives based on spinning - Rust source code
- librust-stable-deref-trait+alloc-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait+std-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1+alloc-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1+std-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1+alloc-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1+std-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1.1+alloc-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1.1+default-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1.1+std-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-1.1.1-dev (= 1.1.1-1)
- virtueel pakket geboden door librust-stable-deref-trait-dev
- librust-stable-deref-trait-dev (1.1.1-1)
- Unsafe marker trait for types that deref to a stable address - Rust source code
- librust-stdweb+default-dev (0.4.13-1)
- Standard library for the client-side Web - feature "default"
- librust-stdweb+docs-rs-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb+serde-dev (0.4.13-1)
- Standard library for the client-side Web - feature "serde"
- librust-stdweb+serde-json-dev (0.4.13-1)
- Standard library for the client-side Web - feature "serde_json"
- librust-stdweb+web-test-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0+default-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+default-dev
- librust-stdweb-0+docs-rs-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0+serde-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-dev
- librust-stdweb-0+serde-json-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-json-dev
- librust-stdweb-0+web-test-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4+default-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+default-dev
- librust-stdweb-0.4+docs-rs-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4+serde-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-dev
- librust-stdweb-0.4+serde-json-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-json-dev
- librust-stdweb-0.4+web-test-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4.13+default-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+default-dev
- librust-stdweb-0.4.13+docs-rs-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4.13+serde-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-dev
- librust-stdweb-0.4.13+serde-json-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb+serde-json-dev
- librust-stdweb-0.4.13+web-test-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-0.4.13-dev (= 0.4.13-1)
- virtueel pakket geboden door librust-stdweb-dev
- librust-stdweb-derive+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-stdweb-derive-dev
- librust-stdweb-derive-dev (0.5.1-1)
- Derive macros for the `stdweb` crate - Rust source code
- librust-stdweb-dev (0.4.13-1)
- Standard library for the client-side Web - Rust source code
- librust-stdweb-internal-macros+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0.2+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0.2-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0.2.5+default-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-0.2.5-dev (= 0.2.5-1)
- virtueel pakket geboden door librust-stdweb-internal-macros-dev
- librust-stdweb-internal-macros-dev (0.2.5-1)
- Internal procedural macros for the `stdweb` crate - Rust source code
- librust-stdweb-internal-runtime+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime+docs-rs-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0+docs-rs-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1+docs-rs-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1.3+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1.3+docs-rs-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-0.1.3-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-stdweb-internal-runtime-dev
- librust-stdweb-internal-runtime-dev (0.1.3-1)
- Internal runtime for the `stdweb` crate - Rust source code
- librust-string+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0.1+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0.1-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0.1.3+default-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-0.1.3-dev (= 0.1.3-1)
- virtueel pakket geboden door librust-string-dev
- librust-string-cache+default-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache+log-events-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache+unstable-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0+default-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0+log-events-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0+unstable-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7+default-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7+log-events-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7+unstable-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7.3+default-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7.3+log-events-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7.3+unstable-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-0.7.3-dev (= 0.7.3-1)
- virtueel pakket geboden door librust-string-cache-dev
- librust-string-cache-codegen+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-string-cache-codegen-dev
- librust-string-cache-codegen-dev (0.4.2-1)
- Codegen library for string-cache - Rust source code
- librust-string-cache-dev (0.7.3-1)
- Rust string interning library - Rust source code
- librust-string-cache-shared+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-string-cache-shared-dev
- librust-string-cache-shared-dev (0.3.0-1)
- Code share between string_cache and string_cache_codegen - Rust source code
- librust-string-dev (0.1.3-1)
- UTF-8 encoded string with configurable byte storage - Rust source code
- librust-stringprep+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-stringprep-dev
- librust-stringprep-dev (0.1.2-1)
- The stringprep algorithm - Rust source code
- librust-strsim+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0.7+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0.7-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0.7.0+default-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-0.7.0-dev (= 0.7.0-1)
- virtueel pakket geboden door librust-strsim-dev
- librust-strsim-dev (0.7.0-1)
- Implementations of string similarity metrics - Rust source code
- librust-structopt+color-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "color"
- librust-structopt+debug-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "debug"
- librust-structopt+default-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "default"
- librust-structopt+doc-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "doc"
- librust-structopt+nightly-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "nightly"
- librust-structopt+no-cargo-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "no_cargo"
- librust-structopt+suggestions-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "suggestions"
- librust-structopt+wrap-help-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "wrap_help"
- librust-structopt+yaml-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "yaml"
- librust-structopt-0+color-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+color-dev
- librust-structopt-0+debug-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+debug-dev
- librust-structopt-0+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+default-dev
- librust-structopt-0+doc-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+doc-dev
- librust-structopt-0+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+nightly-dev
- librust-structopt-0+no-cargo-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+no-cargo-dev
- librust-structopt-0+suggestions-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+suggestions-dev
- librust-structopt-0+wrap-help-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+wrap-help-dev
- librust-structopt-0+yaml-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+yaml-dev
- librust-structopt-0-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-dev
- librust-structopt-0.2+color-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+color-dev
- librust-structopt-0.2+debug-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+debug-dev
- librust-structopt-0.2+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+default-dev
- librust-structopt-0.2+doc-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+doc-dev
- librust-structopt-0.2+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+nightly-dev
- librust-structopt-0.2+no-cargo-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+no-cargo-dev
- librust-structopt-0.2+suggestions-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+suggestions-dev
- librust-structopt-0.2+wrap-help-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+wrap-help-dev
- librust-structopt-0.2+yaml-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+yaml-dev
- librust-structopt-0.2-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-dev
- librust-structopt-0.2.14+color-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+color-dev
- librust-structopt-0.2.14+debug-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+debug-dev
- librust-structopt-0.2.14+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+default-dev
- librust-structopt-0.2.14+doc-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+doc-dev
- librust-structopt-0.2.14+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+nightly-dev
- librust-structopt-0.2.14+no-cargo-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+no-cargo-dev
- librust-structopt-0.2.14+suggestions-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+suggestions-dev
- librust-structopt-0.2.14+wrap-help-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+wrap-help-dev
- librust-structopt-0.2.14+yaml-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt+yaml-dev
- librust-structopt-0.2.14-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-dev
- librust-structopt-derive+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive+nightly-dev (0.2.14-1)
- Parse command line argument by defining a struct - feature "nightly"
- librust-structopt-derive-0+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-0+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive+nightly-dev
- librust-structopt-derive-0-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-0.2+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-0.2+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive+nightly-dev
- librust-structopt-derive-0.2-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-0.2.14+default-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-0.2.14+nightly-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive+nightly-dev
- librust-structopt-derive-0.2.14-dev (= 0.2.14-1)
- virtueel pakket geboden door librust-structopt-derive-dev
- librust-structopt-derive-dev (0.2.14-1)
- Parse command line argument by defining a struct - Rust source code
- librust-structopt-dev (0.2.14-1)
- Parse command line argument by defining a struct - Rust source code
- librust-subtle+bench-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle+nightly-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle+std-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0+bench-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0+nightly-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0+std-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9+bench-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9+nightly-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9+std-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9.0+bench-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9.0+default-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9.0+nightly-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9.0+std-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-0.9.0-dev (= 0.9.0-1)
- virtueel pakket geboden door librust-subtle-dev
- librust-subtle-dev (0.9.0-1)
- Pure-Rust traits and utilities for constant-time cryptography - Rust source code
- librust-svgdom+default-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0+default-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0.16+default-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0.16-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0.16.0+default-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-0.16.0-dev (= 0.16.0-1)
- virtueel pakket geboden door librust-svgdom-dev
- librust-svgdom-dev (0.16.0-1)
- Represent an SVG as a DOM - Rust source code
- librust-svgtypes+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0.4+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0.4-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0.4.1+default-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-0.4.1-dev (= 0.4.1-2)
- virtueel pakket geboden door librust-svgtypes-dev
- librust-svgtypes-dev (0.4.1-2)
- SVG types parser and writer - Rust source code
- librust-syn+clone-impls-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn+default-dev (0.15.26-1)
- Parser for Rust source code - feature "default"
- librust-syn+derive-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- virtueel pakket geboden door librust-syn-dev
- librust-syn+fold-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn+full-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn+parsing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn+printing-dev (0.15.26-1)
- Parser for Rust source code - feature "printing"
- librust-syn+proc-macro-dev (0.15.26-1)
- Parser for Rust source code - feature "proc-macro"
- librust-syn+quote-dev (0.15.26-1)
- Parser for Rust source code - feature "quote"
- librust-syn+visit-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn+visit-mut-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+clone-impls-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+default-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+default-dev
- librust-syn-0+derive-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+fold-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+full-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+parsing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+printing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+printing-dev
- librust-syn-0+proc-macro-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+proc-macro-dev
- librust-syn-0+quote-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+quote-dev
- librust-syn-0+visit-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0+visit-mut-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+clone-impls-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+default-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+default-dev
- librust-syn-0.15+derive-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+fold-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+full-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+parsing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+printing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+printing-dev
- librust-syn-0.15+proc-macro-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+proc-macro-dev
- librust-syn-0.15+quote-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+quote-dev
- librust-syn-0.15+visit-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15+visit-mut-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+clone-impls-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+default-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+default-dev
- librust-syn-0.15.26+derive-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+fold-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+full-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+parsing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+printing-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+printing-dev
- librust-syn-0.15.26+proc-macro-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+proc-macro-dev
- librust-syn-0.15.26+quote-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn+quote-dev
- librust-syn-0.15.26+visit-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26+visit-mut-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-0.15.26-dev (= 0.15.26-1)
- virtueel pakket geboden door librust-syn-dev
- librust-syn-dev (0.15.26-1)
- Parser for Rust source code - Rust source code
- librust-synstructure+default-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure+simple-derive-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0+default-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0+simple-derive-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10+default-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10+simple-derive-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10.1+default-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10.1+simple-derive-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-0.10.1-dev (= 0.10.1-1)
- virtueel pakket geboden door librust-synstructure-dev
- librust-synstructure-dev (0.10.1-1)
- Helper methods and macros for custom derives - Rust source code
- librust-syntex-errors+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0.59+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0.59-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0.59.1+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-0.59.1-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-errors-dev
- librust-syntex-errors-dev (0.59.1-1)
- Backport of librustc_errors - Rust source code
- librust-syntex-pos+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0.59+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0.59-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0.59.1+default-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-0.59.1-dev (= 0.59.1-1)
- virtueel pakket geboden door librust-syntex-pos-dev
- librust-syntex-pos-dev (0.59.1-1)
- Backport of libsyntax_pos - Rust source code
- librust-syscallz+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-syscallz-dev
- librust-syscallz-dev (0.8.0-1)
- Simple seccomp library for rust - Rust source code
- librust-sysinfo+c-interface-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0+c-interface-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8+c-interface-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8.0+c-interface-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-sysinfo-dev
- librust-sysinfo-dev (0.8.0-1)
- Handle processes - Rust source code
- librust-tabwriter+ansi-formatting-dev (1.1.0-1)
- Elastic tabstops - feature "ansi_formatting"
- librust-tabwriter+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter+lazy-static-dev (1.1.0-1)
- Elastic tabstops - feature "lazy_static"
- librust-tabwriter+regex-dev (1.1.0-1)
- Elastic tabstops - feature "regex"
- librust-tabwriter-1+ansi-formatting-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+ansi-formatting-dev
- librust-tabwriter-1+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-1+lazy-static-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+lazy-static-dev
- librust-tabwriter-1+regex-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+regex-dev
- librust-tabwriter-1-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-1.1+ansi-formatting-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+ansi-formatting-dev
- librust-tabwriter-1.1+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-1.1+lazy-static-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+lazy-static-dev
- librust-tabwriter-1.1+regex-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+regex-dev
- librust-tabwriter-1.1-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-1.1.0+ansi-formatting-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+ansi-formatting-dev
- librust-tabwriter-1.1.0+default-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-1.1.0+lazy-static-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+lazy-static-dev
- librust-tabwriter-1.1.0+regex-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter+regex-dev
- librust-tabwriter-1.1.0-dev (= 1.1.0-1)
- virtueel pakket geboden door librust-tabwriter-dev
- librust-tabwriter-dev (1.1.0-1)
- Elastic tabstops - Rust source code
- librust-take+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-take-dev
- librust-take-dev (0.1.0-1)
- Rust crate "take" - Rust source code
- librust-tar+default-dev (0.4.20-1)
- Rust implementation of a TAR file reader and writer - feature "default"
- librust-tar+xattr-dev (0.4.20-1)
- Rust implementation of a TAR file reader and writer - feature "xattr"
- librust-tar-0+default-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+default-dev
- librust-tar-0+xattr-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+xattr-dev
- librust-tar-0-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar-dev
- librust-tar-0.4+default-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+default-dev
- librust-tar-0.4+xattr-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+xattr-dev
- librust-tar-0.4-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar-dev
- librust-tar-0.4.20+default-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+default-dev
- librust-tar-0.4.20+xattr-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar+xattr-dev
- librust-tar-0.4.20-dev (= 0.4.20-1)
- virtueel pakket geboden door librust-tar-dev
- librust-tar-dev (0.4.20-1)
- Rust implementation of a TAR file reader and writer - Rust source code
- librust-tempfile+default-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3+default-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3.0+default-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3.0-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3.0.4+default-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-3.0.4-dev (= 3.0.4-1)
- virtueel pakket geboden door librust-tempfile-dev
- librust-tempfile-dev (3.0.4-1)
- Managing temporary files and directories - Rust source code
- librust-tendril+bench-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril+encoding-dev (0.4.0-1)
- Compact buffer/string type for zero-copy parsing - feature "encoding"
- librust-tendril-0+bench-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0+encoding-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril+encoding-dev
- librust-tendril-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4+bench-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4+encoding-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril+encoding-dev
- librust-tendril-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4.0+bench-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-0.4.0+encoding-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril+encoding-dev
- librust-tendril-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-tendril-dev
- librust-tendril-dev (0.4.0-1)
- Compact buffer/string type for zero-copy parsing - Rust source code
- librust-term+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0.5+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0.5-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0.5.1+default-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-0.5.1-dev (= 0.5.1-1)
- virtueel pakket geboden door librust-term-dev
- librust-term-dev (0.5.1-1)
- Terminal formatting library - Rust source code
- librust-term-grid+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0.1+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0.1-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0.1.7+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-0.1.7-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-term-grid-dev
- librust-term-grid-dev (0.1.7-1)
- Formatting strings into a grid layout - Rust source code
- librust-term-size+debug-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size+default-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size+nightly-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size+unstable-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0+debug-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0+default-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0+nightly-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0+unstable-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3+debug-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3+default-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3+nightly-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3+unstable-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3.1+debug-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3.1+default-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3.1+nightly-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3.1+unstable-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-0.3.1-dev (= 0.3.1-2)
- virtueel pakket geboden door librust-term-size-dev
- librust-term-size-dev (0.3.1-2)
- Functions for determining terminal sizes and dimensions - Rust source code
- librust-termcolor+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1.0+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1.0-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1.0.4+default-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-1.0.4-dev (= 1.0.4-1)
- virtueel pakket geboden door librust-termcolor-dev
- librust-termcolor-dev (1.0.4-1)
- Simple cross platform library for writing colored text to a terminal - Rust source code
- librust-termion+default-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1+default-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1.5+default-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1.5-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1.5.1+default-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-1.5.1-dev (= 1.5.1-1)
- virtueel pakket geboden door librust-termion-dev
- librust-termion-dev (1.5.1-1)
- Bindless library for manipulating terminals - Rust source code
- librust-termios+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0.3+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0.3-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0.3.0+default-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-0.3.0-dev (= 0.3.0-1)
- virtueel pakket geboden door librust-termios-dev
- librust-termios-dev (0.3.0-1)
- Safe bindings for the termios library - Rust source code
- librust-textwrap+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap+hyphenation-dev (0.11.0-1)
- Small library for word wrapping, indenting, and dedenting strings - feature "hyphenation"
- librust-textwrap+term-size-dev (0.11.0-1)
- Small library for word wrapping, indenting, and dedenting strings - feature "term_size"
- librust-textwrap-0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-0+hyphenation-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+hyphenation-dev
- librust-textwrap-0+term-size-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+term-size-dev
- librust-textwrap-0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-0.11+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-0.11+hyphenation-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+hyphenation-dev
- librust-textwrap-0.11+term-size-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+term-size-dev
- librust-textwrap-0.11-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-0.11.0+default-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-0.11.0+hyphenation-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+hyphenation-dev
- librust-textwrap-0.11.0+term-size-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap+term-size-dev
- librust-textwrap-0.11.0-dev (= 0.11.0-1)
- virtueel pakket geboden door librust-textwrap-dev
- librust-textwrap-dev (0.11.0-1)
- Small library for word wrapping, indenting, and dedenting strings - Rust source code
- librust-thin-slice+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-thin-slice-dev
- librust-thin-slice-dev (0.1.1-1)
- Owned slice that packs the slice storage into a single word - Rust source code
- librust-thread-id+default-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3+default-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3.3+default-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3.3-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3.3.0+default-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-3.3.0-dev (= 3.3.0-1)
- virtueel pakket geboden door librust-thread-id-dev
- librust-thread-id-dev (3.3.0-1)
- Get a unique thread ID - Rust source code
- librust-thread-local+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0.3+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0.3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0.3.6+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-0.3.6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-thread-local-dev
- librust-thread-local-dev (0.3.6-1)
- Per-object thread-local storage - Rust source code
- librust-threadpool+default-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1+default-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1.7+default-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1.7-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1.7.1+default-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-1.7.1-dev (= 1.7.1-1)
- virtueel pakket geboden door librust-threadpool-dev
- librust-threadpool-dev (1.7.1-1)
- Thread pool for running a number of jobs on a fixed set of worker threads - Rust source code
- librust-time+default-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time+rustc-serialize-dev (0.1.42-1)
- Utilities for working with time-related functions - feature "rustc-serialize"
- librust-time-0+default-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-0+rustc-serialize-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time+rustc-serialize-dev
- librust-time-0-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-0.1+default-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-0.1+rustc-serialize-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time+rustc-serialize-dev
- librust-time-0.1-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-0.1.42+default-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-0.1.42+rustc-serialize-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time+rustc-serialize-dev
- librust-time-0.1.42-dev (= 0.1.42-1)
- virtueel pakket geboden door librust-time-dev
- librust-time-dev (0.1.42-1)
- Utilities for working with time-related functions - Rust source code
- librust-timerfd+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-timerfd-dev
- librust-timerfd-dev (1.0.0-1)
- Rust interface to the Linux kernel's timerfd API - Rust source code
- librust-tls-parser+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser+serialize-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0+serialize-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6+serialize-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6.0+default-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6.0+serialize-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-0.6.0-dev (= 0.6.0-1)
- virtueel pakket geboden door librust-tls-parser-dev
- librust-tls-parser-dev (0.6.0-1)
- Parser for the TLS protocol - Rust source code
- librust-tokio-async-await+async-await-preview-dev (0.1.5-1)
- Experimental async/await support for Tokio - feature "async-await-preview"
- librust-tokio-async-await+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0+async-await-preview-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await+async-await-preview-dev
- librust-tokio-async-await-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0.1+async-await-preview-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await+async-await-preview-dev
- librust-tokio-async-await-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0.1.5+async-await-preview-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await+async-await-preview-dev
- librust-tokio-async-await-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-async-await-dev
- librust-tokio-async-await-dev (0.1.5-1)
- Experimental async/await support for Tokio - Rust source code
- librust-tokio-codec+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-tokio-codec-dev
- librust-tokio-codec-dev (0.1.1-1)
- Utilities for encoding and decoding frames - Rust source code
- librust-tokio-current-thread+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0.1+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0.1-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0.1.4+default-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-0.1.4-dev (= 0.1.4-1)
- virtueel pakket geboden door librust-tokio-current-thread-dev
- librust-tokio-current-thread-dev (0.1.4-1)
- Manage many tasks concurrently on the current thread - Rust source code
- librust-tokio-executor+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0.1+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0.1-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0.1.6+default-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-0.1.6-dev (= 0.1.6-1)
- virtueel pakket geboden door librust-tokio-executor-dev
- librust-tokio-executor-dev (0.1.6-1)
- Future execution primitives - Rust source code
- librust-tokio-fs+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-tokio-fs-dev
- librust-tokio-fs-dev (0.1.5-1)
- Filesystem API for Tokio - Rust source code
- librust-tokio-io+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0.1+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0.1-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0.1.9+default-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-0.1.9-dev (= 0.1.9-1)
- virtueel pakket geboden door librust-tokio-io-dev
- librust-tokio-io-dev (0.1.9-1)
- Core I/O primitives for asynchronous I/O in Rust - Rust source code
- librust-tokio-threadpool+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0.1+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0.1-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0.1.7+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-0.1.7-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-tokio-threadpool-dev
- librust-tokio-threadpool-dev (0.1.7-1)
- Task scheduler backed by a work-stealing thread pool - Rust source code
- librust-tokio-timer+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0.2+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0.2-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0.2.8+default-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-0.2.8-dev (= 0.2.8-1)
- virtueel pakket geboden door librust-tokio-timer-dev
- librust-tokio-timer-dev (0.2.8-1)
- Timer facilities for Tokio - Rust source code
- librust-toml+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0.4+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0.4-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0.4.10+default-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-0.4.10-dev (= 0.4.10-1)
- virtueel pakket geboden door librust-toml-dev
- librust-toml-dev (0.4.10-1)
- Native encoder and decoder of TOML-formatted data - Rust source code
- librust-try-lock+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0.2+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0.2-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0.2.2+default-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-0.2.2-dev (= 0.2.2-2)
- virtueel pakket geboden door librust-try-lock-dev
- librust-try-lock-dev (0.2.2-2)
- Lightweight atomic lock - Rust source code
- librust-typenum+default-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum+i128-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum+no-std-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum+strict-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1+default-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1+i128-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1+no-std-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1+strict-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10+default-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10+i128-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10+no-std-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10+strict-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10.0+default-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10.0+i128-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10.0+no-std-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10.0+strict-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-1.10.0-dev (= 1.10.0-2)
- virtueel pakket geboden door librust-typenum-dev
- librust-typenum-dev (1.10.0-2)
- Type-level numbers evaluated at compile time - Rust source code
- librust-ucd-trie+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie+std-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0+std-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1+std-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1.1+std-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-trie-dev
- librust-ucd-trie-dev (0.1.1-1)
- Trie for storing Unicode codepoint sets and maps - Rust source code
- librust-ucd-util+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-ucd-util-dev
- librust-ucd-util-dev (0.1.1-1)
- Small utility library for working with the Unicode character database - Rust source code
- librust-unicase+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase+nightly-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2+nightly-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1+nightly-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1.0+default-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1.0+nightly-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-2.1.0-dev (= 2.1.0-1)
- virtueel pakket geboden door librust-unicase-dev
- librust-unicase-dev (2.1.0-1)
- Case-insensitive wrapper around strings - Rust source code
- librust-unicode-bidi+bench-it-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi+default-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi+serde-dev (0.3.4-2)
- The Unicode Bidirectional Algorithm - feature "serde"
- librust-unicode-bidi+unstable-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi+with-serde-dev (0.3.4-2)
- The Unicode Bidirectional Algorithm - feature "with_serde"
- librust-unicode-bidi-0+bench-it-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0+default-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0+serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+serde-dev
- librust-unicode-bidi-0+unstable-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0+with-serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+with-serde-dev
- librust-unicode-bidi-0-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3+bench-it-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3+default-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3+serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+serde-dev
- librust-unicode-bidi-0.3+unstable-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3+with-serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+with-serde-dev
- librust-unicode-bidi-0.3-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3.4+bench-it-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3.4+default-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3.4+serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+serde-dev
- librust-unicode-bidi-0.3.4+unstable-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-0.3.4+with-serde-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi+with-serde-dev
- librust-unicode-bidi-0.3.4-dev (= 0.3.4-2)
- virtueel pakket geboden door librust-unicode-bidi-dev
- librust-unicode-bidi-dev (0.3.4-2)
- The Unicode Bidirectional Algorithm - Rust source code
- librust-unicode-normalization+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0.1+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0.1-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0.1.7+default-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-0.1.7-dev (= 0.1.7-1)
- virtueel pakket geboden door librust-unicode-normalization-dev
- librust-unicode-normalization-dev (0.1.7-1)
- Functions for normalization of Unicode strings, including Canonical and Compatible Decomposition and Recomposition, as described in Unicode Standard Annex #15 - Rust source code
- librust-unicode-segmentation+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation+no-std-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1+no-std-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2+no-std-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2.1+default-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2.1+no-std-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-1.2.1-dev (= 1.2.1-1)
- virtueel pakket geboden door librust-unicode-segmentation-dev
- librust-unicode-segmentation-dev (1.2.1-1)
- Grapheme Cluster and Word boundaries according to Unicode Standard Annex #29 rules - Rust source code
- librust-unicode-width+bench-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width+no-std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0+bench-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0+no-std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1+bench-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1+no-std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1.5+bench-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1.5+no-std-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-unicode-width-dev
- librust-unicode-width-dev (0.1.5-1)
- Determine displayed width of `char` and `str` types according to Unicode Standard Annex #11 rules - Rust source code
- librust-unicode-xid+bench-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid+no-std-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0+bench-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0+no-std-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1+bench-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1+no-std-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1.0+bench-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1.0+no-std-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-unicode-xid-dev
- librust-unicode-xid-dev (0.1.0-1)
- Determine whether characters have the XID_Start or XID_Continue properties according to Unicode Standard Annex #31 - Rust source code
- librust-unreachable+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-unreachable-dev
- librust-unreachable-dev (1.0.0-1)
- Unreachable code optimization hint in stable rust - Rust source code
- librust-untrusted+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0.6+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0.6-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0.6.2+default-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-0.6.2-dev (= 0.6.2-1)
- virtueel pakket geboden door librust-untrusted-dev
- librust-untrusted-dev (0.6.2-1)
- Safe, fast, zero-allocation parsing of untrusted inputs - Rust source code
- librust-unveil+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-unveil-dev
- librust-unveil-dev (0.2.0-1)
- Rust binding for OpenBSD's unveil(2) - Rust source code
- librust-url+default-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url+encoding-dev (1.7.2-1)
- URL library for Rust - feature "encoding"
- librust-url+heap-size-dev (1.7.2-1)
- URL library for Rust - feature "heap_size"
- librust-url+heapsize-dev (1.7.2-1)
- URL library for Rust - feature "heapsize"
- librust-url+query-encoding-dev (1.7.2-1)
- URL library for Rust - feature "query_encoding"
- librust-url+rustc-serialize-dev (1.7.2-1)
- URL library for Rust - feature "rustc-serialize"
- librust-url-1+default-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-1+encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+encoding-dev
- librust-url-1+heap-size-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heap-size-dev
- librust-url-1+heapsize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heapsize-dev
- librust-url-1+query-encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+query-encoding-dev
- librust-url-1+rustc-serialize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+rustc-serialize-dev
- librust-url-1-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-1.7+default-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-1.7+encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+encoding-dev
- librust-url-1.7+heap-size-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heap-size-dev
- librust-url-1.7+heapsize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heapsize-dev
- librust-url-1.7+query-encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+query-encoding-dev
- librust-url-1.7+rustc-serialize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+rustc-serialize-dev
- librust-url-1.7-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-1.7.2+default-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-1.7.2+encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+encoding-dev
- librust-url-1.7.2+heap-size-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heap-size-dev
- librust-url-1.7.2+heapsize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+heapsize-dev
- librust-url-1.7.2+query-encoding-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+query-encoding-dev
- librust-url-1.7.2+rustc-serialize-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url+rustc-serialize-dev
- librust-url-1.7.2-dev (= 1.7.2-1)
- virtueel pakket geboden door librust-url-dev
- librust-url-dev (1.7.2-1)
- URL library for Rust - Rust source code
- librust-url-serde+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0.2+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0.2-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0.2.0+default-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-0.2.0-dev (= 0.2.0-1)
- virtueel pakket geboden door librust-url-serde-dev
- librust-url-serde-dev (0.2.0-1)
- Serde support for URL types - Rust source code
- librust-users+cache-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users+default-dev (0.8.1-1)
- Getting information on Unix users and groups - feature "default"
- librust-users+mock-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0+cache-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users+default-dev
- librust-users-0+mock-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8+cache-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users+default-dev
- librust-users-0.8+mock-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8.1+cache-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8.1+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users+default-dev
- librust-users-0.8.1+mock-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-0.8.1-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-users-dev
- librust-users-dev (0.8.1-1)
- Getting information on Unix users and groups - Rust source code
- librust-utf-8+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0.7+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0.7-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0.7.5+default-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-0.7.5-dev (= 0.7.5-1)
- virtueel pakket geboden door librust-utf-8-dev
- librust-utf-8-dev (0.7.5-1)
- Incremental, zero-copy UTF-8 decoding with error handling - Rust source code
- librust-utf8-ranges+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1.0+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1.0-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1.0.2+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-1.0.2-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-utf8-ranges-dev
- librust-utf8-ranges-dev (1.0.2-1)
- Convert ranges of Unicode codepoints to UTF-8 byte ranges - Rust source code
- librust-utf8parse+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-utf8parse-dev
- librust-utf8parse-dev (0.1.1-1)
- Table-driven UTF-8 parser - Rust source code
- librust-uuid+byteorder-dev (0.7.1-1)
- Generate and parse UUIDs - feature "byteorder"
- librust-uuid+const-fn-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid+md5-dev (0.7.1-1)
- Generate and parse UUIDs - feature "md5"
- librust-uuid+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid+rand-dev (0.7.1-1)
- Generate and parse UUIDs - feature "rand"
- librust-uuid+serde-dev (0.7.1-1)
- Generate and parse UUIDs - feature "serde"
- librust-uuid+sha1-dev (0.7.1-1)
- Generate and parse UUIDs - feature "sha1"
- librust-uuid+slog-dev (0.7.1-1)
- Generate and parse UUIDs - feature "slog"
- librust-uuid+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid+u128-dev (0.7.1-1)
- Generate and parse UUIDs - feature "u128"
- librust-uuid+v1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid+v3-dev (0.7.1-1)
- Generate and parse UUIDs - feature "v3"
- librust-uuid+v4-dev (0.7.1-1)
- Generate and parse UUIDs - feature "v4"
- librust-uuid+v5-dev (0.7.1-1)
- Generate and parse UUIDs - feature "v5"
- librust-uuid-0+byteorder-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+byteorder-dev
- librust-uuid-0+const-fn-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0+md5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+md5-dev
- librust-uuid-0+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0+rand-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+rand-dev
- librust-uuid-0+serde-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+serde-dev
- librust-uuid-0+sha1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+sha1-dev
- librust-uuid-0+slog-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+slog-dev
- librust-uuid-0+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0+u128-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+u128-dev
- librust-uuid-0+v1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0+v3-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v3-dev
- librust-uuid-0+v4-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v4-dev
- librust-uuid-0+v5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v5-dev
- librust-uuid-0-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+byteorder-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+byteorder-dev
- librust-uuid-0.7+const-fn-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+md5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+md5-dev
- librust-uuid-0.7+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+rand-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+rand-dev
- librust-uuid-0.7+serde-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+serde-dev
- librust-uuid-0.7+sha1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+sha1-dev
- librust-uuid-0.7+slog-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+slog-dev
- librust-uuid-0.7+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+u128-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+u128-dev
- librust-uuid-0.7+v1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7+v3-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v3-dev
- librust-uuid-0.7+v4-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v4-dev
- librust-uuid-0.7+v5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v5-dev
- librust-uuid-0.7-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+byteorder-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+byteorder-dev
- librust-uuid-0.7.1+const-fn-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+default-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+md5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+md5-dev
- librust-uuid-0.7.1+nightly-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+rand-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+rand-dev
- librust-uuid-0.7.1+serde-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+serde-dev
- librust-uuid-0.7.1+sha1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+sha1-dev
- librust-uuid-0.7.1+slog-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+slog-dev
- librust-uuid-0.7.1+std-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+u128-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+u128-dev
- librust-uuid-0.7.1+v1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-0.7.1+v3-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v3-dev
- librust-uuid-0.7.1+v4-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v4-dev
- librust-uuid-0.7.1+v5-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid+v5-dev
- librust-uuid-0.7.1-dev (= 0.7.1-1)
- virtueel pakket geboden door librust-uuid-dev
- librust-uuid-dev (0.7.1-1)
- Generate and parse UUIDs - Rust source code
- librust-vcpkg+default-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0+default-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0.2+default-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0.2-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0.2.6+default-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-0.2.6-dev (= 0.2.6-1)
- virtueel pakket geboden door librust-vcpkg-dev
- librust-vcpkg-dev (0.2.6-1)
- Find native dependencies in a vcpkg tree at build time - Rust source code
- librust-vec-map+default-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map+eders-dev (0.8.1-2)
- Simple map based on a vector for small integer keys - feature "eders"
- librust-vec-map+serde-dev (0.8.1-2)
- Simple map based on a vector for small integer keys - feature "serde"
- librust-vec-map-0+default-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-0+eders-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+eders-dev
- librust-vec-map-0+serde-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+serde-dev
- librust-vec-map-0-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-0.8+default-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-0.8+eders-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+eders-dev
- librust-vec-map-0.8+serde-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+serde-dev
- librust-vec-map-0.8-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-0.8.1+default-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-0.8.1+eders-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+eders-dev
- librust-vec-map-0.8.1+serde-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map+serde-dev
- librust-vec-map-0.8.1-dev (= 0.8.1-2)
- virtueel pakket geboden door librust-vec-map-dev
- librust-vec-map-dev (0.8.1-2)
- Simple map based on a vector for small integer keys - Rust source code
- librust-version-check+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-version-check-dev
- librust-version-check-dev (0.1.5-1)
- Tiny crate to check the version of the installed/running rustc - Rust source code
- librust-void+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void+std-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1+std-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0+std-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0.2+default-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0.2+std-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-1.0.2-dev (= 1.0.2-1)
- virtueel pakket geboden door librust-void-dev
- librust-void-dev (1.0.2-1)
- Uninhabited void type for use in statically impossible cases - Rust source code
- librust-wait-timeout+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0.1+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0.1-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0.1.5+default-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-0.1.5-dev (= 0.1.5-1)
- virtueel pakket geboden door librust-wait-timeout-dev
- librust-wait-timeout-dev (0.1.5-1)
- Wait on a child process with a timeout, cross-platform - Rust source code
- librust-walkdir+default-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2+default-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2.2+default-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2.2-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2.2.7+default-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-2.2.7-dev (= 2.2.7-1)
- virtueel pakket geboden door librust-walkdir-dev
- librust-walkdir-dev (2.2.7-1)
- Recursively walk a directory - Rust source code
- librust-want+default-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0+default-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0.0+default-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0.0-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0.0.6+default-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-0.0.6-dev (= 0.0.6-1)
- virtueel pakket geboden door librust-want-dev
- librust-want-dev (0.0.6-1)
- Detect when another Future wants a result - Rust source code
- librust-wasm-bindgen+default-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "default"
- librust-wasm-bindgen+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen+serde-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "serde"
- librust-wasm-bindgen+serde-json-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "serde_json"
- librust-wasm-bindgen+serde-serialize-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "serde-serialize"
- librust-wasm-bindgen+spans-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "spans"
- librust-wasm-bindgen+std-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen+strict-macro-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "strict-macro"
- librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev (0.2.33-1)
- Easy support for JS and Rust interaction - feature "xxx_debug_only_print_generated_code"
- librust-wasm-bindgen-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+default-dev
- librust-wasm-bindgen-0+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0+serde-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-dev
- librust-wasm-bindgen-0+serde-json-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-json-dev
- librust-wasm-bindgen-0+serde-serialize-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-serialize-dev
- librust-wasm-bindgen-0+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+spans-dev
- librust-wasm-bindgen-0+std-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+strict-macro-dev
- librust-wasm-bindgen-0+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
- librust-wasm-bindgen-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+default-dev
- librust-wasm-bindgen-0.2+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2+serde-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-dev
- librust-wasm-bindgen-0.2+serde-json-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-json-dev
- librust-wasm-bindgen-0.2+serde-serialize-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-serialize-dev
- librust-wasm-bindgen-0.2+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+spans-dev
- librust-wasm-bindgen-0.2+std-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+strict-macro-dev
- librust-wasm-bindgen-0.2+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
- librust-wasm-bindgen-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+default-dev
- librust-wasm-bindgen-0.2.33+nightly-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2.33+serde-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-dev
- librust-wasm-bindgen-0.2.33+serde-json-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-json-dev
- librust-wasm-bindgen-0.2.33+serde-serialize-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+serde-serialize-dev
- librust-wasm-bindgen-0.2.33+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+spans-dev
- librust-wasm-bindgen-0.2.33+std-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-0.2.33+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+strict-macro-dev
- librust-wasm-bindgen-0.2.33+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
- librust-wasm-bindgen-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-dev
- librust-wasm-bindgen-backend+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- (0.2.33-1)
- Backend code generation of the wasm-bindgen tool - feature "extra-traits"
- librust-wasm-bindgen-backend+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- virtueel pakket geboden door librust-wasm-bindgen-backend+extra-traits-dev
- librust-wasm-bindgen-backend-0+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- virtueel pakket geboden door librust-wasm-bindgen-backend+extra-traits-dev
- librust-wasm-bindgen-backend-0.2+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- virtueel pakket geboden door librust-wasm-bindgen-backend+extra-traits-dev
- librust-wasm-bindgen-backend-0.2.33+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-backend-dev
- librust-wasm-bindgen-backend-dev (0.2.33-1)
- Backend code generation of the wasm-bindgen tool - Rust source code
- librust-wasm-bindgen-dev (0.2.33-1)
- Easy support for JS and Rust interaction - Rust source code
- librust-wasm-bindgen-macro+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro+spans-dev (0.2.33-1)
- Definition of the `#[wasm_bindgen]` attribute - feature "spans"
- librust-wasm-bindgen-macro+strict-macro-dev (0.2.33-1)
- Definition of the `#[wasm_bindgen]` attribute - feature "strict-macro"
- librust-wasm-bindgen-macro+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+spans-dev
- librust-wasm-bindgen-macro-0+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+strict-macro-dev
- librust-wasm-bindgen-macro-0+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+spans-dev
- librust-wasm-bindgen-macro-0.2+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+strict-macro-dev
- librust-wasm-bindgen-macro-0.2+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2.33+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+spans-dev
- librust-wasm-bindgen-macro-0.2.33+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro+strict-macro-dev
- librust-wasm-bindgen-macro-0.2.33+xxx-debug-only-print-generated-code-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-dev
- librust-wasm-bindgen-macro-dev (0.2.33-1)
- Definition of the `#[wasm_bindgen]` attribute - Rust source code
- librust-wasm-bindgen-macro-support+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- (0.2.33-1)
- Implementation of the `#[wasm_bindgen]` attribute - feature "extra-traits"
- librust-wasm-bindgen-macro-support+spans-dev (0.2.33-1)
- Implementation of the `#[wasm_bindgen]` attribute - feature "spans"
- librust-wasm-bindgen-macro-support+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+extra-traits-dev
- librust-wasm-bindgen-macro-support-0+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+spans-dev
- librust-wasm-bindgen-macro-support-0+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+extra-traits-dev
- librust-wasm-bindgen-macro-support-0.2+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+spans-dev
- librust-wasm-bindgen-macro-support-0.2+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+extra-traits-dev
- librust-wasm-bindgen-macro-support-0.2.33+spans-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support+spans-dev
- librust-wasm-bindgen-macro-support-0.2.33+strict-macro-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-macro-support-dev
- librust-wasm-bindgen-macro-support-dev (0.2.33-1)
- Implementation of the `#[wasm_bindgen]` attribute - Rust source code
- librust-wasm-bindgen-shared+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0.2+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0.2-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0.2.33+default-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-0.2.33-dev (= 0.2.33-1)
- virtueel pakket geboden door librust-wasm-bindgen-shared-dev
- librust-wasm-bindgen-shared-dev (0.2.33-1)
- Shared support of wasm-bindgen and wasm-bindgen cli - Rust source code
- librust-which+default-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2+default-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2.0+default-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2.0-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2.0.1+default-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-2.0.1-dev (= 2.0.1-1)
- virtueel pakket geboden door librust-which-dev
- librust-which-dev (2.0.1-1)
- Rust equivalent of Unix command "which" - Rust source code
- librust-winapi+accctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+aclapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+activation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+appmgmt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+audioclient-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+audiosessiontypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+avrt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+basetsd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bcrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits2-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits2-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits3-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits4-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bits5-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bitscfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bitsmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+bugcodes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+cderr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+cfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+cfgmgr32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+cguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+combaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+coml2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+commapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+commctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+commdlg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+commoncontrols-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+consoleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+corsym-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1effectauthor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1effects-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1effects-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1effects-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2d1svg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d2dbasetypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10-1shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10effect-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10misc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d10shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11on12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d11tokenizedprogramformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d12sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d12shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d9-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d9caps-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3d9types-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dcompiler-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dcsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dx10core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dx10math-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+d3dx10mesh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+datetimeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+davclnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dbghelp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dbt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dcomp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dcompanimation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dcomptypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dde-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ddraw-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ddrawi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ddrawint-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+debugapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+devguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+devicetopology-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+devpkey-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+devpropdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dinputd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dmksctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dmusicc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+docobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+documenttarget-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dpa-dsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dpapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dsgetdc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dsound-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dsrole-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dvp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dwmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dwrite-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dwrite-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dwrite-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dwrite-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxdiag-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxfile-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi1-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgi1-6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgidebug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgiformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxgitype-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxva2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+dxvahd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+endpointvolume-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+errhandlingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+everything-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+evntcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+evntprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+evntrace-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+excpt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+exdisp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+fibersapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+fileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+gl-gl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+guiddef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+handleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+heapapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+hidclass-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+hidpi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+hidsdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+hidusage-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+highlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+hstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+http-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+imm-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+impl-default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+in6addr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+inaddr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+inspectable-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+interlockedapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+intsafe-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ioapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+jobapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+jobapi2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+knownfolders-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ks-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ksmedia-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ktmtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ktmw32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+libloaderapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+limits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmaccess-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmalert-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmapibuf-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmdfs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmerrlog-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmjoin-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmremutl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmrepl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmserver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmshare-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmstats-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmuse-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lmwksta-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lowlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+lsalookup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+memoryapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+minschannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+minwinbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+minwindef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mmdeviceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mmeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mmreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mmsystem-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+msaatext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mscat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mschapp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mssip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+mstcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+namedpipeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+namespaceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+nb30-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ncrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntddscsi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntddser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntlsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntsecapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ntstatus-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+oaidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+objbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+objidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+objidlbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ocidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ole2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+oleauto-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+olectl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+oleidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+opmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+pdh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+perflib-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+physicalmonitorenumerationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+playsoundapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+powerbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+powersetting-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+powrprof-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+processenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+processsnapshot-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+processthreadsapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+processtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+profileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+propidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+propkeydef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+propsys-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+prsht-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+psapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+qos-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+realtimeapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+reason-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+restartmanager-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+restrictederrorinfo-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+rmxfguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+roapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+robuffer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+roerrorapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+rpc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+rpcdce-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+rpcndr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sapi51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sapi53-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sapiddk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sapiddk51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+schannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sddl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+securityappcontainer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+securitybaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+servprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+setupapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shellapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shellscalingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shlobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shobjidl-core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shobjidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+shtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+spapidef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sporder-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sql-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sqlext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sqltypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sqlucode-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sspi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+std-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+stralign-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+stringapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+strmif-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+subauth-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+synchapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+sysinfoapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+systemtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+textstor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+threadpoolapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+threadpoollegacyapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+timeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+timezoneapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+tlhelp32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+transportsettingcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+tvout-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+unknwnbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+urlhist-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+urlmon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+usb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+usbiodef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+usbspec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+userenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+usp10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+utilapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+uxtheme-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vadefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vcruntime-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vsbackup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vss-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vsserror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+vswriter-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wct-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+werapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wincodec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wincodecsdk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wincon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wincred-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wincrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+windef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+windowsceip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+windowsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winerror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winevt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wingdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winhttp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wininet-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winineti-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winioctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winnetwk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winnls-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winsafer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winscard-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winsmcrd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winsock2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winspool-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winusb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winusbio-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winuser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+winver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wmistr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wnnc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wow64apiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ws2def-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ws2ipdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ws2spi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+ws2tcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+wtypesbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi+xinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+accctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+aclapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+activation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+appmgmt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+audioclient-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+audiosessiontypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+avrt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+basetsd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bcrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits2-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits2-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits3-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits4-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bits5-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bitscfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bitsmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+bugcodes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+cderr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+cfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+cfgmgr32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+cguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+combaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+coml2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+commapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+commctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+commdlg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+commoncontrols-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+consoleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+corsym-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1effectauthor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1effects-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1effects-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1effects-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2d1svg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d2dbasetypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10-1shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10effect-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10misc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d10shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11on12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d11tokenizedprogramformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d12sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d12shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d9-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d9caps-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3d9types-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dcompiler-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dcsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dx10core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dx10math-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+d3dx10mesh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+datetimeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+davclnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dbghelp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dbt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dcomp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dcompanimation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dcomptypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dde-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ddraw-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ddrawi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ddrawint-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+debugapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+devguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+devicetopology-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+devpkey-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+devpropdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dinputd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dmksctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dmusicc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+docobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+documenttarget-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dpa-dsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dpapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dsgetdc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dsound-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dsrole-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dvp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dwmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dwrite-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dwrite-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dwrite-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dwrite-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxdiag-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxfile-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi1-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgi1-6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgidebug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgiformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxgitype-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxva2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+dxvahd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+endpointvolume-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+errhandlingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+everything-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+evntcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+evntprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+evntrace-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+excpt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+exdisp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+fibersapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+fileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+gl-gl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+guiddef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+handleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+heapapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+hidclass-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+hidpi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+hidsdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+hidusage-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+highlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+hstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+http-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+imm-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+impl-default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+in6addr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+inaddr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+inspectable-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+interlockedapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+intsafe-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ioapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+jobapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+jobapi2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+knownfolders-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ks-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ksmedia-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ktmtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ktmw32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+libloaderapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+limits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmaccess-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmalert-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmapibuf-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmdfs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmerrlog-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmjoin-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmremutl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmrepl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmserver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmshare-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmstats-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmuse-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lmwksta-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lowlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+lsalookup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+memoryapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+minschannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+minwinbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+minwindef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mmdeviceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mmeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mmreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mmsystem-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+msaatext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mscat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mschapp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mssip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+mstcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+namedpipeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+namespaceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+nb30-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ncrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntddscsi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntddser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntlsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntsecapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ntstatus-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+oaidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+objbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+objidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+objidlbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ocidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ole2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+oleauto-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+olectl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+oleidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+opmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+pdh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+perflib-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+physicalmonitorenumerationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+playsoundapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+powerbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+powersetting-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+powrprof-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+processenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+processsnapshot-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+processthreadsapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+processtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+profileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+propidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+propkeydef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+propsys-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+prsht-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+psapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+qos-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+realtimeapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+reason-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+restartmanager-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+restrictederrorinfo-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+rmxfguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+roapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+robuffer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+roerrorapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+rpc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+rpcdce-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+rpcndr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sapi51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sapi53-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sapiddk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sapiddk51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+schannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sddl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+securityappcontainer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+securitybaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+servprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+setupapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shellapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shellscalingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shlobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shobjidl-core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shobjidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+shtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+spapidef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sporder-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sql-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sqlext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sqltypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sqlucode-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sspi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+std-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+stralign-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+stringapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+strmif-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+subauth-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+synchapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+sysinfoapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+systemtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+textstor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+threadpoolapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+threadpoollegacyapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+timeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+timezoneapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+tlhelp32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+transportsettingcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+tvout-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+unknwnbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+urlhist-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+urlmon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+usb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+usbiodef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+usbspec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+userenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+usp10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+utilapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+uxtheme-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vadefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vcruntime-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vsbackup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vss-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vsserror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+vswriter-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wct-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+werapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wincodec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wincodecsdk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wincon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wincred-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wincrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+windef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+windowsceip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+windowsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winerror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winevt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wingdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winhttp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wininet-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winineti-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winioctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winnetwk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winnls-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winsafer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winscard-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winsmcrd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winsock2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winspool-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winusb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winusbio-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winuser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+winver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wmistr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wnnc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wow64apiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ws2def-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ws2ipdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ws2spi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+ws2tcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+wtypesbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0+xinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+accctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+aclapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+activation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+appmgmt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+audioclient-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+audiosessiontypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+avrt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+basetsd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bcrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits2-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits2-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits3-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits4-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bits5-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bitscfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bitsmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+bugcodes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+cderr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+cfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+cfgmgr32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+cguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+combaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+coml2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+commapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+commctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+commdlg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+commoncontrols-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+consoleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+corsym-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1effectauthor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1effects-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1effects-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1effects-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2d1svg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d2dbasetypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10-1shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10effect-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10misc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d10shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11on12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d11tokenizedprogramformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d12sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d12shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d9-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d9caps-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3d9types-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dcompiler-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dcsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dx10core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dx10math-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+d3dx10mesh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+datetimeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+davclnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dbghelp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dbt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dcomp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dcompanimation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dcomptypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dde-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ddraw-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ddrawi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ddrawint-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+debugapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+devguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+devicetopology-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+devpkey-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+devpropdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dinputd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dmksctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dmusicc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+docobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+documenttarget-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dpa-dsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dpapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dsgetdc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dsound-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dsrole-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dvp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dwmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dwrite-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dwrite-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dwrite-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dwrite-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxdiag-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxfile-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi1-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgi1-6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgidebug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgiformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxgitype-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxva2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+dxvahd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+endpointvolume-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+errhandlingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+everything-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+evntcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+evntprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+evntrace-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+excpt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+exdisp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+fibersapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+fileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+gl-gl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+guiddef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+handleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+heapapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+hidclass-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+hidpi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+hidsdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+hidusage-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+highlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+hstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+http-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+imm-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+impl-default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+in6addr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+inaddr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+inspectable-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+interlockedapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+intsafe-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ioapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+jobapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+jobapi2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+knownfolders-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ks-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ksmedia-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ktmtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ktmw32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+libloaderapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+limits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmaccess-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmalert-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmapibuf-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmdfs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmerrlog-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmjoin-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmremutl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmrepl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmserver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmshare-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmstats-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmuse-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lmwksta-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lowlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+lsalookup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+memoryapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+minschannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+minwinbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+minwindef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mmdeviceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mmeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mmreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mmsystem-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+msaatext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mscat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mschapp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mssip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+mstcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+namedpipeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+namespaceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+nb30-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ncrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntddscsi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntddser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntlsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntsecapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ntstatus-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+oaidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+objbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+objidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+objidlbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ocidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ole2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+oleauto-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+olectl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+oleidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+opmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+pdh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+perflib-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+physicalmonitorenumerationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+playsoundapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+powerbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+powersetting-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+powrprof-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+processenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+processsnapshot-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+processthreadsapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+processtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+profileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+propidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+propkeydef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+propsys-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+prsht-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+psapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+qos-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+realtimeapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+reason-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+restartmanager-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+restrictederrorinfo-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+rmxfguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+roapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+robuffer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+roerrorapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+rpc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+rpcdce-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+rpcndr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sapi51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sapi53-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sapiddk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sapiddk51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+schannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sddl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+securityappcontainer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+securitybaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+servprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+setupapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shellapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shellscalingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shlobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shobjidl-core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shobjidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+shtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+spapidef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sporder-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sql-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sqlext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sqltypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sqlucode-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sspi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+std-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+stralign-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+stringapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+strmif-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+subauth-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+synchapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+sysinfoapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+systemtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+textstor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+threadpoolapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+threadpoollegacyapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+timeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+timezoneapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+tlhelp32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+transportsettingcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+tvout-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+unknwnbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+urlhist-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+urlmon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+usb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+usbiodef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+usbspec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+userenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+usp10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+utilapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+uxtheme-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vadefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vcruntime-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vsbackup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vss-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vsserror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+vswriter-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wct-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+werapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wincodec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wincodecsdk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wincon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wincred-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wincrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+windef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+windowsceip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+windowsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winerror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winevt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wingdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winhttp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wininet-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winineti-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winioctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winnetwk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winnls-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winsafer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winscard-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winsmcrd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winsock2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winspool-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winusb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winusbio-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winuser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+winver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wmistr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wnnc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wow64apiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ws2def-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ws2ipdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ws2spi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+ws2tcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+wtypesbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3+xinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+accctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+aclapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+activation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+appmgmt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+audioclient-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+audiosessiontypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+avrt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+basetsd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bcrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits2-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits2-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits3-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits4-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bits5-0-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bitscfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bitsmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+bugcodes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+cderr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+cfg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+cfgmgr32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+cguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+combaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+coml2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+commapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+commctrl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+commdlg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+commoncontrols-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+consoleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+corsym-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1effectauthor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1effects-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1effects-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1effects-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2d1svg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d2dbasetypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10-1shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10effect-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10misc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d10shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11on12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d11tokenizedprogramformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d12-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d12sdklayers-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d12shader-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d9-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d9caps-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3d9types-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dcompiler-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dcsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dx10core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dx10math-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+d3dx10mesh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+datetimeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+davclnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dbghelp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dbt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dcomp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dcompanimation-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dcomptypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dde-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ddraw-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ddrawi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ddrawint-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+debug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+debugapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+devguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+devicetopology-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+devpkey-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+devpropdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dinputd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dmksctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dmusicc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+docobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+documenttarget-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dpa-dsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dpapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dsgetdc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dsound-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dsrole-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dvp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dwmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dwrite-1-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dwrite-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dwrite-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dwrite-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxdiag-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxfile-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi1-2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi1-3-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi1-4-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi1-5-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgi1-6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgidebug-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgiformat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxgitype-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxva2api-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+dxvahd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+endpointvolume-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+errhandlingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+everything-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+evntcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+evntprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+evntrace-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+excpt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+exdisp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+fibersapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+fileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+gl-gl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+guiddef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+handleapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+heapapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+hidclass-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+hidpi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+hidsdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+hidusage-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+highlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+hstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+http-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+imm-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+impl-default-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+in6addr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+inaddr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+inspectable-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+interlockedapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+intsafe-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ioapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+jobapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+jobapi2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+knownfolders-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ks-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ksmedia-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ktmtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ktmw32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+libloaderapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+limits-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmaccess-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmalert-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmapibuf-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmcons-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmdfs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmerrlog-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmjoin-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmmsg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmremutl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmrepl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmserver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmshare-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmstats-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmuse-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lmwksta-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lowlevelmonitorconfigurationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+lsalookup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+memoryapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+minschannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+minwinbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+minwindef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mmdeviceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mmeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mmreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mmsystem-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+msaatext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mscat-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mschapp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mssip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+mstcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+namedpipeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+namespaceapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+nb30-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ncrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntddscsi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntddser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntlsa-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntsecapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ntstatus-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+oaidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+objbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+objidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+objidlbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ocidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ole2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+oleauto-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+olectl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+oleidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+opmapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+pdh-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+perflib-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+physicalmonitorenumerationapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+playsoundapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+powerbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+powersetting-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+powrprof-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+processenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+processsnapshot-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+processthreadsapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+processtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+profileapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+propidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+propkeydef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+propsys-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+prsht-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+psapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+qos-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+realtimeapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+reason-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+restartmanager-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+restrictederrorinfo-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+rmxfguid-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+roapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+robuffer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+roerrorapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+rpc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+rpcdce-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+rpcndr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sapi51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sapi53-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sapiddk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sapiddk51-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+schannel-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sddl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+securityappcontainer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+securitybaseapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+servprov-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+setupapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shellapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shellscalingapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shlobj-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shobjidl-core-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shobjidl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+shtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+spapidef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sporder-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sql-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sqlext-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sqltypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sqlucode-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sspi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+std-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+stralign-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+stringapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+strmif-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+subauth-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+synchapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+sysinfoapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+systemtopologyapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+textstor-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+threadpoolapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+threadpoollegacyapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+timeapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+timezoneapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+tlhelp32-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+transportsettingcommon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+tvout-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+unknwnbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+urlhist-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+urlmon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+usb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+usbiodef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+usbspec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+userenv-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+usp10-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+utilapiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+uxtheme-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vadefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vcruntime-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vsbackup-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vss-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vsserror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+vswriter-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wct-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+werapi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wincodec-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wincodecsdk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wincon-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wincred-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wincrypt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+windef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+windowsceip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+windowsx-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winefs-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winerror-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winevt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wingdi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winhttp-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wininet-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winineti-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winioctl-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winnetwk-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winnls-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winnt-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winreg-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winsafer-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winscard-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winsmcrd-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winsock2-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winspool-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winstring-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winsvc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winusb-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winusbio-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winuser-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+winver-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wmistr-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wnnc-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wow64apiset-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ws2def-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ws2ipdef-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ws2spi-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+ws2tcpip-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wtypes-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+wtypesbase-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6+xinput-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-0.3.6-dev (= 0.3.6-1)
- virtueel pakket geboden door librust-winapi-dev
- librust-winapi-build+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0.1.1+default-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-0.1.1-dev (= 0.1.1-1)
- virtueel pakket geboden door librust-winapi-build-dev
- librust-winapi-build-dev (0.1.1-1)
- Common code for build.rs in WinAPI -sys crates - Rust source code
- librust-winapi-dev (0.3.6-1)
- Raw FFI bindings for all of Windows API - Rust source code
- librust-winapi-i686-pc-windows-gnu+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-i686-pc-windows-gnu-dev
- librust-winapi-i686-pc-windows-gnu-dev (0.4.0-1)
- Import libraries for the i686-pc-windows-gnu target - Rust source code
- librust-winapi-util+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0.1+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0.1-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0.1.2+default-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-0.1.2-dev (= 0.1.2-1)
- virtueel pakket geboden door librust-winapi-util-dev
- librust-winapi-util-dev (0.1.2-1)
- Dumping ground for high level safe wrappers over winapi - Rust source code
- librust-winapi-x86-64-pc-windows-gnu+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0.4+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0.4-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0.4.0+default-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-0.4.0-dev (= 0.4.0-1)
- virtueel pakket geboden door librust-winapi-x86-64-pc-windows-gnu-dev
- librust-winapi-x86-64-pc-windows-gnu-dev (0.4.0-1)
- Import libraries for the x86_64-pc-windows-gnu target - Rust source code
- librust-wincolor+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1.0.0+default-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-1.0.0-dev (= 1.0.0-1)
- virtueel pakket geboden door librust-wincolor-dev
- librust-wincolor-dev (1.0.0-1)
- Simple Windows specific API for controlling text color in a Windows console - Rust source code
- librust-x11+default-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+dox-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+dpms-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+glx-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xcursor-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xf86vmode-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xft-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xinerama-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xinput-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xlib-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xlib-xcb-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xmu-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xrandr-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xrecord-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xrender-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xss-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xt-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xtest-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11+xtst-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+default-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+dox-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+dpms-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+glx-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xcursor-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xf86vmode-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xft-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xinerama-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xinput-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xlib-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xlib-xcb-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xmu-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xrandr-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xrecord-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xrender-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xss-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xt-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xtest-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2+xtst-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+default-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+dox-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+dpms-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+glx-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xcursor-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xf86vmode-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xft-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xinerama-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xinput-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xlib-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xlib-xcb-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xmu-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xrandr-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xrecord-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xrender-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xss-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xt-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xtest-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18+xtst-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+default-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+dox-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+dpms-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+glx-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xcursor-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xf86vmode-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xft-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xinerama-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xinput-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xlib-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xlib-xcb-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xmu-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xrandr-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xrecord-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xrender-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xss-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xt-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xtest-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1+xtst-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-2.18.1-dev (= 2.18.1-1)
- virtueel pakket geboden door librust-x11-dev
- librust-x11-dev (2.18.1-1)
- X11 library bindings for Rust - Rust source code
- librust-xattr+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr+unsupported-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0+unsupported-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2+unsupported-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2.2+default-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2.2+unsupported-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-0.2.2-dev (= 0.2.2-1)
- virtueel pakket geboden door librust-xattr-dev
- librust-xattr-dev (0.2.2-1)
- Unix extended filesystem attributes - Rust source code
- librust-xi-unicode+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0.1+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0.1-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0.1.0+default-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-0.1.0-dev (= 0.1.0-1)
- virtueel pakket geboden door librust-xi-unicode-dev
- librust-xi-unicode-dev (0.1.0-1)
- Unicode utilities useful for text editing, including a line breaking iterator - Rust source code
- librust-xml-rs+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0.8+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0.8-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0.8.0+default-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-0.8.0-dev (= 0.8.0-1)
- virtueel pakket geboden door librust-xml-rs-dev
- librust-xml-rs-dev (0.8.0-1)
- XML library in pure Rust - Rust source code
- librust-xmlparser+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0.8+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0.8-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0.8.1+default-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-0.8.1-dev (= 0.8.1-1)
- virtueel pakket geboden door librust-xmlparser-dev
- librust-xmlparser-dev (0.8.1-1)
- Pull-based, zero-allocation XML parser - Rust source code
- librust-yaml+default-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0+default-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0.3+default-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0.3-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0.3.0+default-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-0.3.0-dev (= 0.3.0-2)
- virtueel pakket geboden door librust-yaml-dev
- librust-yaml-dev (0.3.0-2)
- LibYAML binding for Rust - Rust source code
- librust-yaml-rust+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0.4+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0.4-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0.4.2+default-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-0.4.2-dev (= 0.4.2-1)
- virtueel pakket geboden door librust-yaml-rust-dev
- librust-yaml-rust-dev (0.4.2-1)
- Missing YAML 1.2 parser for rust - Rust source code
- librust-zip+bzip2-dev (0.5.0-1)
- Support the reading and writing of zip files - feature "bzip2"
- librust-zip+default-dev (0.5.0-1)
- Support the reading and writing of zip files - feature "default"
- librust-zip+deflate-dev (0.5.0-1)
- Support the reading and writing of zip files - feature "deflate"
- librust-zip+libflate-dev (0.5.0-1)
- Support the reading and writing of zip files - feature "libflate"
- librust-zip+time-dev (0.5.0-1)
- Support the reading and writing of zip files - feature "time"
- librust-zip-0+bzip2-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+bzip2-dev
- librust-zip-0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+default-dev
- librust-zip-0+deflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+deflate-dev
- librust-zip-0+libflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+libflate-dev
- librust-zip-0+time-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+time-dev
- librust-zip-0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip-dev
- librust-zip-0.5+bzip2-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+bzip2-dev
- librust-zip-0.5+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+default-dev
- librust-zip-0.5+deflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+deflate-dev
- librust-zip-0.5+libflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+libflate-dev
- librust-zip-0.5+time-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+time-dev
- librust-zip-0.5-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip-dev
- librust-zip-0.5.0+bzip2-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+bzip2-dev
- librust-zip-0.5.0+default-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+default-dev
- librust-zip-0.5.0+deflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+deflate-dev
- librust-zip-0.5.0+libflate-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+libflate-dev
- librust-zip-0.5.0+time-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip+time-dev
- librust-zip-0.5.0-dev (= 0.5.0-1)
- virtueel pakket geboden door librust-zip-dev
- librust-zip-dev (0.5.0-1)
- Support the reading and writing of zip files - Rust source code
- librust-zoneinfo-compiled+default-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0+default-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0.4+default-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0.4-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0.4.7+default-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-0.4.7-dev (= 0.4.7-1)
- virtueel pakket geboden door librust-zoneinfo-compiled-dev
- librust-zoneinfo-compiled-dev (0.4.7-1)
- Parsing compiled zoneinfo files - Rust source code
- librviz-dev (1.13.1+dfsg-1+b3)
- Development files for the Robot OS 3D visualization tool
- librviz3d (1.13.1+dfsg-1+b3)
- Library for the Robot OS 3D visualization tool
- librw-dev (0.8+ds-1)
- Compute rank-width and rank-decompositions of graphs (development)
- librw0 (0.8+ds-1)
- Compute rank-width and rank-decompositions of graphs
- librxp-dev (1.5.0-2+b1)
- Development files for librxp
- librxp0 (1.5.0-2+b1)
- Shared library for XML parsing and validating
- librxtx-java (2.2pre2+dfsg1-2)
- Full Java CommAPI implementation
- librygel-core-2.6-2 (0.36.2-4)
- GNOME UPnP/DLNA services - core library
- librygel-db-2.6-2 (0.36.2-4)
- GNOME UPnP/DLNA services - db library
- librygel-renderer-2.6-2 (0.36.2-4)
- GNOME UPnP/DLNA services - renderer library
- librygel-renderer-gst-2.6-2 (0.36.2-4)
- GNOME UPnP/DLNA services - renderer library
- librygel-ruih-2.0-1 (0.36.2-4)
- GNOME UPnP/DLNA services - ruih library
- librygel-server-2.6-2 (0.36.2-4)
- GNOME UPnP/DLNA services - server library
- libs3-2 (2.0-3)
- C Library and Tools for Amazon S3 Access
- libs3-dev (2.0-3)
- C Development Library for Amazon S3 Access
- libs3d-dev (0.2.2-16)
- 3d network display server library - development files
- libs3d2 (0.2.2-16)
- 3d network display server library
- libs3dw-dev (0.2.2-16)
- 3d network display server widget library - development files
- libs3dw2 (0.2.2-16)
- 3d network display server widget library
- libs6-2.7 (2.7.2.2-3)
- small and secure supervision software suite (shared library)
- libs6-dev (2.7.2.2-3)
- small and secure supervision software suite (development files)
- libsaaj-java (1.4.0-3)
- SOAP with Attachment API for Java
- libsaaj-ri-java (1.4.1-1)
- SOAP with Attachments API for Java - Reference Implementation
- libsac-java (1.3+dfsg-5)
- Simple API for CSS Java library
- libsac-java-doc (1.3+dfsg-5)
- Simple API for CSS Java library (documentation)
- libsafe-hole-perl (0.13-1.1+b5)
- Perl module which makes a "hole" in the Safe compartment
- libsafe-iop-dev (0.3.1-1)
- Safe integer operation library for C - Development files
- libsafe-iop0 (0.3.1-1)
- Safe integer operation library for C - Dynamic library
- libsafe-isa-perl (1.000010-1)
- module for safely calling isa and friends on things that may not be objects
- libsaga (2.3.1+dfsg-4)
- SAGA GIS shared libraries - transitional package
- libsaga-api-2.3.1 (2.3.1+dfsg-4+b1)
- SAGA GIS shared libraries
- libsaga-dev (2.3.1+dfsg-4+b1)
- SAGA GIS development files
- libsaga-gdi-2.3.1 (2.3.1+dfsg-4+b1)
- SAGA GIS shared library (graphical models)
- libsah-schemas-rinci-perl (1.1.87.0-1)
- Sah schemas for Rinci
- libsam-dev (3.0.1-2+deb10u1)
- cluster engine SAM library development
- libsam4 (3.0.1-2+deb10u1)
- cluster engine SAM library
- libsambox-java (1.1.46-1)
- SAMBox PDF processor
- libsaml-dev (3.0.1-1)
- Security Assertion Markup Language library (development)
- libsaml-doc (3.0.1-1)
- Security Assertion Markup Language library (API docs)
- libsaml10 (3.0.1-1)
- Security Assertion Markup Language library (runtime)
- libsaml2-dev (3.0.1-1)
- transitional package
- libsaml2-doc (3.0.1-1)
- transitional package
- libsamplerate-dev
- virtueel pakket geboden door libsamplerate0-dev
- libsamplerate-ocaml (0.1.2-2+b4 [armhf], 0.1.2-2+b3 [amd64, i386], 0.1.2-2+b2 [arm64])
- OCaml interface to the samplerate library
- libsamplerate-ocaml-dev (0.1.2-2+b4 [armhf], 0.1.2-2+b3 [amd64, i386], 0.1.2-2+b2 [arm64])
- OCaml interface to the samplerate library
- libsamplerate-ocaml-dev-ntll0
- virtueel pakket geboden door libsamplerate-ocaml-dev
- libsamplerate-ocaml-ntll0
- virtueel pakket geboden door libsamplerate-ocaml
- libsamplerate0 (0.1.9-2)
- Audio sample rate conversion library
- libsamplerate0-dev (0.1.9-2)
- Development files for audio sample rate conversion
- libsane (1.0.27-3.2)
- API library for scanners
- libsane-common (1.0.27-3.2)
- API library for scanners -- documentation and support files
- libsane-dev (1.0.27-3.2)
- API development library for scanners [development files]
- libsane-hpaio (3.18.12+dfsg0-2)
- HP SANE backend for multi-function peripherals
- libsane1 (= 1.0.27-3.2)
- virtueel pakket geboden door libsane
- libsanlock-client1 (3.6.0-3)
- Shared storage lock manager (client library)
- libsanlock-dev (3.6.0-3)
- Shared storage lock manager (development files)
- libsanlock1 (3.6.0-3)
- Shared storage lock manager (shared library)
- libsasl2-2 (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - authentication abstraction library
- libsasl2-dev (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - development files for authentication abstraction library
- libsasl2-modules (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules
- libsasl2-modules-db (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules (DB)
- libsasl2-modules-gssapi-heimdal (2.1.27+dfsg-1+deb10u2)
- Pluggable Authentication Modules for SASL (GSSAPI)
- libsasl2-modules-gssapi-mit (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules (GSSAPI)
- libsasl2-modules-kdexoauth2 (18.08.3-2)
- library to integrate with Cyrus SASL
- libsasl2-modules-ldap (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules (LDAP)
- libsasl2-modules-otp (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules (OTP)
- libsasl2-modules-sql (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - pluggable authentication modules (SQL)
- libsass-dev (3.5.5-4)
- C/C++ port of the Sass CSS precompiler - development headers
- libsass1 (3.5.5-4)
- C/C++ port of the Sass CSS precompiler
- libsavitar-dev (3.3.0-3)
- 3MF file handling library (development files)
- libsavitar0 (3.3.0-3)
- 3MF file handling library (shared library)
- libsaxon-java (1:6.5.5-12)
- Saxon XSLT Processor
- libsaxon-java-doc (1:6.5.5-12)
- Saxon XSLT Processor's documentation and javadoc
- libsaxonb-java (9.1.0.8+dfsg-2)
- Saxon-B XSLT Processor
- libsaxonb-java-doc (9.1.0.8+dfsg-2)
- Saxon-B XSLT Processor's documentation and javadoc
- libsaxonhe-java (9.9.0.2+dfsg-1)
- Saxon-HE is the XSLT and XQuery Processor
- libsbc-dev (1.4-1)
- Sub Band CODEC library - development
- libsbc1 (1.4-1)
- Sub Band CODEC library - runtime
- libsbjson
- virtueel pakket geboden door libsbjson2.3
- libsbjson-dev (2.3.2-4+b1)
- Objective-C JSON library (development files)
- libsbjson2.3 (2.3.2-4+b1)
- Objective-C JSON library
- libsbml
- virtueel pakket geboden door libsbml5
- libsbml-cil
- virtueel pakket geboden door libsbml5-cil
- libsbml-dev
- virtueel pakket geboden door libsbml5-dev
- libsbml-java
- virtueel pakket geboden door libsbml5-java
- libsbml-octave
- virtueel pakket geboden door libsbml5-octave
- libsbml-perl
- virtueel pakket geboden door libsbml5-perl
- libsbml5 (5.17.2+dfsg-3)
- System Biology Markup Language library
- libsbml5-cil (5.17.2+dfsg-3)
- System Biology Markup Language library - CLI bindings
- libsbml5-cil-doc (5.17.2+dfsg-3)
- System Biology Markup Language library -- CLI documentation
- libsbml5-dev (5.17.2+dfsg-3)
- System Biology Markup Language library - development files
- libsbml5-doc (5.17.2+dfsg-3)
- System Biology Markup Language library -- documentation
- libsbml5-examples (5.17.2+dfsg-3)
- System Biology Markup Language library -- example files
- libsbml5-java (5.17.2+dfsg-3)
- System Biology Markup Language library - Java bindings
- libsbml5-octave (5.17.2+dfsg-3)
- System Biology Markup Language library - Octave bindings
- libsbml5-perl (5.17.2+dfsg-3)
- System Biology Markup Language library - Perl bindings
- libsbml5-perl-doc (5.17.2+dfsg-3)
- System Biology Markup Language library -- Perl documentation
- libsbsms-dev (2.0.2-2)
- Subband Sinusoidal Modeling Synthesis (development files)
- libsbsms10 (2.0.2-2)
- Subband Sinusoidal Modeling Synthesis
- libsbt-launcher-interface-java (1.0.1-1)
- Sbt launcher module
- libsbt-serialization-java (0.1.2+repack-1)
- Serialization facility for sbt
- libsbt-template-resolver-java (0.1+repack-1)
- Sbt template resolver
- libsbt-test-interface-java (1.0+repack-1)
- Sbt test interface
- libsbuf-dev (10.3~svn296373-10)
- Development files for libsbuf
- libsbuf6 (10.3~svn296373-10)
- FreeBSD string buffer library
- libsbuild-perl (0.78.1-2)
- Library for building Debian binary packages from Debian sources
- libsc-data (2.3.1-19)
- Scientific Computing Toolkit (basis set and atom data)
- libsc-dev (2.3.1-19)
- Scientific Computing Toolkit (development files)
- libsc-doc (2.3.1-19)
- Scientific Computing Toolkit (documentation)
- libsc7v5 (2.3.1-19)
- Scientific Computing Toolkit (library)
- libscala-pickling-java (0.10.1+repack-2)
- Fast, customizable, boilerplate-free pickling support for Scala
- libscala-tools-sbinary-java (0.4.2-1)
- Scala library for describing binary protocols
- libscalapack-mpi-dev (2.0.2-7+b2)
- Scalable Linear Algebra Package - Dev files for MPI
- libscalapack-mpich-dev (2.0.2-7+b2)
- Scalable Linear Algebra Package - Dev files for MPICH
- libscalapack-mpich2.0 (2.0.2-7+b2)
- Scalable Linear Algebra Package - Shared libs for MPICH
- libscalapack-openmpi-dev (2.0.2-7+b2)
- Scalable Linear Algebra Package - Dev files for OpenMPI
- libscalapack-openmpi2.0 (2.0.2-7+b2)
- Scalable Linear Algebra Package - Shared libs for OpenMPI
- libscalar-defer-perl (0.23-2)
- module providing lazy evaluation for Perl
- libscalar-does-perl (0.203-1)
- like ref() but useful
- libscalar-list-utils-perl (1:1.50-1+b1)
- modules providing common scalar and list utility subroutines
- libscalar-list-utils-perl (= 1:1.50)
- virtueel pakket geboden door perl-base
- libscalar-listify-perl (0.03-2)
- module that produces an array/arrayref from a scalar value or array ref
- libscalar-properties-perl (1.100860-1)
- perl module to add run-time properties on scalar variables
- libscalar-string-perl (0.003-1+b1)
- Perl module concerning the string aspects of scalars
- libscalar-util-numeric-perl (0.40-1+b5)
- Perl module containing numeric tests for Perl datatypes
- libscalc-dev (0.2.4-5)
- simple/symbolic calculation library (development files)
- libscalc0v5 (0.2.4-5)
- simple/symbolic calculation library
- libscamperfile-dev
- virtueel pakket geboden door libscamperfile0-dev
- libscamperfile0 (20181219-1)
- file access library for scamper's binary dump format
- libscamperfile0-dev (20181219-1)
- development headers for scamper's binary dump file access library
- libscannotation-java (1.0.2+svn20110812-3)
- Java annotation scanner
- libscca-dev (20181227-1)
- Windows Prefetch File access library -- development files
- libscca-utils (20181227-1)
- Windows Prefetch File access library -- Utilities
- libscca1 (20181227-1)
- Windows Prefetch File access library
- libschedule-at-perl (1.15-1)
- OS independent interface to the Unix 'at' command
- libschedule-cron-events-perl (1.95-1)
- module to find out the times at which a cron entry would run
- libschedule-cron-perl (1.01-1)
- simple but complete cron like scheduler
- libschedule-ratelimiter-perl (0.01-2)
- Perl library to prevent events from happening too quickly
- libschroedinger-coordgenlibs-dev (1.1-3)
- 2D coordinate generation for chemical compounds - header files
- libschroedinger-coordgenlibs1 (1.1-3)
- 2D coordinate generation for chemical compounds
- libschroedinger-maeparser-dev (1.0.1-4)
- Development files to parse Schrödinger Maestro files
- libschroedinger-maeparser1 (1.0.1-4)
- parser for Schrödinger Maestro files
- libscim-dev (1.4.18-2.1)
- development library for SCIM platform
- libscim8v5 (1.4.18-2.1)
- library for SCIM platform
- libsciplot-dev (1.36-18)
- Development library and header files for SciPlot
- libsciplot1 (1.36-18)
- widget for scientific plotting
- libscm-dev (5f2-2+b1)
- Embeddable library for SCM Scheme language interpreter
- libscope-guard-perl (0.21-1)
- lexically scoped resource management
- libscope-upper-perl (0.31-1+b1)
- module to manipulate upper scopes
- libscopt-java (3.5.0+repack-1)
- Simple scala command line options parsing
- libscotch-6.0 (6.0.6-2)
- programs and libraries for graph, mesh and hypergraph partitioning
- libscotch-dev (6.0.6-2)
- programs and libraries for graph, mesh and hypergraph partitioning
- libscotchmetis-dev (6.0.6-2)
- programs and libraries for graph, mesh and hypergraph partitioning
- libscotchparmetis-dev (6.0.6-2)
- programs and libraries for graph, mesh and hypergraph partitioning
- libscout-clojure (0.1.1-5)
- Clojure library to parse strings
- libscram-java (1.0.0~beta.2-3)
- Salted Challenge Response Authentication Mechanism
- libscrappy-perl (0.94112090-2)
- powerful web spidering, scraping, creeping crawling framework
- libscriptalicious-perl (1.17-1)
- module to simplify writing of Perl scripts
- libscrypt-dev (1.21-3)
- scrypt shared library - development files
- libscrypt0 (1.21-3)
- scrypt shared library
- libscscp
- virtueel pakket geboden door libscscp1
- libscscp-dev
- virtueel pakket geboden door libscscp1-dev
- libscscp-doc (1.0.3+ds-2)
- IMCCE SCSCP C Library -- reference manual
- libscscp1 (1.0.3+ds-2)
- IMCCE SCSCP C Library -- library package
- libscscp1-dev (1.0.3+ds-2)
- IMCCE SCSCP C Library -- development package
- libscsynth1 (1:3.10.0+repack-1)
- SuperCollider synthesis server library
- libsctp-dev (1.0.18+dfsg-1)
- user-space access to Linux kernel SCTP - development files
- libsctp1 (1.0.18+dfsg-1)
- user-space access to Linux kernel SCTP - shared library
- libscythestat-dev (1.0.3-1)
- header files for Scythe statistics library
- libsdes4j-java (1.1.4-1)
- SDES (RFC4568) implementation for Java
- libsdes4j-java-doc (1.1.4-1)
- Documentation for sdes4j
- libsdformat-dev
- virtueel pakket geboden door libsdformat6-dev
- libsdformat6 (6.2.0+dfsg-1)
- Simulation Description Format (SDF) parser - Shared library
- libsdformat6-dev (6.2.0+dfsg-1)
- Simulation Description Format (SDF) parser - Development files
- libsdl-console (2.1-6)
- Console that can be added to any SDL application, libraries
- libsdl-console-dev (2.1-6)
- Console that can be added to any SDL application, development files
- libsdl-dev
- virtueel pakket geboden door libsdl1.2-dev
- libsdl-erlang
- virtueel pakket geboden door erlang-esdl
- libsdl-gfx1.2-5 (2.0.25-11)
- drawing and graphical effects extension for SDL
- libsdl-gfx1.2-dev (2.0.25-11)
- development files for SDL_gfx
- libsdl-gfx1.2-doc (2.0.25-11)
- documentation files for SDL_gfx
- libsdl-image1.2 (1.2.12-10+deb10u1)
- Image loading library for Simple DirectMedia Layer 1.2, libraries
- libsdl-image1.2-dev (1.2.12-10+deb10u1)
- Image loading library for Simple DirectMedia Layer 1.2, development files
- libsdl-kitchensink-dev (1.0.7-1)
- FFmpeg and SDL2 based library for audio and video playback - Development files
- libsdl-kitchensink1 (1.0.7-1)
- FFmpeg and SDL2 based library for audio and video playback
- libsdl-mixer1.2 (1.2.12-15)
- Mixer library for Simple DirectMedia Layer 1.2, libraries
- libsdl-mixer1.2-dev (1.2.12-15)
- Mixer library for Simple DirectMedia Layer 1.2, development files
- libsdl-net1.2 (1.2.8-6)
- Network library for Simple DirectMedia Layer 1.2, libraries
- libsdl-net1.2-dev (1.2.8-6)
- Network library for Simple DirectMedia Layer 1.2, development files
- libsdl-ocaml (0.9.1-2+b5 [armhf], 0.9.1-2+b4 [amd64, i386], 0.9.1-2+b3 [arm64])
- OCaml bindings for SDL - runtime files
- libsdl-ocaml-dev (0.9.1-2+b5 [armhf], 0.9.1-2+b4 [amd64, i386], 0.9.1-2+b3 [arm64])
- OCaml bindings for SDL - development files
- libsdl-ocaml-dev-thip3
- virtueel pakket geboden door libsdl-ocaml-dev
- libsdl-ocaml-dev-v37a8
- virtueel pakket geboden door libsdl-ocaml-dev
- libsdl-ocaml-thip3
- virtueel pakket geboden door libsdl-ocaml
- libsdl-ocaml-v37a8
- virtueel pakket geboden door libsdl-ocaml
- libsdl-pango-dev (0.1.2-8)
- text rendering with Pango in SDL applications (development)
- libsdl-pango1 (0.1.2-8)
- text rendering with Pango in SDL applications (shared library)
- libsdl-perl (2.548-1+b1)
- SDL bindings for the Perl language
- libsdl-sge (030809dfsg-9)
- extension of graphic functions for SDL multimedia libraries
- libsdl-sge-dev (030809dfsg-9)
- extension of graphic functions for the SDL multimedia, development files
- libsdl-sound1.2 (1.0.3-9)
- Sound library for Simple DirectMedia Layer 1.2, libraries
- libsdl-sound1.2-dev (1.0.3-9)
- Sound library for Simple DirectMedia Layer 1.2, development files
- libsdl-stretch-0-3 (0.3.1-12)
- stretch functions for Simple DirectMedia Layer
- libsdl-stretch-dev (0.3.1-12)
- development files for SDL_stretch library
- libsdl-ttf2.0-0 (2.0.11-6)
- TrueType Font library for Simple DirectMedia Layer 1.2, libraries
- libsdl-ttf2.0-dev (2.0.11-6)
- TrueType Font library for Simple DirectMedia Layer 1.2, development files
- libsdl1.2-dev (1.2.15+dfsg2-6~deb10u1)
- Simple DirectMedia Layer development files
- libsdl1.2debian (1.2.15+dfsg2-6~deb10u1)
- Simple DirectMedia Layer
- libsdl2-2.0-0 (2.0.9+dfsg1-1+deb10u1) [security]
- Simple DirectMedia Layer
- libsdl2-dev (2.0.9+dfsg1-1+deb10u1) [security]
- Simple DirectMedia Layer development files
- libsdl2-doc (2.0.9+dfsg1-1+deb10u1) [security]
- Reference manual for libsdl2
- libsdl2-gfx-1.0-0 (1.0.4+dfsg-3)
- drawing and graphical effects extension for SDL2
- libsdl2-gfx-dev (1.0.4+dfsg-3)
- development files for SDL2_gfx
- libsdl2-gfx-doc (1.0.4+dfsg-3)
- documentation files for SDL2_gfx
- libsdl2-image-2.0-0 (2.0.4+dfsg1-1+deb10u1)
- Image loading library for Simple DirectMedia Layer 2, libraries
- libsdl2-image-dev (2.0.4+dfsg1-1+deb10u1)
- Image loading library for Simple DirectMedia Layer 2, development files
- libsdl2-mixer-2.0-0 (2.0.4+dfsg1-1)
- Mixer library for Simple DirectMedia Layer 2, libraries
- libsdl2-mixer-dev (2.0.4+dfsg1-1)
- Mixer library for Simple DirectMedia Layer 2, development files
- libsdl2-net-2.0-0 (2.0.1+dfsg1-4)
- Network library for Simple DirectMedia Layer 2, libraries
- libsdl2-net-dev (2.0.1+dfsg1-4)
- Network library for Simple DirectMedia Layer 2, development files
- libsdl2-ttf-2.0-0 (2.0.15+dfsg1-1)
- TrueType Font library for Simple DirectMedia Layer 2, libraries
- libsdl2-ttf-dev (2.0.15+dfsg1-1)
- TrueType Font library for Simple DirectMedia Layer 2, development files
- libsdo-api-java (1.1.1-1)
- Service Data Objects 2.1 Java API spec
- libsdo-api-java-doc (1.1.1-1)
- Documentation for libsdo-api-java
- libsdp-api-java (1.0-1)
- SDP API for Java
- libsdsl-dev (2.1.1+dfsg-2)
- succinct data structure library - development files
- libsdsl3 (2.1.1+dfsg-2)
- succinct data structure library
- libseafile-dev (6.2.11-1)
- Development files for the Seafile Client
- libseafile0 (6.2.11-1)
- Shared library for the Seafile Client
- libsearch-elasticsearch-client-1-0-perl (5.02-1)
- Module to add client support for Elasticsearch 1.x
- libsearch-elasticsearch-client-2-0-perl (5.02-1)
- Thin client with full support for Elasticsearch 2.x APIs
- libsearch-elasticsearch-perl (6.00-1)
- Perl client for Elasticsearch
- libsearch-gin-perl (0.11-2)
- Perl module for Generalized Inverted Indexing
- libsearch-queryparser-perl (0.94-1)
- Perl module to parse URI query strings into a data structure
- libsearch-xapian-perl (1.2.25.2-1+b2)
- Perl bindings for the Xapian search library
- libsearpc-dev (3.1.0-3)
- Development files for the Searpc framework
- libsearpc1 (3.1.0-3)
- Shared library for the Searpc framework
- libseccomp-dev (2.3.3-4)
- high level interface to Linux seccomp filter (development files)
- libseccomp2 (2.3.3-4)
- high level interface to Linux seccomp filter
- libsecp256k1-0 (0.1~20170810-2)
- library for EC operations on curve secp256k1
- libsecp256k1-dev (0.1~20170810-2)
- library for EC operations on curve secp256k1 - development headers
- libsecret-1-0 (0.18.7-1)
- Secret store
- libsecret-1-dev (0.18.7-1)
- Secret store (development files)
- libsecret-common (0.18.7-1)
- Secret store (common files)
- libsecret-tools (0.18.7-1)
- tool for storing and retrieving GObject passwords
- libsedlex-ocaml (1.99.4-2)
- Unicode-friendly lexer generator for OCaml (Runtime library)
- libsedlex-ocaml-dev (1.99.4-2)
- Unicode-friendly lexer generator for OCaml (Development package)
- libsedlex-ocaml-dev-fxx25
- virtueel pakket geboden door libsedlex-ocaml-dev
- libsedlex-ocaml-dev-j4tc2
- virtueel pakket geboden door libsedlex-ocaml-dev
- libsedlex-ocaml-dev-u19t3
- virtueel pakket geboden door libsedlex-ocaml-dev
- libsedlex-ocaml-dev-ulbs5
- virtueel pakket geboden door libsedlex-ocaml-dev
- libsedlex-ocaml-fxx25
- virtueel pakket geboden door libsedlex-ocaml
- libsedlex-ocaml-j4tc2
- virtueel pakket geboden door libsedlex-ocaml
- libsedlex-ocaml-u19t3
- virtueel pakket geboden door libsedlex-ocaml
- libsedlex-ocaml-ulbs5
- virtueel pakket geboden door libsedlex-ocaml
- libseed-gtk4-0 (4.0.0+20161014+6c77960+dfsg1-6)
- GObject JavaScript bindings for the webkit engine - Runtime
- libseed-gtk4-dev (4.0.0+20161014+6c77960+dfsg1-6)
- GObject JavaScript bindings for the webkit engine - Development
- libsegyio-dev (1.8.3-1)
- SEG-Y read/write library for seismic processing (development)
- libsegyio1 (1.8.3-1)
- SEG-Y read/write library for seismic processing (runtime)
- libsejda-eventstudio-java (1.0.6-2)
- pure Java event bus implementation
- libsejda-injector-java (1.0.2-1)
- lightweight dependency injection engine
- libsejda-io-java (1.1.4-1)
- layer of Input/Output classes built on top of Java IO and NIO
- libsejda-java (3.2.66-1)
- extendible PDF manipulation layer library written in Java
- libselinux-dev
- virtueel pakket geboden door libselinux1-dev
- libselinux1 (2.8-1+b1)
- SELinux runtime shared libraries
- libselinux1-dev (2.8-1+b1)
- SELinux development headers
- libselinux1-udeb (2.8-1+b1)
- SELinux runtime shared libraries
- libsemanage-common (2.8-2)
- Common files for SELinux policy management libraries
- libsemanage-dev
- virtueel pakket geboden door libsemanage1-dev
- libsemanage1 (2.8-2)
- SELinux policy management library
- libsemanage1-dev (2.8-2)
- Header files and libraries for SELinux policy manipulation
- libsemver-java (0.9.0-3)
- Java implementation of the SemVer Specification
- libsemver-java-doc (0.9.0-3)
- Documentation for Java SemVer
- libsemver-perl (0.7.0-1)
- Perl implementation of the Semantic Versioning 2.0.0 Specification
- libsendmail-milter-perl (0.18-8+b5)
- Interface to Sendmail's Mail Filter API
- libsendmail-pmilter-perl (1.00-1)
- Perl implementation of the Sendmail Milter protocol
- libsensor-msgs-dev (1.12.7-1)
- Messages relating to Robot OS sensor, C/C++ interface
- libsensors-applet-plugin-dev (3.0.0+git6-0.2+b1)
- Create plugins for the 'sensors-applet' package
- libsensors-applet-plugin0 (3.0.0+git6-0.2+b1)
- Library for plugins for the 'sensors-applet' package
- libsensors-config (1:3.5.0-3)
- lm-sensors configuration files
- libsensors-dev
- virtueel pakket geboden door libsensors4-dev
- libsensors4-dev (1:3.5.0-3)
- lm-sensors development kit
- libsensors5 (1:3.5.0-3)
- library to read temperature/voltage/fan sensors
- libsepol-dev
- virtueel pakket geboden door libsepol1-dev
- libsepol1 (2.8-1)
- SELinux library for manipulating binary security policies
- libsepol1-dev (2.8-1)
- SELinux binary policy manipulation library and development files
- libseqan2-dev (2.4.0+dfsg-11)
- C++ library for the analysis of biological sequences (development)
- libseqlib-dev (1.1.2+dfsg-3)
- C++ htslib/bwa-mem/fermi interface for interrogating sequence data (dev)
- libseqlib1 (1.1.2+dfsg-3)
- C++ htslib/bwa-mem/fermi interface for interrogating sequence data
- libsequence-library-java (1.0.3-1)
- Textual Diff and Merge Library
- libserd-0-0 (0.28.0~dfsg0-1)
- lightweight RDF syntax library
- libserd-dev (0.28.0~dfsg0-1)
- lightweight RDF syntax library - development files
- libserd-doc (0.28.0~dfsg0-1)
- lightweight RDF syntax library - documentation
- libsereal-decoder-perl (4.005+ds-1+b1)
- fast, compact, powerful binary deserialization module
- libsereal-encoder-perl (4.005+ds-1+b1)
- fast, compact, powerful binary serialization module
- libsereal-perl (4.005-1)
- fast, compact, powerful binary (de-)serialization module wrapper
- libserf-1-1 (1.3.9-7+b10)
- high-performance asynchronous HTTP client library
- libserf-dev (1.3.9-7+b10)
- high-performance asynchronous HTTP client library headers
- libserial-dev (0.6.0~rc2+svn122-4+b11)
- Serial port programming in C++ -- development files
- libserial-doc (0.6.0~rc2+svn122-4)
- Serial port programming in C++ -- documentation
- libserial0 (0.6.0~rc2+svn122-4+b11)
- Serial port programming in C++ under POSIX operating system
- libserializer-java (1.1.6-5)
- general serializaton framework
- libserialport-dev (0.1.1-3)
- Crossplatform serial port handling library - development files
- libserialport0 (0.1.1-3)
- Crossplatform serial port handling library - shared library
- libserp-java (1.15.1-1)
- Java Virtual Machine bytecode manipulation framework
- libserp-java-doc (1.15.1-1)
- Documentation for libserp-java
- libserver-starter-perl (0.34-1)
- superdaemon for hot-deploying Perl server programs
- libservice-wrapper-doc (3.5.30-1)
- Jar daemon wrapper (javadoc files)
- libservice-wrapper-java (3.5.30-1)
- Jar daemon wrapper java libraries
- libservice-wrapper-jni (3.5.30-1)
- Jar daemon wrapper JNI libraries
- libservlet-api-java (4.0.1-2)
- Java Servlet API
- libservlet-api-java-doc (4.0.1-2)
- Java Servlet API (documentation)
- libservlet3.1-java (1:4.0.1-2)
- Java Servlet API 3.1 (transitional package)
- libservlet3.1-java
- virtueel pakket geboden door libservlet3.1-java
- libservlet3.1-java-doc
- virtueel pakket geboden door libservlet-api-java-doc
- libsession-storage-secure-perl (0.011-1)
- module implementing a secure way to encode session data
- libsession-token-perl (1.503-1+b4)
- secure, efficient, simple random session token generation
- libset-crontab-perl (1.03-1)
- Expand crontab-style integer lists
- libset-infinite-perl (0.65-1)
- module for sets of intervals
- libset-intervaltree-perl (0.12-1+b1)
- Perform range-based lookups on sets of ranges
- libset-intspan-perl (1.19-1)
- module to manage sets of integers
- libset-nestedgroups-perl (0.01-3)
- Simple implementation of nested groups
- libset-object-perl (1.39-1+b1)
- collection of objects without duplications
- libset-scalar-perl (1.29-2)
- Perl interface for operations on finite sets
- libset-tiny-perl (0.04-1)
- module for simple sets of strings
- libsezpoz-java (1.12-1)
- Lightweight library for modular service lookups
- libsezpoz-java-doc (1.12-1)
- Documentation for SezPoz
- libsfark-dev (2.24-3)
- Header files for sfArk library
- libsfark0 (2.24-3)
- Library for decompressing sfArk soundfonts
- libsfcgal-dev (1.3.6-2)
- Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations (development)
- libsfcgal-osg1 (1.3.6-2)
- Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations (OpenSceneGraph)
- libsfcgal1 (1.3.6-2)
- Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations
- libsfml-audio2.5 (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Audio part
- libsfml-dev (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Development Files
- libsfml-doc (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Documentation
- libsfml-graphics2.5 (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Graphics part
- libsfml-network2.5 (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Network part
- libsfml-system2.5 (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - System part
- libsfml-window2.5 (2.5.1+dfsg-1)
- Simple and Fast Multimedia Library - Window part
- libsfst1
- virtueel pakket geboden door libsfst1-1.4
- libsfst1-1.4 (1.4.7b-1+b1)
- Shared library for SFST
- libsfst1-1.4-dev (1.4.7b-1+b1)
- Development library SFST
- libsfst1-dev
- virtueel pakket geboden door libsfst1-1.4-dev
- libsgml-dtdparse-perl (2.00-1)
- Perl modules and scripts for manipulating SGML and XML DTDs
- libsgml-parser-opensp-perl (0.994-3+b6 [amd64, armhf, i386], 0.994-3+b5 [arm64])
- OpenSP Parser of SGML documents
- libsgmls-perl (1.03ii-36)
- Perl modules for processing SGML parser output
- libsgutils2-2 (1.44-1)
- utilities for devices using the SCSI command set (shared libraries)
- libsgutils2-dev (1.44-1)
- utilities for devices using the SCSI command set (developer files)
- libsha-ocaml (1.11-1)
- SHA cryptographic hash functions for OCaml (runtime)
- libsha-ocaml-dev (1.11-1)
- SHA cryptographic hash functions for OCaml (development files)
- libsha-ocaml-dev-jzj55
- virtueel pakket geboden door libsha-ocaml-dev
- libsha-ocaml-dev-kc240
- virtueel pakket geboden door libsha-ocaml-dev
- libsha-ocaml-jzj55
- virtueel pakket geboden door libsha-ocaml
- libsha-ocaml-kc240
- virtueel pakket geboden door libsha-ocaml
- libshadowsocks-libev-dev (3.2.5+ds-1)
- lightweight and secure socks5 proxy (development files)
- libshadowsocks-libev2 (3.2.5+ds-1)
- lightweight and secure socks5 proxy (shared library)
- libshairport-dev (1.2.1~git20120510.cbed0c1-3+b2)
- emulates an AirPort Express (development files)
- libshairport2 (1.2.1~git20120510.cbed0c1-3+b2)
- emulates an AirPort Express (shared library)
- libshape-msgs-dev (1.12.7-1)
- Messages relating to Robot OS shape, C/C++ interface
- libsharyanto-file-util-perl (0.56-1)
- SHARYANTO's module of file related utilities
- libsharyanto-string-util-perl (0.26-2)
- SHARYANTO's module for string processing utilities
- libsharyanto-utils-perl (0.77-1)
- SHARYANTO's temporary modules for various routines
- libshell-command-perl (0.06-4)
- cross-platform functions emulating common shell commands
- libshell-config-generate-perl (0.33-1)
- Perl module to generate config file for any shell
- libshell-guess-perl (0.09-1)
- Perl module to make an educated guess about the shell in use
- libshell-perl (0.73-1)
- run shell commands transparently within perl
- libshell-perl-perl (0.0026-1)
- read-eval-print loop in Perl
- libshell-posix-select-perl (0.08-1)
- POSIX Shell's "select" loop for Perl
- libshhmsg-dev
- virtueel pakket geboden door libshhmsg1-dev
- libshhmsg1 (1.4.2-1)
- library for displaying messages - runtime
- libshhmsg1-dev (1.4.2-1)
- library for displaying messages - development
- libshhopt-dev
- virtueel pakket geboden door libshhopt1-dev
- libshhopt1 (1.1.7-4)
- Command line option parser - runtime
- libshhopt1-dev (1.1.7-4)
- Command line option parser - development
- libshiboken-dev (1.2.2-5.1+b1)
- development files for the shiboken bindings generator library
- libshiboken-py3-1.2v5 (1.2.2-5.1+b1)
- CPython3 bindings generator for C++ libraries - shared library
- libshiboken1.2v5 (1.2.2-5.1+b1)
- CPython bindings generator for C++ libraries - shared library
- libshiboken2-5.11 (5.11.2-3)
- CPython bindings generator for C++ libraries (Python2 shared library)
- libshiboken2-dev (5.11.2-3)
- CPython bindings generator for C++ libraries (development files)
- libshiboken2-py3-5.11 (5.11.2-3)
- CPython bindings generator for C++ libraries (Python3 shared library)
- libshibresolver-dev (3.0.0-3)
- Shibboleth SP Attribute Resolver library (development)
- libshibresolver2 (3.0.0-3)
- Shibboleth SP Attribute Resolver library
- libshibsp-dev (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (development)
- libshibsp-doc (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (API docs)
- libshibsp-plugins (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (plugins)
- libshibsp8 (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (runtime)
- libshimdandy-java (1.2.0-3)
- Shim wrapping multiple Clojure runtimes into the same JVM
- libshine-dev (3.1.1-2)
- Fixed-point MP3 encoding library - development files
- libshine-ocaml (0.2.0-1+b3 [armhf], 0.2.0-1+b2 [amd64, arm64, i386])
- OCaml interface to the shine library -- runtime files
- libshine-ocaml-dev (0.2.0-1+b3 [armhf], 0.2.0-1+b2 [amd64, arm64, i386])
- OCaml interface to the shine library -- developpement files
- libshine-ocaml-dev-qrtl3
- virtueel pakket geboden door libshine-ocaml-dev
- libshine-ocaml-qrtl3
- virtueel pakket geboden door libshine-ocaml
- libshine3 (3.1.1-2)
- Fixed-point MP3 encoding library - runtime files
- libshiro-java (1.3.2-4+deb10u1)
- Apache Shiro - Java Security Framework
- libshisa-dev (1.0.2-6.2)
- Development files for the Shishi Kerberos v5 KDC database library
- libshisa0 (1.0.2-6.2)
- Library for the Shishi Kerberos v5 KDC database
- libshishi-dev (1.0.2-6.2)
- Development files for the Shishi Kerberos v5 library
- libshishi0 (1.0.2-6.2)
- Library for the Shishi Kerberos v5 implementation
- libshogun-dbg (3.2.0-8+b1)
- Large Scale Machine Learning Toolbox
- libshogun-dev (3.2.0-8+b1)
- Large Scale Machine Learning Toolbox
- libshogun16 (3.2.0-8+b1)
- Large Scale Machine Learning Toolbox
- libshout-dev
- virtueel pakket geboden door libshout3-dev
- libshout-idjc-dev (2.4.1-2)
- broadcast streaming library with IDJC extensions (development)
- libshout-idjc3 (2.4.1-2)
- broadcast streaming library with IDJC extensions
- libshout-ocaml (0.2.7-1+b6 [amd64, i386], 0.2.7-1+b4 [armhf], 0.2.7-1+b2 [arm64])
- OCaml bindings for the shout library
- libshout-ocaml-0mgu7
- virtueel pakket geboden door libshout-ocaml
- libshout-ocaml-dev (0.2.7-1+b6 [amd64, i386], 0.2.7-1+b4 [armhf], 0.2.7-1+b2 [arm64])
- OCaml bindings for the shout library
- libshout-ocaml-dev-0mgu7
- virtueel pakket geboden door libshout-ocaml-dev
- libshout-ocaml-dev-tle83
- virtueel pakket geboden door libshout-ocaml-dev
- libshout-ocaml-tle83
- virtueel pakket geboden door libshout-ocaml
- libshout3 (2.4.1-2)
- MP3/Ogg Vorbis broadcast streaming library
- libshout3-dev (2.4.1-2)
- MP3/Ogg Vorbis broadcast streaming library (development)
- libshp-dev (1.4.1-3)
- Library for reading and writing ESRI Shapefiles - development files
- libshp2 (1.4.1-3)
- Library for reading and writing ESRI Shapefiles
- libsidplay1-dev (1.36.59-11)
- SID (MOS 6581) emulatie bibliotheek (ontwikkelbestanden)
- libsidplay1v5 (1.36.59-11)
- SID (MOS 6581) emulatiebibliotheek
- libsidplay2 (2.1.1-15)
- SID (MOS 6581) emulation library
- libsidplay2-dev (2.1.1-15)
- SID (MOS 6581) emulation library
- libsidplayfp-dev (1.8.8-1)
- library to play Commodore 64 music based on libsidplay2 (development files)
- libsidplayfp-doc (1.8.8-1)
- library to play Commodore 64 music based on libsidplay2 (documentation)
- libsidplayfp4 (1.8.8-1)
- Library to play Commodore 64 music based on libsidplay2
- libsidutils-dev (2.1.1-15)
- utility functions for SID players
- libsidutils0 (2.1.1-15)
- utility functions for SID players
- libsieve2-1 (2.2.6-2)
- library for parsing, sorting and filtering your mail
- libsieve2-dev (2.2.6-2)
- library for parsing, sorting and filtering your mail
- libsigc++-2.0-0v5 (2.10.1-2)
- type-veilig signaalstructuur voor C++ - voor executie
- libsigc++-2.0-dev (2.10.1-2)
- type-safe Signal Framework for C++ - development files
- libsigc++-2.0-doc (2.10.1-2)
- type-safe Signal Framework for C++ - reference documentation
- libsignal-mask-perl (0.008-1)
- module for easy handling of signal masks
- libsignal-protocol-c-dev (2.3.1+git20171007-3)
- ratcheting forward secrecy protocol for synchronous and asynchronous messaging
- libsignal-protocol-c2 (2.3.1+git20171007-3)
- ratcheting forward secrecy protocol for synchronous and asynchronous messaging
- libsignatures-perl (0.13-2+b1)
- Perl pragma for subroutine signatures
- libsignon-extension1 (8.59-2)
- Single Sign On framework - extension shared library
- libsignon-glib-dbg (1.12-2+b11)
- debug files for libsignon-glib
- libsignon-glib-dev (1.12-2+b11)
- development files for signon-glib sso API
- libsignon-glib1 (1.12-2+b11)
- GLib Library for managing SSO credentials
- libsignon-plugins-common1 (8.59-2)
- Single Sign On framework - plugins common shared library
- libsignon-plugins-doc (8.59-2)
- Single Sign On framework - plugins documentation
- libsignon-qt-doc (8.59-2)
- Single Sign On framework - documentation for Qt API
- libsignon-qt5-1 (8.59-2)
- Single Sign On framework - qt5 shared libraries
- libsignon-qt5-dev (8.59-2)
- Single Sign On framework - qt5 development files
- libsigrok-dev (0.5.1-1)
- sigrok hardware driver library - development files
- libsigrok4 (0.5.1-1)
- sigrok hardware driver library - shared library
- libsigrokcxx-dev (0.5.1-1)
- sigrok C++ bindings - development files
- libsigrokcxx4 (0.5.1-1)
- sigrok hardware driver library - shared library
- libsigrokdecode-dev (0.5.2-1+b1)
- sigrok protocol decoding library - development files
- libsigrokdecode4 (0.5.2-1+b1)
- sigrok protocol decoding library - shared library
- libsigscan-dev (20190103-1)
- binary signature scanning library -- development files
- libsigscan-utils (20190103-1)
- binary signature scanning library -- Utilities
- libsigscan1 (20190103-1)
- binary signature scanning library
- libsigsegv-dev (2.12-2)
- Library for handling page faults in a portable way development package
- libsigsegv2 (2.12-2)
- Library for handling page faults in a portable way
- libsilly (0.1.0-8)
- development files for the simple image loading library
- libsilly-dev (0.1.0-8)
- simple image loading library
- libsilly-doc (0.1.0-8)
- simple image loading library (documentation)
- libsilo-bin (4.10.2.real-5+b1)
- Utilities to manipulate libsilo files
- libsilo-dev (4.10.2.real-5+b1)
- Development files for SILO Scientific I/O library from LLNL
- libsiloh5-0 (4.10.2.real-5+b1)
- SILO Science I/O library from LLNL
- libsimage-dev (1.7.1~2c958a6.dfsg-5)
- generic interface to various image file format libraries. Development files.
- libsimage20 (1.7.1~2c958a6.dfsg-5)
- generic interface to various image file format libraries
- libsimavr-dev (1.6+dfsg-1)
- AVR simulator development library
- libsimavr-examples (1.6+dfsg-1)
- AVR simulator development examples
- libsimavr2 (1.6+dfsg-1)
- AVR simulator shared library
- libsimavrparts1 (1.6+dfsg-1)
- AVR simulator additional peripherals shared library
- libsimbody-dev (3.6.1+dfsg-7)
- SimTK multibody dynamics API - development files
- libsimbody3.6 (3.6.1+dfsg-7)
- SimTK multibody dynamics API - shared library
- libsimgear-dev (1:2018.3.2+dfsg-5)
- Simulator Construction Gear -- development files
- libsimgrid-dev (3.21+dfsg-4)
- Development files for the SimGrid Toolkit
- libsimgrid3.21 (3.21+dfsg-4)
- Toolkit for scalable simulation of distributed applications
- libsimple-http-java (4.1.21-1)
- high-performance, embeddable Java HTTP engine
- libsimple-http-java-doc (4.1.21-1)
- Documentation for libsimple-http-java
- libsimple-validation-java (0.9-2)
- library for quickly adding validation code to Swing user-interfaces
- libsimpleini-dev (4.17+dfsg-5+b1)
- C++ library for INI-style configuration files (development files)
- libsimpleini1 (4.17+dfsg-5+b1)
- C++ library for INI-style configuration files
- libsimpleitk1-dev (1.0.1-3)
- development files for SimpleITK
- libsimpleitk1.0 (1.0.1-3)
- cross-platform image analysis toolkit
- libsingular
- virtueel pakket geboden door libsingular4m1
- libsingular-dev
- virtueel pakket geboden door libsingular4-dev
- libsingular4-dev (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- development package
- libsingular4-dev-common (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- common dev package
- libsingular4m1 (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- library package
- libsip-api-java (1.2-1)
- SIP API for Java
- libsipxtapi (3.3.0~test17-3)
- SIP stack, RTP media framework and codecs
- libsipxtapi-dev (3.3.0~test17-3)
- SIP stack, RTP media framework and codecs (headers)
- libsipxtapi-doc (3.3.0~test17-3)
- SIP stack, RTP media framework and codecs (API documentation)
- libsis-base-java (18.09~pre1+git20180827.fe4953e+dfsg-1)
- Base libraries used by software from the SIS division at ETH Zurich
- libsis-base-jni (18.09~pre1+git20180827.fe4953e+dfsg-1)
- Base libraries used by ETH-SIS (JNI components)
- libsiscone-dev (2.0.6-2)
- Seedless Infrared Safe Cone jet finder - development files
- libsiscone-spherical-dev (2.0.6-2)
- Spherical version of SISCone - development files
- libsiscone-spherical0v5 (2.0.6-2)
- Spherical version of SISCone
- libsiscone0v5 (2.0.6-2)
- Seedless Infrared Safe Cone jet finder
- libsisimai-perl (4.24.1-1)
- Perl module to analyze bounce mails
- libsisl-dev (4.6.0-2)
- SINTEF Spline Library
- libsisu-guice-java (4.2.0-1)
- Patched build of Google Guice for Sisu-IoC
- libsisu-inject-java (0.3.3-1)
- Dependency Injection container for Java
- libsisu-ioc-java (2.3.0-11)
- JSR 330 container and OSGi/Plexus adapter
- libsisu-maven-plugin-java (1.4-1)
- Manage Sisu components and applications
- libsisu-plexus-java (0.3.3-3)
- Plexus adapter for the Sisu dependency injection container
- libsitemesh-java (2.4.1+dfsg-7)
- web-page layout and decoration framework
- libsitemesh-java-doc (2.4.1+dfsg-7)
- documentation for libsitemesh-java
- libsixel-bin (1.8.2-1+deb10u1)
- DEC SIXEL graphics codec implementation (binary)
- libsixel-dev (1.8.2-1+deb10u1)
- DEC SIXEL graphics codec implementation (develop)
- libsixel-examples (1.8.2-1+deb10u1)
- DEC SIXEL graphics codec implementation (examples)
- libsixel1 (1.8.2-1+deb10u1)
- DEC SIXEL graphics codec implementation (runtime)
- libsjacket-clojure (0.1.1-2)
- Clojure code transformation library
- libskarnet2.7 (2.7.0.0-2)
- library used for building software at skarnet.org
- libskinlf-java (6.7-10)
- Skin Look and Feel - Skinning Engine for the Swing toolkit
- libskinlf-java-demo (6.7-10)
- Swing Java docking framework - demos and examples
- libskk-common (1.0.5-1)
- library to deal with Japanese kana-kanji conversion method - common files
- libskk-dev (1.0.5-1)
- library to deal with Japanese kana-kanji conversion method - development
- libskk-utils (1.0.5-1)
- program that emulates Japanese SKK input method
- libskk0 (1.0.5-1)
- library to deal with Japanese kana-kanji conversion method
- libskstream-0.3-7v5 (0.3.9-4)
- iostream-based C++ socket library
- libskstream-0.3-dev (0.3.9-4)
- iostream-based C++ socket library - development files
- libskypat-dev (3.1.1-3)
- C++ performance analyzing and testing framework - static libraries and headers
- libskypat3 (3.1.1-3)
- C++ performance analyzing and testing framework - shared libraries
- libsl0-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - SL support library
- libslang2 (2.3.2-2)
- S-Lang programming library - runtime version
- libslang2-dev (2.3.2-2)
- S-Lang programming library, development version
- libslang2-modules (2.3.2-2)
- Shared modules for S-Lang language
- libslang2-pic (2.3.2-2)
- S-Lang programming library, shared library subset kit
- libslang2-udeb (2.3.2-2)
- S-Lang library for Debian Installer
- libslapi-2.4-2
- virtueel pakket geboden door slapd
- libsleef-dev (3.3.1-6)
- SLEEF Vectorized Math Library (development)
- libsleef3 (3.3.1-6)
- SLEEF Vectorized Math Library (libraries)
- libslepc-complex3.10 (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- libslepc-complex3.10-dev (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- libslepc-real3.10 (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- libslepc-real3.10-dev (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- libslepc3.10-dev-examples (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- libslf4j-java (1.7.25-3)
- Simple Logging Facade for Java
- libslice-java (0.10-2)
- Java library for efficiently working with heap and off-heap memory
- libslicot-dev (5.0+20101122-4)
- numerical algorithms from systems and control theory (static library)
- libslicot-doc (5.0+20101122-4)
- numerical algorithms from systems and control theory (documentation)
- libslicot-pic (5.0+20101122-4)
- numerical algorithms from systems and control theory (static PIC library)
- libslicot0 (5.0+20101122-4)
- numerical algorithms from systems and control theory (shared library)
- libslingshot-clojure (0.12.2-2)
- Enhanced throw and catch library for Clojure
- libslurm-dev (18.08.5.2-1+deb10u2)
- SLURM development files
- libslurm-perl (18.08.5.2-1+deb10u2)
- Perl API for SLURM
- libslurm33 (18.08.5.2-1+deb10u2)
- Runtime library files for SLURM
- libslurmdb-dev (18.08.5.2-1+deb10u2)
- SLURM DataBase Daemon development files
- libslurmdb-perl (18.08.5.2-1+deb10u2)
- Perl API for the SLURM database
- libslurmdb33 (18.08.5.2-1+deb10u2)
- Runtime library files for the SLURM DataBase Daemon
- libslvs1 (2.3+repack1-3+b1)
- SolveSpace geometric kernel
- libslvs1-dev (2.3+repack1-3+b1)
- SolveSpace geometric kernel (development files)
- libsm-dev (2:1.2.3-1)
- X11 Session Management library (development headers)
- libsm-doc (2:1.2.3-1)
- documentation for the X Session Management protocol and library
- libsm6 (2:1.2.3-1)
- X11 Session Management library
- libsmali-java (2.2.6-1)
- assembler/disassembler for Android's dex format
- (1.06-1)
- Perl module for comments that do more than just sit there
- libsmartcols-dev (2.33.1-0.1+deb10u1) [security]
- smart column output alignment library - headers and static libraries
- libsmartcols1 (2.33.1-0.1+deb10u1) [security]
- smart column output alignment library
- libsmartcols1-udeb (2.33.1-0.1)
- stripped down smart column output aligment library, for debian-installer
- libsmbclient (2:4.9.5+dfsg-5+deb10u5) [security]
- shared library for communication with SMB/CIFS servers
- libsmbclient-dev (2:4.9.5+dfsg-5+deb10u5) [security]
- development files for libsmbclient
- libsmbios-bin
- virtueel pakket geboden door smbios-utils
- libsmbios-c2 (2.4.1-1)
- Provide access to (SM)BIOS information -- dynamic library
- libsmbios-dev (2.4.1-1)
- Provide access to (SM)BIOS information - development files
- libsmbios-doc (2.4.1-1)
- Access to (SM)BIOS information in an OS-indepent way (docs)
- libsmbios2 (= 2.3.1-1)
- virtueel pakket geboden door libsmbios-c2
- libsmbios2v5 (= 2.3.1-0ubuntu2)
- virtueel pakket geboden door libsmbios-c2
- libsmc-dev (1.8.3-1)
- Robot OS 'bond' State Machine Compiler interface
- libsmdev-dev (20181227-1)
- storage media device access library -- development files
- libsmdev-utils (20181227-1)
- storage media device access library -- Utilities
- libsmdev1 (20181227-1)
- storage media device access library
- libsmf-dev (1.3-2+b4)
- Development files for the smf library
- libsmf0 (1.3-2+b4)
- LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files
- libsmi-dev
- virtueel pakket geboden door libsmi2-dev
- libsmi2-common (0.4.8+dfsg2-16)
- library to access SMI MIB information - MIB module files
- libsmi2-dev (0.4.8+dfsg2-16)
- library to access SMI MIB information - development files
- libsmi2ldbl (0.4.8+dfsg2-16)
- library to access SMI MIB information
- libsmithwaterman-dev (0.0+git20160702.2610e25-7)
- determine similar regions between two strings or genomic sequences (devel)
- libsmithwaterman0 (0.0+git20160702.2610e25-7)
- determine similar regions between two strings or genomic sequences (lib)
- libsml-dev (0.1.1+git20180125-1)
- Header files for libSML
- libsml1 (0.1.1+git20180125-1)
- Library for the Smart Messaging Language (SML)
- libsmlnj-smlnj (110.79-4)
- Useful libraries for Standard ML of New Jersey
- libsmltk0 (3.4.0.47.5+syncevolution-1.5.3-1)
- library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
- libsmokebase3v5 (4:4.14.3-1+b1)
- SMOKE base library
- libsmpeg-dev (0.4.5+cvs20030824-8)
- SDL MPEG Player Library - development files
- libsmpeg0 (0.4.5+cvs20030824-8)
- SDL MPEG Player Library - shared libraries
- libsmpeg0c2
- virtueel pakket geboden door libsmpeg0
- libsmpp34-1 (1.13.0-2)
- Open PDU SMPP packaging and unpackaging tool
- libsmpp34-dev (1.13.0-2)
- Development files for libsmpp34
- libsmraw-dev (20181227-1)
- split RAW image format access library -- development files
- libsmraw-utils (20181227-1)
- split RAW image format access library -- Utilities
- libsmraw1 (20181227-1)
- split RAW image format access library
- libsms-aql-perl (1.02-2)
- Perl extension to send SMS text messages via AQL's SMS service
- libsms-send-aql-perl (0.03-1)
- SMS::Send driver to send messages via AQL (www.aql.com)
- libsms-send-perl (1.06-3)
- driver-based API for sending SMS messages
- libsnacc-dev (1.3.1-7)
- ASN.1 to C or C++ or IDL compiler, development files
- libsnacc0c2 (1.3.1-7)
- ASN.1 to C or C++ or IDL compiler, shared libraries
- libsnack-alsa (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - ALSA files
- libsnack-oss (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - OSS files
- libsnack2
- virtueel pakket geboden door tcl-snack
- libsnack2-alsa
- virtueel pakket geboden door tcl-snack
- libsnack2-dev
- virtueel pakket geboden door tcl-snack-dev
- libsnack2-doc
- virtueel pakket geboden door tcl-snack-doc
- libsnapd-glib-dev (1.45-1.1)
- GLib snapd library (development files)
- libsnapd-glib1 (1.45-1.1)
- GLib snapd library
- libsnapd-qt-dev (1.45-1.1)
- Qt snapd library (development files)
- libsnapd-qt1 (1.45-1.1)
- Qt snapd library
- libsnapper-dev (0.8.2-1)
- Library for Linux filesystem snapshot management tool (header files)
- libsnapper4 (0.8.2-1)
- Library for Linux filesystem snapshot management tool
- libsnappy-dev (1.1.7-1)
- fast compression/decompression library (development files)
- libsnappy-java (1.1.7.2-1)
- Snappy for Java, a fast compressor/decompresser
- libsnappy-jni (1.1.7.2-1)
- Snappy for Java, a fast compressor/decompresser (JNI library)
- libsnappy1v5 (1.1.7-1)
- fast compression/decompression library
- libsndfile-dev
- virtueel pakket geboden door libsndfile1-dev
- libsndfile1 (1.0.28-6+deb10u2) [security]
- Library for reading/writing audio files
- libsndfile1-dev (1.0.28-6+deb10u2) [security]
- Development files for libsndfile; a library for reading/writing audio files
- libsndifsdl2-dev (0.8.3-2)
- SDL2-based sound support for the fizmo interpreter
- libsndio-dev (1.5.0-3)
- Small audio and MIDI framework from OpenBSD, development files
- libsndio7.0 (1.5.0-3)
- Small audio and MIDI framework from OpenBSD, runtime libraries
- libsndobj-dev (2.6.7+ds1-1)
- Sound Object library (development files)
- libsndobj2v5 (2.6.7+ds1-1)
- Sound Object library
- libsnl-dev (0.2.1.svn.18-1.1)
- Simple Nurbs Library (development files)
- libsnl0 (0.2.1.svn.18-1.1)
- Simple Nurbs Library
- libsnmp-base (5.7.3+dfsg-5+deb10u4) [security]
- SNMP configuration script, MIBs and documentation
- libsnmp-dev (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) development files
- libsnmp-extension-passpersist-perl (0.07-2)
- Generic pass/pass_persist extension framework for Net-SNMP
- libsnmp-info-perl (3.65-1)
- Object Oriented Perl5 Interface to Network devices and MIBs through SNMP
- libsnmp-mib-compiler-perl (0.06-2.2)
- a MIB Compiler supporting SMIv1 and SMIv2
- libsnmp-multi-perl (2.1-4)
- Perform SNMP operations on multiple hosts simultaneously
- libsnmp-perl (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) Perl5 support
- libsnmp-python
- virtueel pakket geboden door python-netsnmp
- libsnmp-session-perl (1.14~git20130523.186a005-4)
- Perl support for accessing SNMP-aware devices
- libsnmp30 (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) library
- libsnmp30-dbg (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) library debug
- libsnmp9-dev
- virtueel pakket geboden door libsnmp-dev
- libsnowball-norwegian-perl (1.2-2)
- Stemming algorithm for Norwegian
- libsnowball-swedish-perl (1.2-4)
- Stemming algorithm for Swedish
- libsnp-sites-dev
- virtueel pakket geboden door libsnp-sites1-dev
- libsnp-sites1 (2.4.1-1)
- Shared libraries of the package snp-sites
- libsnp-sites1-dev (2.4.1-1)
- Static libraries and header files for the package snp-sites
- libsoap-lite-perl (1.27-1)
- Perl implementation of a SOAP client and server
- libsoap-wsdl-perl (3.003-3)
- Perl module for SOAP with WSDL support
- libsoapysdr-dev (0.6.1-4+b1)
- SoapySDR library development files
- libsoapysdr-doc (0.6.1-4)
- SoapySDR library development documentation
- libsoapysdr0.6 (0.6.1-4+b1)
- software defined radio interface library
- libsoapysdr0.6-dev
- virtueel pakket geboden door libsoapysdr-dev
- libsoc-dev (0.8.2-2)
- C library to interface with common peripherals (development)
- libsoc2 (0.8.2-2)
- C library to interface with common peripherals (runtime)
- libsoci-core3.2 (3.2.3-2+b1)
- C++ Database Access Library
- libsoci-dev (3.2.3-2+b1)
- C++ Database Access Library (devel)
- libsoci-firebird3.2 (3.2.3-2+b1)
- C++ Database Access Library (Firebird backend)
- libsoci-mysql3.2 (3.2.3-2+b1)
- C++ Database Access Library (MySQL backend)
- libsoci-odbc3.2 (3.2.3-2+b1)
- C++ Database Access Library (ODBC backend)
- libsoci-postgresql3.2 (3.2.3-2+b1)
- C++ Database Access Library (PostgreSQL backend)
- libsoci-sqlite3-3.2 (3.2.3-2+b1)
- C++ Database Access Library (SQLite3 backend)
- libsocket++-dev (1.12.13-10)
- lightweight convenience library to handle low level BSD sockets in C++ -devel
- libsocket++1 (1.12.13-10)
- lightweight convenience library to handle low level BSD sockets in C++ - libs
- libsocket-getaddrinfo-perl (0.22-3)
- module implementing getaddrinfo and getnameinfo
- libsocket-linux-perl (0.01-2+b6)
- module for using socket constants defined in Linux <netinet/tcp.h>
- libsocket-msghdr-perl (0.05-1)
- sendmsg, recvmsg and ancillary data operations
- libsocket-multicast6-perl (0.04-3+b4)
- base module for IPv4 and IPv6 multicast socket operations
- libsocket-perl (2.029-1)
- networking constants and support functions
- libsocket-perl (= 2.027)
- virtueel pakket geboden door perl-base
- libsocket-wrapper (1.2.1-1)
- socket wrapper library
- libsocket6-perl (0.29-1+b1)
- Perl extensions for IPv6
- libsocketcan-dev (0.0.11-1)
- library to control some basic functions in SocketCAN from userspace
- libsocketcan-doc (0.0.11-1)
- library to control some basic functions in SocketCAN from userspace
- libsocketcan2 (0.0.11-1)
- library to control some basic functions in SocketCAN from userspace
- libsocks4 (4.3.beta2-20+b2)
- SOCKS libraries
- libsocksd0 (1.4.2+dfsg-6)
- SOCKS library for packages built using libsocksd-dev
- libsocksd0-dev (1.4.2+dfsg-6)
- Development files for compiling programs with SOCKS support
- libsocl-1.2-0 (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines
- libsocl-any-1.2-0
- virtueel pakket geboden door libsocl-contrib-1.2-0, libsocl-1.2-0
- libsocl-contrib-1.2-0 (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines
- libsodium-dev (1.0.17-1)
- Network communication, cryptography and signaturing library - headers
- libsodium23 (1.0.17-1)
- Network communication, cryptography and signaturing library
- libsofa1 (1.0~beta4-12+b2)
- Simulation Open Framework Architecture - runtime
- libsofa1-dev (1.0~beta4-12+b2)
- Simulation Open Framework Architecture - development
- libsofia-sip-ua-dev (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library development files
- libsofia-sip-ua-glib-dev (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library glib/gobject interface development files
- libsofia-sip-ua-glib3 (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library glib/gobject interfaces runtime
- libsofia-sip-ua0 (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library runtime
- libsofthsm2 (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11
- libsofthsm2-dev (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11
- libsoftware-license-perl (0.103014-1)
- module providing templated software licenses
- libsoftware-licensemoreutils-perl (1.004-1)
- More utilities and a summary for Software::License
- libsoftware-release-perl (0.03-1)
- Perl class representing a release of software
- libsoil-dev (1.07~20080707.dfsg-4)
- Simple OpenGL Image Library - development files
- libsoil1 (1.07~20080707.dfsg-4)
- Simple OpenGL Image Library
- libsoldout-dev (1.4-2)
- Development files for libsoldout a parser for the markdown language
- libsoldout-utils (1.4-2)
- Utils and examples based on libsoldout
- libsoldout1 (1.4-2)
- Natacha's flexible C library for John Gruber's markdown language
- libsolid4 (4:4.14.38-3)
- Solid Library for KDE Platform
- libsollya
- virtueel pakket geboden door libsollya7
- libsollya-dev (7.0+ds-3)
- library for safe floating-point code development -- libdev
- libsollya7 (7.0+ds-3)
- library for safe floating-point code development -- lib
- libsolr-java (3.6.2+dfsg-20+deb10u2)
- Enterprise search server based on Lucene - Java libraries
- libsolv-dev
- virtueel pakket geboden door libsolv0-dev
- libsolv-doc (0.6.35-2+deb10u1)
- dependency solver using a satisfiablility algorithm (documentation files)
- libsolv-perl (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (Perl bindings)
- libsolv-tools (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (command-line tools)
- libsolv0 (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (shared library)
- libsolv0-dev (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (development files)
- libsolvext-dev
- virtueel pakket geboden door libsolvext0-dev
- libsolvext0 (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (extensions)
- libsolvext0-dev (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (ext development files)
- libsombok-dev (2.4.0-2)
- Unicode Text Segmentation library (development files)
- libsombok3 (2.4.0-2)
- Unicode Text Segmentation library
- libsonic-dev (0.2.0-7)
- Header file for linking to libsonic
- libsonic-java (0.2.0-7)
- Simple library to speed up or slow down speech - Java bindings
- libsonic0 (0.2.0-7)
- Simple library to speed up or slow down speech
- libsope-dev (4.0.7-1)
- SKYRiX Object Publishing Environment (development files)
- libsope1 (4.0.7-1)
- SKYRiX Object Publishing Environment (shared libraries)
- libsopt-dev (2.0.0-5)
- Development package for Sparse OPTimisation library
- libsopt2.0 (2.0.0-5)
- Sparse OPTimisation shared library
- libsoqt-dev
- virtueel pakket geboden door libsoqt520-dev
- libsoqt520 (1.6.0~ea5cd76+ds1-1)
- Qt5 GUI component toolkit for Inventor - runtime
- libsoqt520-dev (1.6.0~ea5cd76+ds1-1)
- Qt5 GUI component toolkit for Inventor - development
- libsord-0-0 (0.16.0~dfsg0-1+b1)
- library for storing RDF data in memory
- libsord-dev (0.16.0~dfsg0-1+b1)
- library for storing RDF data in memory (development files)
- libsord-doc (0.16.0~dfsg0-1)
- library for storing RDF data in memory (documentation)
- libsort-fields-perl (0.90-2)
- Sort lines containing delimited fields
- libsort-key-perl (1.33-2+b1)
- module to sort a list of values by a calculated key
- libsort-key-top-perl (0.08-3+b1)
- Perl module to select and sort top n elements of a list
- libsort-naturally-perl (1.03-2)
- Sort naturally - sort lexically except for numerical parts
- libsort-versions-perl (1.62-1)
- Perl module for sorting of revision (and similar) numbers
- libsoundio-dbg (1.0.2-2)
- debugging symbols for libsoundio
- libsoundio-dev (1.0.2-2)
- cross platform audio input and output library (development files)
- libsoundio1 (1.0.2-2)
- cross-platform audio input and output library
- libsoundtouch-dev (2.1.2+ds1-1)
- Development files for the sound stretching library
- libsoundtouch-ocaml (0.1.7-1+b5 [amd64, armhf, i386], 0.1.7-1+b3 [arm64])
- OCaml bindings for the sound stretching library -- runtime files
- libsoundtouch-ocaml-dev (0.1.7-1+b5 [amd64, armhf, i386], 0.1.7-1+b3 [arm64])
- OCaml bindings for the sound stretching library -- runtime files
- libsoundtouch-ocaml-dev-wca86
- virtueel pakket geboden door libsoundtouch-ocaml-dev
- libsoundtouch-ocaml-wca86
- virtueel pakket geboden door libsoundtouch-ocaml
- libsoundtouch1 (2.1.2+ds1-1)
- Sound stretching library
- libsoup-gnome2.4-1 (2.64.2-2)
- HTTP library implementation in C -- GNOME support library
- libsoup-gnome2.4-dev (2.64.2-2)
- HTTP library implementation in C -- GNOME support development files
- libsoup2.4-1 (2.64.2-2)
- HTTP library implementation in C -- Shared library
- libsoup2.4-cil (2.42.2+git20151219-3)
- CLI bindings for libsoup2.4
- libsoup2.4-cil-dev (2.42.2+git20151219-3)
- CLI bindings for libsoup2.4 - development package
- libsoup2.4-dev (2.64.2-2)
- HTTP library implementation in C -- Development files
- libsoup2.4-doc (2.64.2-2)
- HTTP library implementation in C -- API Reference
- libsource-highlight-common (3.1.8-1.2)
- architecture-independent files for source highlighting library
- libsource-highlight-dev (3.1.8-1.2+b1)
- development files for source highlighting library
- libsource-highlight4v5 (3.1.8-1.2+b1)
- source highlighting library
- libsox-dev (14.4.2+git20190427-1+deb10u3) [security]
- Development files for the SoX library
- libsox-fmt-all (14.4.2+git20190427-1+deb10u3) [security]
- All SoX format libraries
- libsox-fmt-alsa (14.4.2+git20190427-1+deb10u3) [security]
- SoX alsa format I/O library
- libsox-fmt-ao (14.4.2+git20190427-1+deb10u3) [security]
- SoX Libao format I/O library
- libsox-fmt-base (14.4.2+git20190427-1+deb10u3) [security]
- Minimal set of SoX format libraries
- libsox-fmt-mp3 (14.4.2+git20190427-1+deb10u3) [security]
- SoX MP2 and MP3 format library
- libsox-fmt-oss (14.4.2+git20190427-1+deb10u3) [security]
- SoX OSS format I/O library
- libsox-fmt-pulse (14.4.2+git20190427-1+deb10u3) [security]
- SoX PulseAudio format I/O library
- libsox3 (14.4.2+git20190427-1+deb10u3) [security]
- SoX library of audio effects and processing
- libsoxr-dev (0.1.2-3)
- High quality 1D sample-rate conversion library (development files)
- libsoxr-lsr0 (0.1.2-3)
- High quality 1D sample-rate conversion library (libsamplerate bindings)
- libsoxr0 (0.1.2-3)
- High quality 1D sample-rate conversion library
- libspa-bluetooth (0.2.5-1)
- libraries for the PipeWire multimedia server - bluetooth plugins
- libspa-ffmpeg (0.2.5-1)
- libraries for the PipeWire multimedia server - ffmpeg plugins
- libspa-lib-0.1-dev (0.2.5-1)
- libraries for the PipeWire multimedia server Simple Plugin API - development
- libspandsp-dev (0.0.6+dfsg-2)
- Telephony signal processing library - development headers
- libspandsp-doc (0.0.6+dfsg-2)
- Documentation for the spandsp signal processing library
- libspandsp2 (0.0.6+dfsg-2)
- Telephony signal processing library
- libsparkline-php (0.2-7)
- sparkline graphing library for php
- libsparsehash-dev (2.0.2-1)
- Google's extremely memory-efficient C++ hash_map implementation
- libsparskit-dev (2.0.0-3+b1)
- basic tool-kit for sparse matrix computations - devel
- libsparskit2.0 (2.0.0-3+b1)
- basic tool-kit for sparse matrix computations - runtime
- libspatial4j-0.4-java (0.4.1-5)
- spatial/geospatial Java library
- libspatial4j-java (0.5-2)
- spatial/geospatial Java library
- libspatialaudio-dev (0.3.0+git20180730+dfsg1-2)
- library for ambisonic encoding and decoding (development files)
- libspatialaudio0 (0.3.0+git20180730+dfsg1-2)
- library for ambisonic encoding and decoding (runtime files)
- libspatialindex-c5 (1.9.0-1)
- General framework for developing spatial indices - C library
- libspatialindex-dev (1.9.0-1)
- General framework for developing spatial indices - development files
- libspatialindex5 (1.9.0-1)
- General framework for developing spatial indices
- libspatialite-dbg (4.3.0a-5+b2)
- Geospatial extension for SQLite - debugging symbols
- libspatialite-dev (4.3.0a-5+b2)
- Geospatial extension for SQLite - development files
- libspatialite7 (4.3.0a-5+b2)
- Geospatial extension for SQLite - libraries
- libspctag-dev (0.2-1)
- ID666 tags edition support library (development headers)
- libspctag1 (0.2-1)
- ID666 tags edition support library
- libspdlog-dev (1:1.3.1-1)
- Very fast, header only, C++ logging library
- libspdylay-dbg (1.3.2-2.1+b12)
- implementation of SPDY protocol in C - debug symbols
- libspdylay-dev (1.3.2-2.1+b12)
- implementation of SPDY protocol in C - development files
- libspdylay-utils (1.3.2-2.1+b12)
- implementation of SPDY protocol in C - utilities
- libspdylay7 (1.3.2-2.1+b12)
- implementation of SPDY protocol in C
- libspec-alpha-clojure (0.2.176-3)
- library to describe the structure of data and functions
- libspecio-library-path-tiny-perl (0.04-3)
- Path::Tiny types and coercions for Specio
- libspecio-perl (0.43-1)
- Perl module providing type constraints and coercions
- libspecter-clojure (1.0.2-2)
- data structure transformation library for Clojure
- libspectre-dev (0.2.8-1)
- Library for rendering PostScript documents - development files
- libspectre1 (0.2.8-1)
- Library for rendering PostScript documents
- libspectrum-dev (1.4.4-1)
- ZX Spectrum emulator library - Development files
- libspectrum8 (1.4.4-1)
- ZX Spectrum emulator library - Shared libraries
- libspeechd-dev (0.9.0-5+deb10u1)
- Speech Dispatcher: Development libraries and header files
- libspeechd2 (0.9.0-5+deb10u1)
- Speech Dispatcher: Shared libraries
- libspeex-dbg (1.2~rc1.2-1+b2)
- debugging symbols for libspeex and libspeexdsp
- libspeex-dev (1.2~rc1.2-1+b2)
- The Speex codec library development files
- libspeex-ocaml (0.2.1-2)
- OCaml interface to the speex library
- libspeex-ocaml-d49c5
- virtueel pakket geboden door libspeex-ocaml
- libspeex-ocaml-dev (0.2.1-2)
- OCaml interface to the speex library
- libspeex-ocaml-dev-d49c5
- virtueel pakket geboden door libspeex-ocaml-dev
- libspeex-ocaml-dev-i1ql8
- virtueel pakket geboden door libspeex-ocaml-dev
- libspeex-ocaml-i1ql8
- virtueel pakket geboden door libspeex-ocaml
- libspeex1 (1.2~rc1.2-1+b2)
- The Speex codec runtime library
- libspeexdsp-dev (1.2~rc1.2-1+b2)
- The Speex extended library development files
- libspeexdsp1 (1.2~rc1.2-1+b2)
- The Speex extended runtime library
- libspf2-2 (1.2.10-7.1~deb10u1)
- library for validating mail senders with SPF
- libspf2-2-dbg (1.2.10-7.1~deb10u1)
- library for validating mail senders with SPF (debugging symbols)
- libspf2-dev (1.2.10-7.1~deb10u1)
- Header and development libraries for libspf2
- libsphde-dev (1.4.0-2)
- Shared Persistent Heap Data Environment library development files
- libsphde-doc (1.4.0-2)
- Shared Persistent Heap Data Environment library documentation files
- libsphde1 (1.4.0-2)
- Shared Persistent Heap Data Environment library
- libsphere-dev (3.2-11)
- Development files for Spherepack scientific library
- libsphere0d (3.2-11)
- Spherepack scientific library for geophysical processes
- libsphinx-search-perl (1:0.31-1)
- Perl module for Sphinx search engine
- libsphinxbase-dev (0.8+5prealpha+1-3+b1)
- Speech recognition tool - development files
- libsphinxbase-doc (0.8+5prealpha+1-3)
- Speech recognition tool - development documentation
- libsphinxbase3 (0.8+5prealpha+1-3+b1)
- Speech recognition tool - shared library
- libspi-java (0.2.4-2)
- Simplifies usage of Java Service Provider Interface
- libspi-java-doc (0.2.4-2)
- Documentation for libspi-java
- libspice-client-glib-2.0-8 (0.35-2)
- GObject for communicating with Spice servers (runtime library)
- libspice-client-glib-2.0-dev (0.35-2)
- GObject for communicating with Spice servers (development files)
- libspice-client-gtk-3.0-5 (0.35-2)
- GTK3 widget for SPICE clients (runtime library)
- libspice-client-gtk-3.0-dev (0.35-2)
- GTK3 widget for SPICE clients (development files)
- libspice-protocol-dev (0.12.14-1)
- SPICE protocol headers
- libspice-server-dev (0.14.0-1.3+deb10u1)
- Header files and development documentation for spice-server
- libspice-server1 (0.14.0-1.3+deb10u1)
- Implements the server side of the SPICE protocol
- libspiffy-perl (0.46-1)
- Spiffy Perl Interface Framework For You
- libspin-java (1.5+dfsg-8)
- transparent threading solution for non-freezing Swing applications
- libspin-java-doc (1.5+dfsg-8)
- transparent threading solution for non-freezing Swing applications (docs)
- libspiro-dev (1:0.5.20150702-7)
- library for curve design - development files
- libspiro0 (1:0.5.20150702-7)
- library for curve design
- libspnav-dev (0.2.3-1)
- Library to access 3D-input-devices
- libspnav0 (0.2.3-1)
- Library to access 3D-input-devices (development files)
- libspoa-dev (1.1.5-1)
- SIMD partial order alignment library (development files)
- libspoa1.1.5 (1.1.5-1)
- SIMD partial order alignment library
- libspock-java (0.7-groovy-2.0-4)
- testing and specification framework for Java and Groovy application
- libspock-java-doc (0.7-groovy-2.0-4)
- testing and specification framework for Java and Groovy - Docs
- libspooles-dev (2.2-14)
- SPOOLES SParse Object Oriented Linear Equations Solver development files
- libspooles2.2 (2.2-14)
- SPOOLES SParse Object Oriented Linear Equations Solver shared library
- libspqr2 (1:5.4.0+dfsg-1)
- sparse QR factorization library
- libspread-sheet-widget (0.3-1)
- shared library for GTK+ to view and manipulate two dimensional tabular data
- libspread-sheet-widget-dev (0.3-1)
- development files for the GTK+ spread sheet widget
- libspreadsheet-parseexcel-perl (0.6500-1+deb10u1) [security]
- Perl module to access information from Excel Spreadsheets
- libspreadsheet-parseexcel-simple-perl (1.04-3)
- simple interface to Excel data
- libspreadsheet-parsexlsx-perl (0.27-2+deb10u1) [security]
- Perl module to parse XLSX files
- libspreadsheet-read-perl (0.80-1)
- reader for common spreadsheet formats
- libspreadsheet-readsxc-perl (0.20-1)
- reader for OpenOffice 1.x spreadsheet data
- libspreadsheet-wright-perl (0.105-1+deb10u1)
- simple spreadsheet worker
- libspreadsheet-writeexcel-perl (2.40-1)
- module to create Excel spreadsheets
- libspreadsheet-writeexcel-simple-perl (1.04-1)
- module providing simple creation of single-sheet Excel documents
- libspreadsheet-xlsx-perl (0.15-2)
- Perl extension for reading MS Excel 2007 files
- libspring-aop-java (4.3.22-4)
- modular Java/J2EE application framework - AOP
- libspring-beans-java (4.3.22-4)
- modular Java/J2EE application framework - Beans
- libspring-context-java (4.3.22-4)
- modular Java/J2EE application framework - Context
- libspring-context-support-java (4.3.22-4)
- modular Java/J2EE application framework - Context Support
- libspring-core-java (4.3.22-4)
- modular Java/J2EE application framework - Core
- libspring-expression-java (4.3.22-4)
- modular Java/J2EE application framework - Expression language
- libspring-instrument-java (4.3.22-4)
- modular Java/J2EE application framework - Instrumentation
- libspring-jdbc-java (4.3.22-4)
- modular Java/J2EE application framework - JDBC tools
- libspring-jms-java (4.3.22-4)
- modular Java/J2EE application framework - JMS tools
- libspring-messaging-java (4.3.22-4)
- modular Java/J2EE application framework - Messaging tools
- libspring-orm-java (4.3.22-4)
- modular Java/J2EE application framework - ORM tools
- libspring-oxm-java (4.3.22-4)
- modular Java/J2EE application framework - Object/XML Mapping
- libspring-test-java (4.3.22-4)
- modular Java/J2EE application framework - Test helpers
- libspring-transaction-java (4.3.22-4)
- modular Java/J2EE application framework - transaction
- libspring-web-java (4.3.22-4)
- modular Java/J2EE application framework - Web
- libspring-web-portlet-java (4.3.22-4)
- modular Java/J2EE application framework - Portlet MVC
- libspring-web-servlet-java (4.3.22-4)
- modular Java/J2EE application framework - Web Portlet
- libsprng2 (2.0a-12)
- SPRNG Scalable Parallel RNG library -- library package
- libsprng2-dev (2.0a-12)
- SPRNG Scalable Parallel RNG library -- development package
- libsprng2-doc (2.0a-12)
- SPRNG Scalable Parallel RNG library -- documentation package
- libsptk-dev (3.9-2)
- speech signal processing toolkit - development files
- libspullara-cli-parser-java (1.1.3~git20170531-1)
- command line parsing for Java
- libsql-abstract-limit-perl (2:0.14.1-5)
- portable LIMIT emulation
- libsql-abstract-more-perl (1.33-1)
- extension of SQL::Abstract with more constructs and more flexible API
- libsql-abstract-perl (1.86-1)
- module to generate SQL from Perl data structures
- libsql-reservedwords-perl (0.8-2)
- Perl extension that indicates if words are reserved by SQL
- libsql-splitstatement-perl (1.00020-2)
- Split any SQL code into atomic statements
- libsql-statement-perl (1.412-1)
- module for parsing and processing SQL statements
- libsql-tokenizer-perl (0.24-2)
- simple SQL tokenizer
- libsql-translator-perl (0.11024-1)
- SQL translation library
- libsqlcipher-dev (3.4.1-1+b12)
- SQLCipher development files
- libsqlcipher0 (3.4.1-1+b12)
- SQLCipher shared library
- libsqlclient-dev (1.8.1-3+b1)
- SQL client library for GNUstep (development files)
- libsqlclient1.8 (1.8.1-3+b1)
- SQL client library for GNUstep (runtime library)
- libsqlexpr-ocaml (0.5.5-3+b1)
- type-safe, convenient SQLite database access (runtime)
- libsqlexpr-ocaml-20ey3
- virtueel pakket geboden door libsqlexpr-ocaml
- libsqlexpr-ocaml-dev (0.5.5-3+b1)
- type-safe, convenient SQLite database access (development)
- libsqlexpr-ocaml-dev-20ey3
- virtueel pakket geboden door libsqlexpr-ocaml-dev
- libsqlexpr-ocaml-dev-ynx93
- virtueel pakket geboden door libsqlexpr-ocaml-dev
- libsqlexpr-ocaml-ynx93
- virtueel pakket geboden door libsqlexpr-ocaml
- libsqlite-dev
- virtueel pakket geboden door libsqlite0-dev
- libsqlite-tcl (2.8.17-15+deb10u1) [security]
- SQLite 2 Tcl bindings
- libsqlite0 (2.8.17-15+deb10u1) [security]
- SQLite 2 shared library
- libsqlite0-dev (2.8.17-15+deb10u1) [security]
- SQLite 2 development files
- libsqlite3-0 (3.27.2-3+deb10u2) [security]
- SQLite 3 shared library
- libsqlite3-dev (3.27.2-3+deb10u2) [security]
- SQLite 3 development files
- libsqlite3-mod-blobtoxy (0.9996-1)
- SQLite3 extension module for read-only BLOB to X/Y mapping
- libsqlite3-mod-csvtable (0.9996-1)
- SQLite3 extension module for read-only access to CSV files
- libsqlite3-mod-impexp (0.9996-1)
- SQLite3 extension module for SQL script, XML, JSON and CSV import/export
- libsqlite3-mod-rasterlite2 (1.1.0~beta0+really1.0.0~rc0+devel1-2)
- SQLite 3 module for huge raster coverages
- libsqlite3-mod-spatialite (4.3.0a-5+b2)
- Geospatial extension for SQLite - loadable module
- libsqlite3-mod-virtualpg (2.0.0~rc0-1)
- Loadable dynamic extension to both SQLite and SpatiaLite
- libsqlite3-mod-xpath (0.9996-1)
- SQLite3 extension module for querying XML data with XPath
- libsqlite3-mod-zipfile (0.9996-1)
- SQLite3 extension module for read-only access to ZIP files
- libsqlite3-ocaml (4.0.5-2+b2 [armhf], 4.0.5-2+b1 [amd64, arm64, i386])
- Embeddable SQL Database for OCaml Programs
- libsqlite3-ocaml-dev (4.0.5-2+b2 [armhf], 4.0.5-2+b1 [amd64, arm64, i386])
- Embeddable SQL Database for OCaml Programs
- libsqlite3-ocaml-dev-fg2a5
- virtueel pakket geboden door libsqlite3-ocaml-dev
- libsqlite3-ocaml-dev-kifv6
- virtueel pakket geboden door libsqlite3-ocaml-dev
- libsqlite3-ocaml-fg2a5
- virtueel pakket geboden door libsqlite3-ocaml
- libsqlite3-ocaml-kifv6
- virtueel pakket geboden door libsqlite3-ocaml
- libsqlite3-tcl (3.27.2-3+deb10u2) [security]
- SQLite 3 Tcl bindings
- libsqliteodbc (0.9996-1)
- ODBC driver for SQLite embedded database
- libsqljet-java (1.1.10-1)
- Pure Java implementation of SQLite database management system
- libsqljet-java-doc (1.1.10-1)
- API documentation for libsqljet-java
- libsquashfuse-dev (0.1.103-1)
- Development files for libsquashfuse
- libsquashfuse0 (0.1.103-1)
- FUSE filesystem to mount squashfs archives library
- libsquid-dev (1.9g+cvs20050121-11)
- biosquid headers and static library for biological sequence analysis
- libsquid1 (1.9g+cvs20050121-11)
- biosquid dynamic library for biological sequence analysis
- libsquirrel-dev (3.1-7)
- development files for the Squirrel programming language
- libsquirrel3-0 (3.1-7)
- runtime libraries for the Squirrel programming language
- libsquish-dev (1.15-1+b11)
- DXT texture compression library - development files
- libsquish0 (1.15-1+b11)
- DXT texture compression library
- libsquizz (0.99d+dfsg-2)
- Conversion libs used by squizz genetic sequence converter
- libsquizz-dev (0.99d+dfsg-2)
- development tools for squizz genetic sequence converter
- libsratom-0-0 (0.6.0~dfsg0-1)
- library for serialising LV2 atoms to/from Turtle
- libsratom-dev (0.6.0~dfsg0-1)
- library for serialising LV2 atoms to/from Turtle - development files
- libsratom-doc (0.6.0~dfsg0-1)
- library for serialising LV2 atoms to/from Turtle - documentation
- libsrecord-dev (1.64-1)
- library for manipulating EPROM load files (development)
- libsrecord0 (1.64-1)
- library for manipulating EPROM load files (runtime)
- libsrf-dev (0.1+dfsg-6)
- C++ implementation of the SRF format for DNA sequence data
- libsrtp2-1 (2.2.0-1)
- Secure RTP (SRTP) and UST Reference Implementations - shared library
- libsrtp2-1-dbg (2.2.0-1)
- Secure RTP (SRTP) and UST Reference Implementations - debugging symbols
- libsrtp2-dev (2.2.0-1)
- Secure RTP (SRTP) and UST Reference Implementations - development files
- libsrtp2-docs (2.2.0-1)
- Secure RTP (SRTP) and UST Reference Implementations - documentation
- libsru-perl (1.01-2)
- framework for Search and Retrieval by URL
- libss2 (1.44.5-1+deb10u3)
- command-line interface parsing library
- libss7-2.0 (2.0.0-2)
- Signalling System 7 (ss7) library
- libss7-dev (2.0.0-2)
- Signalling System 7 (ss7) development files
- libsscm-dev (0.9.0-1)
- Development library for sigscheme Scheme interpreter
- libsscm3 (0.9.0-1)
- Shared library for sigscheme Scheme interpreter
- libssh-4 (0.8.7-1+deb10u2) [security]
- tiny C SSH library (OpenSSL flavor)
- libssh-dev (0.8.7-1+deb10u2) [security]
- tiny C SSH library - Development files (OpenSSL flavor)
- libssh-doc (0.8.7-1+deb10u2) [security]
- tiny C SSH library - Documentation files
- libssh-gcrypt-4 (0.8.7-1+deb10u2) [security]
- tiny C SSH library (gcrypt flavor)
- libssh-gcrypt-dev (0.8.7-1+deb10u2) [security]
- tiny C SSH library - Development files (gcrypt flavor)
- libssh2-1 (1.8.0-2.1+deb10u1) [security]
- SSH2 client-side library
- libssh2-1-dev (1.8.0-2.1+deb10u1) [security]
- SSH2 client-side library (development headers)
- libssl-dev (1.1.1n-0+deb10u6) [security]
- Secure Sockets Layer toolkit - development files
- libssl-doc (1.1.1n-0+deb10u6) [security]
- Secure Sockets Layer toolkit - development documentation
- libssl-ocaml (0.5.5-1)
- OCaml bindings for OpenSSL (runtime)
- libssl-ocaml-0j0l3
- virtueel pakket geboden door libssl-ocaml
- libssl-ocaml-dev (0.5.5-1)
- OCaml bindings for OpenSSL
- libssl-ocaml-dev-0j0l3
- virtueel pakket geboden door libssl-ocaml-dev
- libssl-ocaml-dev-wzv57
- virtueel pakket geboden door libssl-ocaml-dev
- libssl-ocaml-wzv57
- virtueel pakket geboden door libssl-ocaml
- libssl-utils-clojure (0.8.3-2)
- library for SSL certificate management on the JVM
- libssl1.1 (1.1.1n-0+deb10u6) [security]
- Secure Sockets Layer toolkit - shared libraries
- libssl1.1-udeb (1.1.1n-0+deb10u3)
- ssl shared library - udeb
- libssm-bin (1.4.0-1)
- macromolecular superposition library - binaries
- libssm-dev (1.4.0-1)
- macromolecular superposition library - development files
- libssm2 (1.4.0-1)
- macromolecular superposition library - runtime
- libsss-certmap-dev (1.16.3-3.2+deb10u2) [security]
- Certificate mapping library for SSSD -- development files
- libsss-certmap0 (1.16.3-3.2+deb10u2) [security]
- Certificate mapping library for SSSD
- libsss-idmap-dev (1.16.3-3.2+deb10u2) [security]
- ID mapping library for SSSD -- development files
- libsss-idmap0 (1.16.3-3.2+deb10u2) [security]
- ID mapping library for SSSD
- libsss-nss-idmap-dev (1.16.3-3.2+deb10u2) [security]
- SID based lookups library for SSSD -- development files
- libsss-nss-idmap0 (1.16.3-3.2+deb10u2) [security]
- SID based lookups library for SSSD
- libsss-simpleifp-dev (1.16.3-3.2+deb10u2) [security]
- SSSD D-Bus responder helper library -- development files
- libsss-simpleifp0 (1.16.3-3.2+deb10u2) [security]
- SSSD D-Bus responder helper library
- libsss-sudo (1.16.3-3.2+deb10u2) [security]
- Communicator library for sudo
- libssw-dev (1.1-2)
- Development headers and static libraries for libssw
- libssw-dev
- virtueel pakket geboden door libssw-dev
- libssw-java (1.1-2)
- Java bindings for libssw
- libssw0 (1.1-2)
- fast SIMD parallelized implementation of the Smith-Waterman algorithm
- libst-dev (1.9-3.1)
- State Threads Library - Development files
- libst1 (1.9-3.1)
- State Threads Library
- libstaden-read-dev (1.14.11-6)
- development files for libstaden-read
- libstaden-read13 (1.14.11-6)
- Staden library for reading and writing DNA sequencing results
- libstar-parser-perl (0.59-4) [non-free]
- STAR compliant data file parser for Perl
- libstarlink-ast-dev (8.6.3+dfsg-1)
- Handle World Coordinate Systems in Astronomy (development package)
- libstarlink-ast-doc (8.6.3+dfsg-1)
- Handle World Coordinate Systems in Astronomy (documentation)
- libstarlink-ast-err0 (8.6.3+dfsg-1)
- Handle World Coordinate Systems in Astronomy (error reporting)
- libstarlink-ast-grf3d0 (8.6.3+dfsg-1)
- Handle World Coordinate Systems in Astronomy (dummy 3d routines)
- libstarlink-ast0 (8.6.3+dfsg-1)
- Handle World Coordinate Systems in Astronomy (main shared lib)
- libstarlink-pal-dev (0.9.8-1)
- Positional Astronomy Library (development files)
- libstarlink-pal-doc (0.9.8-1)
- Positional Astronomy Library (documentation)
- libstarlink-pal0 (0.9.8-1)
- Positional Astronomy Library (shared library)
- libstaroffice-0.0-0 (0.0.6-1)
- Import filter library to import all StarOffice documents
- libstaroffice-dev (0.0.6-1)
- Import filter library to import all StarOffice documents -- development
- libstaroffice-doc (0.0.6-1)
- Import filter library to import all StarOffice documents -- documentation
- libstaroffice-tools (0.0.6-1)
- Import filter library to import all StarOffice documents -- tools
- libstarpu-1.2-5 (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines
- libstarpu-any-1.2-5
- virtueel pakket geboden door libstarpu-1.2-5, libstarpu-contrib-1.2-5
- libstarpu-any-dev
- virtueel pakket geboden door libstarpu-dev, libstarpu-contrib-dev
- libstarpu-anyfft-1.2-0
- virtueel pakket geboden door libstarpu-contribfft-1.2-0, libstarpufft-1.2-0
- libstarpu-anympi-1.2-3
- virtueel pakket geboden door libstarpu-contribmpi-1.2-3, libstarpumpi-1.2-3
- libstarpu-contrib-1.2-5 (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines
- libstarpu-contrib-dev (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines - dev
- libstarpu-contribfft-1.2-0 (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines
- libstarpu-contribmpi-1.2-3 (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines
- libstarpu-dev (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines - dev
- libstarpufft-1.2-0 (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines
- libstarpumpi-1.2-3 (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines
- libstartup-notification0 (0.12-6)
- library for program launch feedback (shared library)
- libstartup-notification0-dev (0.12-6)
- library for program launch feedback (development headers)
- libstat-lsmode-perl (0.50-7)
- Perl module to format file modes like ls -l
- libstatgen-dev (1.0.14-5)
- development files for the libStatGen
- libstatgen-doc (1.0.14-5)
- documentation files for the libStatGen
- libstatgen0 (1.0.14-5)
- processing and analyzing next generation sequencing and genotyping data library
- libstatgrab-dev (0.91-1+b2)
- development files of library for system statistics
- libstatgrab10 (0.91-1+b2)
- library being useful interface to system statistics
- libstatistics-basic-perl (1.6611-1)
- collection of very basic statistics modules
- libstatistics-contingency-perl (0.09-1)
- module to calculate precision, recall, F1, accuracy, etc.
- libstatistics-descriptive-perl (3.0702-1)
- Perl module for basic descriptive statistical functions
- libstatistics-distributions-perl (1.02-1)
- module for calculating some values of common statistical distributions
- libstatistics-linefit-perl (0.07-2)
- least squares line fit module for Perl
- libstatistics-lite-perl (3.62-1)
- Perl module for simple statistical analysis
- libstatistics-normality-perl (0.01-1)
- module for testing normal distribution of data
- libstatistics-online-perl (0.02-2)
- module to perform statistic operations on large datasets
- libstatistics-r-perl (0.24-1)
- Control the R interpreter with perl
- libstatistics-test-randomwalk-perl (0.02-2)
- module to perform a Random Walk statistical test
- libstatistics-test-sequence-perl (0.01-2)
- Perl module that tests correlation of random numbers
- libstatistics-welford-perl (0.02-1)
- Standard statistics using Welford's algorithm
- libstax-ex-java (1.7.8-3)
- Extended StAX API
- libstax-java (1.2.0-4)
- StAX Reference Implementation (RI)
- libstax-java-doc (1.2.0-4)
- Javadoc API for libstax-java
- libstax2-api-java (4.1-1)
- Extension to StAX API for parsing XML documents
- libstb-dev (0.0~git20180212.15.e6afb9c-1+deb10u1) [security]
- single-file public domain (or MIT licensed) libraries - development headers
- libstb0 (0.0~git20180212.15.e6afb9c-1+deb10u1) [security]
- single-file public domain (or MIT licensed) libraries for C/C++
- libstd-msgs-dev (0.5.11-5)
- C/C++ headers for Standard Robot OS Messages
- libstd-rust-1.41 (1.41.1+dfsg1-1~deb10u1)
- Rust standard libraries
- libstd-rust-dev (1.41.1+dfsg1-1~deb10u1)
- Rust standard libraries - development files
- libstd-rust-mozilla-1.59 (1.59.0+dfsg1-1~deb10u3)
- Rust standard libraries
- libstd-rust-mozilla-1.63 (1.63.0+dfsg1-2~deb10u3) [security]
- Rust standard libraries
- libstd-rust-mozilla-dev (1.63.0+dfsg1-2~deb10u3) [security]
- Rust standard libraries - development files
- libstd-srvs-dev (1.11.2-9)
- Robot OS Common service definitions
- libstdc++-7-dev (7.4.0-6)
- GNU Standard C++ Library v3 (development files)
- libstdc++-7-doc (7.4.0-6)
- GNU Standard C++ Library v3 (documentation files)
- libstdc++-7-pic (7.4.0-6)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-dev (8.3.0-6)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-alpha-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-arm64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-armel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-armhf-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-hppa-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-m68k-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-powerpc-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-powerpcspe-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-ppc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-ppc64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-riscv64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-s390x-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-sh4-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-sparc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-dev-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (development files)
- libstdc++-8-doc (8.3.0-6)
- GNU Standard C++ Library v3 (documentation files)
- libstdc++-8-pic (8.3.0-6)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-alpha-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-arm64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-armel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-armhf-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-hppa-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-m68k-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-powerpc-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-powerpcspe-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-ppc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-ppc64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-riscv64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-s390x-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-sh4-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-sparc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-8-pic-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3 (shared library subset kit)
- libstdc++-arm-none-eabi-newlib (15:7-2018-q2-5+12)
- GNU Standard C++ Library v3 for ARM Cortex-R/M processors (newlib)
- libstdc++-dev
- virtueel pakket geboden door libstdc++-7-dev, libstdc++-8-dev
- libstdc++-dev-alpha-cross
- virtueel pakket geboden door libstdc++-8-dev-alpha-cross
- libstdc++-dev-alpha-dcv1
- virtueel pakket geboden door libstdc++-8-dev-alpha-cross
- libstdc++-dev-amd64-cross
- virtueel pakket geboden door libstdc++-8-dev-amd64-cross
- libstdc++-dev-amd64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-amd64-cross
- libstdc++-dev-arm64-cross
- virtueel pakket geboden door libstdc++-8-dev-arm64-cross
- libstdc++-dev-arm64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-arm64-cross
- libstdc++-dev-armel-cross
- virtueel pakket geboden door libstdc++-8-dev-armel-cross
- libstdc++-dev-armel-dcv1
- virtueel pakket geboden door libstdc++-8-dev-armel-cross
- libstdc++-dev-armhf-cross
- virtueel pakket geboden door libstdc++-8-dev-armhf-cross
- libstdc++-dev-armhf-dcv1
- virtueel pakket geboden door libstdc++-8-dev-armhf-cross
- libstdc++-dev-hppa-cross
- virtueel pakket geboden door libstdc++-8-dev-hppa-cross
- libstdc++-dev-hppa-dcv1
- virtueel pakket geboden door libstdc++-8-dev-hppa-cross
- libstdc++-dev-i386-cross
- virtueel pakket geboden door libstdc++-8-dev-i386-cross
- libstdc++-dev-i386-dcv1
- virtueel pakket geboden door libstdc++-8-dev-i386-cross
- libstdc++-dev-m68k-cross
- virtueel pakket geboden door libstdc++-8-dev-m68k-cross
- libstdc++-dev-m68k-dcv1
- virtueel pakket geboden door libstdc++-8-dev-m68k-cross
- libstdc++-dev-mips-cross
- virtueel pakket geboden door libstdc++-8-dev-mips-cross
- libstdc++-dev-mips-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mips-cross
- libstdc++-dev-mips64-cross
- virtueel pakket geboden door libstdc++-8-dev-mips64-cross
- libstdc++-dev-mips64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mips64-cross
- libstdc++-dev-mips64el-cross
- virtueel pakket geboden door libstdc++-8-dev-mips64el-cross
- libstdc++-dev-mips64el-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mips64el-cross
- libstdc++-dev-mips64r6-cross
- virtueel pakket geboden door libstdc++-8-dev-mips64r6-cross
- libstdc++-dev-mips64r6-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mips64r6-cross
- libstdc++-dev-mips64r6el-cross
- virtueel pakket geboden door libstdc++-8-dev-mips64r6el-cross
- libstdc++-dev-mips64r6el-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mips64r6el-cross
- libstdc++-dev-mipsel-cross
- virtueel pakket geboden door libstdc++-8-dev-mipsel-cross
- libstdc++-dev-mipsel-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mipsel-cross
- libstdc++-dev-mipsr6-cross
- virtueel pakket geboden door libstdc++-8-dev-mipsr6-cross
- libstdc++-dev-mipsr6-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mipsr6-cross
- libstdc++-dev-mipsr6el-cross
- virtueel pakket geboden door libstdc++-8-dev-mipsr6el-cross
- libstdc++-dev-mipsr6el-dcv1
- virtueel pakket geboden door libstdc++-8-dev-mipsr6el-cross
- libstdc++-dev-powerpc-cross
- virtueel pakket geboden door libstdc++-8-dev-powerpc-cross
- libstdc++-dev-powerpc-dcv1
- virtueel pakket geboden door libstdc++-8-dev-powerpc-cross
- libstdc++-dev-powerpcspe-cross
- virtueel pakket geboden door libstdc++-8-dev-powerpcspe-cross
- libstdc++-dev-powerpcspe-dcv1
- virtueel pakket geboden door libstdc++-8-dev-powerpcspe-cross
- libstdc++-dev-ppc64-cross
- virtueel pakket geboden door libstdc++-8-dev-ppc64-cross
- libstdc++-dev-ppc64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-ppc64-cross
- libstdc++-dev-ppc64el-cross
- virtueel pakket geboden door libstdc++-8-dev-ppc64el-cross
- libstdc++-dev-ppc64el-dcv1
- virtueel pakket geboden door libstdc++-8-dev-ppc64el-cross
- libstdc++-dev-riscv64-cross
- virtueel pakket geboden door libstdc++-8-dev-riscv64-cross
- libstdc++-dev-riscv64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-riscv64-cross
- libstdc++-dev-s390x-cross
- virtueel pakket geboden door libstdc++-8-dev-s390x-cross
- libstdc++-dev-s390x-dcv1
- virtueel pakket geboden door libstdc++-8-dev-s390x-cross
- libstdc++-dev-sh4-cross
- virtueel pakket geboden door libstdc++-8-dev-sh4-cross
- libstdc++-dev-sh4-dcv1
- virtueel pakket geboden door libstdc++-8-dev-sh4-cross
- libstdc++-dev-sparc64-cross
- virtueel pakket geboden door libstdc++-8-dev-sparc64-cross
- libstdc++-dev-sparc64-dcv1
- virtueel pakket geboden door libstdc++-8-dev-sparc64-cross
- libstdc++-dev-x32-cross
- virtueel pakket geboden door libstdc++-8-dev-x32-cross
- libstdc++-dev-x32-dcv1
- virtueel pakket geboden door libstdc++-8-dev-x32-cross
- libstdc++-pic-alpha-dcv1
- virtueel pakket geboden door libstdc++-8-pic-alpha-cross
- libstdc++-pic-amd64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-amd64-cross
- libstdc++-pic-arm64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-arm64-cross
- libstdc++-pic-armel-dcv1
- virtueel pakket geboden door libstdc++-8-pic-armel-cross
- libstdc++-pic-armhf-dcv1
- virtueel pakket geboden door libstdc++-8-pic-armhf-cross
- libstdc++-pic-hppa-dcv1
- virtueel pakket geboden door libstdc++-8-pic-hppa-cross
- libstdc++-pic-i386-dcv1
- virtueel pakket geboden door libstdc++-8-pic-i386-cross
- libstdc++-pic-m68k-dcv1
- virtueel pakket geboden door libstdc++-8-pic-m68k-cross
- libstdc++-pic-mips-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mips-cross
- libstdc++-pic-mips64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mips64-cross
- libstdc++-pic-mips64el-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mips64el-cross
- libstdc++-pic-mips64r6-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mips64r6-cross
- libstdc++-pic-mips64r6el-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mips64r6el-cross
- libstdc++-pic-mipsel-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mipsel-cross
- libstdc++-pic-mipsr6-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mipsr6-cross
- libstdc++-pic-mipsr6el-dcv1
- virtueel pakket geboden door libstdc++-8-pic-mipsr6el-cross
- libstdc++-pic-powerpc-dcv1
- virtueel pakket geboden door libstdc++-8-pic-powerpc-cross
- libstdc++-pic-powerpcspe-dcv1
- virtueel pakket geboden door libstdc++-8-pic-powerpcspe-cross
- libstdc++-pic-ppc64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-ppc64-cross
- libstdc++-pic-ppc64el-dcv1
- virtueel pakket geboden door libstdc++-8-pic-ppc64el-cross
- libstdc++-pic-riscv64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-riscv64-cross
- libstdc++-pic-s390x-dcv1
- virtueel pakket geboden door libstdc++-8-pic-s390x-cross
- libstdc++-pic-sh4-dcv1
- virtueel pakket geboden door libstdc++-8-pic-sh4-cross
- libstdc++-pic-sparc64-dcv1
- virtueel pakket geboden door libstdc++-8-pic-sparc64-cross
- libstdc++-pic-x32-dcv1
- virtueel pakket geboden door libstdc++-8-pic-x32-cross
- libstdc++5 (1:3.3.6-30)
- The GNU Standard C++ Library v3
- libstdc++6 (8.3.0-6)
- GNU Standard C++ Library v3
- libstdc++6-7-dbg (7.4.0-6)
- GNU Standard C++ Library v3 (debugging files)
- libstdc++6-7-dbg-armhf
- virtueel pakket geboden door libstdc++6-7-dbg
- libstdc++6-8-dbg (8.3.0-6)
- GNU Standard C++ Library v3 (debugging files)
- libstdc++6-8-dbg-armhf
- virtueel pakket geboden door libstdc++6-8-dbg
- libstdc++6-alpha-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-alpha-dcv1
- virtueel pakket geboden door libstdc++6-alpha-cross
- libstdc++6-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-amd64-dcv1
- virtueel pakket geboden door libstdc++6-amd64-cross
- libstdc++6-arm64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-arm64-dcv1
- virtueel pakket geboden door libstdc++6-arm64-cross
- libstdc++6-armel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-armel-dcv1
- virtueel pakket geboden door libstdc++6-armel-cross
- libstdc++6-armhf
- virtueel pakket geboden door libstdc++6
- libstdc++6-armhf-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-armhf-dcv1
- virtueel pakket geboden door libstdc++6-armhf-cross
- libstdc++6-hppa-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-hppa-dcv1
- virtueel pakket geboden door libstdc++6-hppa-cross
- libstdc++6-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-i386-dcv1
- virtueel pakket geboden door libstdc++6-i386-cross
- libstdc++6-m68k-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-m68k-dcv1
- virtueel pakket geboden door libstdc++6-m68k-cross
- libstdc++6-mips-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-mips-dcv1
- virtueel pakket geboden door libstdc++6-mips-cross
- libstdc++6-mips64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-mips64-dcv1
- virtueel pakket geboden door libstdc++6-mips64-cross
- libstdc++6-mips64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-mips64el-dcv1
- virtueel pakket geboden door libstdc++6-mips64el-cross
- libstdc++6-mips64r6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-mips64r6-dcv1
- virtueel pakket geboden door libstdc++6-mips64r6-cross
- libstdc++6-mips64r6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-mips64r6el-dcv1
- virtueel pakket geboden door libstdc++6-mips64r6el-cross
- libstdc++6-mipsel-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-mipsel-dcv1
- virtueel pakket geboden door libstdc++6-mipsel-cross
- libstdc++6-mipsr6-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-mipsr6-dcv1
- virtueel pakket geboden door libstdc++6-mipsr6-cross
- libstdc++6-mipsr6el-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-mipsr6el-dcv1
- virtueel pakket geboden door libstdc++6-mipsr6el-cross
- libstdc++6-powerpc-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-powerpc-dcv1
- virtueel pakket geboden door libstdc++6-powerpc-cross
- libstdc++6-powerpcspe-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-powerpcspe-dcv1
- virtueel pakket geboden door libstdc++6-powerpcspe-cross
- libstdc++6-ppc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-ppc64-dcv1
- virtueel pakket geboden door libstdc++6-ppc64-cross
- libstdc++6-ppc64el-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-ppc64el-dcv1
- virtueel pakket geboden door libstdc++6-ppc64el-cross
- libstdc++6-riscv64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-riscv64-dcv1
- virtueel pakket geboden door libstdc++6-riscv64-cross
- libstdc++6-s390x-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3
- libstdc++6-s390x-dcv1
- virtueel pakket geboden door libstdc++6-s390x-cross
- libstdc++6-sh4-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-sh4-dcv1
- virtueel pakket geboden door libstdc++6-sh4-cross
- libstdc++6-sparc64-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-sparc64-dcv1
- virtueel pakket geboden door libstdc++6-sparc64-cross
- libstdc++6-x32-cross (8.3.0-2cross2)
- GNU Standard C++ Library v3
- libstdc++6-x32-dcv1
- virtueel pakket geboden door libstdc++6-x32-cross
- libstdx-allocator-dev (3.0.1-2)
- High-level interface for allocators for D -- development files
- libstdx-allocator0 (3.0.1-2)
- High-level interface for allocators for D
- libstemmer-dev (0+svn585-1+b2)
- Snowball stemming algorithms, development kit
- libstemmer-tools (0+svn585-1+b2)
- Simple word stemming utility using Snowball
- libstemmer0d (0+svn585-1+b2)
- Snowball stemming algorithms for use in Information Retrieval
- libstemmer0d-dbg (0+svn585-1+b2)
- Snowball stemming algorithms, debugging symbols
- libstencil-clojure (0.5.0-2)
- Fast, compliant implementation of Mustache in Clojure
- libsteptalk-dev (0.10.0-6+b6)
- GNUstep Scripting Framework (development files)
- libsteptalk0 (0.10.0-6+b6)
- GNUstep Scripting Framework (library files)
- libstereo-msgs-dev (1.12.7-1)
- Messages relating to Robot OS stereo, C/C++ interface
- libstfl-dev (0.22-1.3+b10)
- development files for libstfl
- libstfl-perl (0.22-1.3+b10)
- Perl bindings for the structured terminal forms language/library
- libstfl-ruby (0.22-1.3+b10)
- Ruby bindings for the structured terminal forms language/library
- libstfl-ruby1.8 (0.22-1.3+b10)
- Ruby 1.8 bindings for the structured terminal forms language/library
- libstfl-ruby1.9.1 (0.22-1.3+b10)
- Ruby 1.9.1 bindings for the structured terminal forms language/library
- libstfl0 (0.22-1.3+b10)
- structured terminal forms language/library
- libstk-4.5.0 (4.5.2+dfsg-5+b2)
- Sound Synthesis Toolkit
- libstk0-dev (4.5.2+dfsg-5+b2)
- Sound Synthesis Toolkit (development files)
- libstlink-dev (1.5.1+ds-1)
- OpenSource ST-Link tools replacement.
- libstlink1 (1.5.1+ds-1)
- OpenSource ST-Link tools replacement.
- libstockpile-clojure (0.0.4-1)
- Simple, durable Java queuing library
- libstoken-dev (0.92-1)
- Software Token for cryptographic authentication - development files
- libstoken1 (0.92-1)
- Software Token for cryptographic authentication - shared library
- libstonith1 (1.0.12-12)
- Reusable cluster libraries -- libstonith1
- libstonith1-dev (1.0.12-12)
- Reusable cluster development files -- libstonith1
- libstonithd-dev (2.0.1-5+deb10u2)
- transitional package
- libstonithd26 (2.0.1-5+deb10u2)
- cluster resource manager STONITH daemon library
- libstorable-perl (= 3.08)
- virtueel pakket geboden door perl
- libstore-opaque-perl (0.03-1+b7 [amd64, armhf, i386], 0.03-1+b6 [arm64])
- module for opaque objects to prevent accidental leaking
- libstorj-dev (1.0.3-1)
- C library for file transfer on Storj network (Development files)
- libstorj-dev
- virtueel pakket geboden door libstorj-dev
- libstorj0 (1.0.3-1)
- Asynchronous C library for file transfer on the Storj network
- libstorm-dev (9.22-1)
- Library for accessing the MPQ archives (development files)
- libstorm9 (9.22-1)
- Library for accessing the MPQ archives
- libstream-buffered-perl (0.03-1)
- temporary buffer to store strings in a seekable filehandle
- libstreambuffer-java (1.5.4-1)
- XML Stream Buffer
- libstrictures-perl (2.000005-1)
- Perl module to turn on strict and make all warnings fatal
- libstring-approx-perl (3.28-1+b1)
- Perl extension for approximate matching (fuzzy matching)
- libstring-bufferstack-perl (1.16-1)
- framework for storing nested buffers
- libstring-camelcase-perl (0.04-1)
- Perl module to convert strings to and from CamelCase
- libstring-compare-constanttime-perl (0.320-1+b1)
- module for protecting string comparison from timing attacks
- libstring-copyright-perl (0.003006-1)
- representation of text-based copyright statements
- libstring-crc-cksum-perl (0.91-1)
- Perl extension for calculating cksum-compatible checksums
- libstring-crc32-perl (1.7-1+b1)
- Perl interface for cyclic redundancy check generation
- libstring-diff-perl (0.07-2)
- simple diff for strings
- libstring-dirify-perl (1.03-1)
- utility converting string to a safe format
- libstring-errf-perl (0.008-1)
- Perl module that provides a simple sprintf-like dialect
- libstring-escape-perl (2010.002-2)
- module for manipulating escaped strings
- libstring-expand-perl (0.04-3)
- string utility functions for expanding variables in self-referential sets
- libstring-flogger-perl (1.101245-2)
- module to munge strings for loggers
- libstring-format-perl (1.18-1)
- module for flexible printf-like string formatting
- libstring-formatter-perl (0.102084-1)
- module to build sprintf-like formatting routines
- libstring-glob-permute-perl (0.01-3)
- Expand {foo,bar,baz}[2-4] style string globs
- libstring-koremutake-perl (0.30-5)
- Convert to/from Koremutake Memorable Random Strings
- libstring-mkpasswd-perl (0.05-1)
- Perl module implementing a random password generator
- libstring-parity-perl (1.34-1)
- Perl library for parity functions
- libstring-print-perl (0.93-1)
- module providing (s)printf alternatives
- libstring-random-perl (1:0.30-1)
- Perl module for generating random strings
- libstring-rewriteprefix-perl (0.007-2)
- module to rewrite strings based on a set of known prefixes
- libstring-scanf-perl (2.1-1)
- module to implement C-like sscanf() in Perl
- libstring-shellquote-perl (1.04-1)
- module to quote strings for passing through the shell
- libstring-similarity-perl (1.04-2+b1)
- Perl module for calculating the similarity of two strings
- libstring-tagged-perl (0.15-1)
- string buffers with value tags on extents
- libstring-template-maven-plugin-java (1.1-1)
- StringTemplate Maven Plugin
- libstring-toidentifier-en-perl (0.12-1)
- module to convert strings to English program identifiers
- libstring-tokenizer-perl (0.06-1)
- simple string tokenizer
- libstring-trim-more-perl (0.03-1)
- Perl module with various string trimming utilities
- libstring-trim-perl (0.005-1)
- trim whitespace from your strings
- libstring-truncate-perl (1.100602-1)
- Perl module to truncate long strings
- libstring-tt-perl (0.3-2)
- Perl module to use TT to interpolate lexical variables
- libstringtemplate-java (3.2.1-2)
- StringTemplate templating engine for Java
- libstringtemplate4-java (4.0.8-2)
- StringTemplate templating engine for Java
- libstroke0 (0.5.1-9)
- mouse strokes library -- runtime files
- libstroke0-dev (0.5.1-9)
- mouse strokes library -- development files
- libstrongswan (5.7.2-1+deb10u4) [security]
- strongSwan utility and crypto library
- (5.7.2-1+deb10u4) [security]
- strongSwan utility and crypto library (extra plugins)
- libstrongswan-standard-plugins (5.7.2-1+deb10u4) [security]
- strongSwan utility and crypto library (standard plugins)
- libstrophe-dev (0.9.2-2)
- Library for writing XMPP clients - development files
- libstrophe0 (0.9.2-2)
- Library for writing XMPP clients - shared library
- libstruct-compare-perl (1.0.1-4)
- Perl module providing recursive diff for perl structures
- libstruct-dumb-perl (0.09-1)
- module to create simple lightweight record-like structures
- libstxxl-dev (1.4.1-3)
- Development libraries for STXXL
- libstxxl-doc (1.4.1-3)
- Documentation for STXXL
- libstxxl1-bin (1.4.1-3)
- STXXL File creation and benchmark tool
- libstxxl1v5 (1.4.1-3)
- C++ Standard Template Library for extra large datasets
- libstylebook-java (1.0~b3~svn20061109-7)
- XML application for authoring web site content
- libstyx2 (2.0.1-1+b1)
- runtime libraries for styx
- libsub-delete-perl (1.00002-2)
- Perl module to delete subroutines
- libsub-exporter-formethods-perl (0.100052-1)
- Sub::Exporter extension for handling methods
- libsub-exporter-globexporter-perl (0.005-1)
- module for exporting shared globs
- libsub-exporter-perl (0.987-1)
- sophisticated exporter for custom-built routines
- libsub-exporter-progressive-perl (0.001013-1)
- module for using Sub::Exporter only if needed
- libsub-identify-perl (0.14-1+b1)
- module to retrieve names of code references
- libsub-infix-perl (0.004-1)
- create a fake infix operator
- libsub-info-perl (0.002-1)
- helper module for inspecting subroutines
- libsub-install-perl (0.928-1)
- module for installing subroutines into packages easily
- libsub-name-perl (0.21-1+b3)
- module for assigning a new name to referenced sub
- libsub-override-perl (0.09-2)
- Perl module used to temporarily override subroutines
- libsub-prototype-perl (0.02-3+b1)
- module to set a subroutine's prototype
- libsub-quote-perl (2.005001-1)
- helper modules for subroutines
- libsub-recursive-perl (0.05-1)
- Perl module for memory-leak free recursive anonymous subroutines
- libsub-uplevel-perl (0.2800-1)
- module to spoof the Perl call stack
- libsub-wrappackages-perl (2.01-1)
- module to wrap subroutines in packages
- libsublib-cil (0.9-5)
- CLI library that eases the development of subtitling applications
- libsubtitleeditor-dev (0.54.0-3)
- subtitleeditor lib - development files
- libsubtitleeditor0 (0.54.0-3)
- subtitleeditor lib - runtime files
- libsubtitles-perl (1.04-2)
- utilities to fix subtitles timing
- libsubunit-dev (1.3.0-1+deb10u1) [security]
- Unit testing protocol - Development headers and static library for libsubunit
- libsubunit-diff-perl
- virtueel pakket geboden door libsubunit-perl
- libsubunit-perl (1.3.0-1+deb10u1) [security]
- perl parser and diff for Subunit streams
- libsubunit0 (1.3.0-1+deb10u1) [security]
- Unit testing protocol - C/C++ shared library
- libsugarext-data (0.112-3)
- Sugar Learning Platform - toolkit common files
- libsugarext-dbg (0.112-3)
- Sugar Learning Platform - toolkit debugging symbols
- libsugarext-dev (0.112-3)
- Sugar Learning Platform - toolkit development files
- libsugarext0 (0.112-3)
- Sugar Learning Platform - toolkit runtime library
- libsuil-0-0 (0.10.0~dfsg0-1)
- library for loading and wrapping LV2 plugin UIs
- libsuil-dev (0.10.0~dfsg0-1)
- Development files for suil
- libsuitesparse-dev (1:5.4.0+dfsg-1)
- libraries for sparse matrices computations (development files)
- libsuitesparse-doc (1:5.4.0+dfsg-1)
- libraries for sparse matrices computations (documentation)
- libsuitesparseconfig5 (1:5.4.0+dfsg-1)
- configuration routines for all SuiteSparse modules
- libsundials-arkode2 (3.1.2+dfsg-3+b1)
- differential equation solver (SUNDIALS library)
- libsundials-cvode3 (3.1.2+dfsg-3+b1)
- ordinary differential equation solver (SUNDIALS library)
- libsundials-cvodes3 (3.1.2+dfsg-3+b1)
- ODE solver with sensistivity analysis (SUNDIALS library)
- libsundials-dev (3.1.2+dfsg-3+b1)
- Package providing everything for SUNDIALS dev work
- libsundials-ida3 (3.1.2+dfsg-3+b1)
- differential-algebraic system solver (SUNDIALS library)
- libsundials-idas2 (3.1.2+dfsg-3+b1)
- IDA solver with sensitivity capabilities (SUNDIALS library)
- libsundials-kinsol3 (3.1.2+dfsg-3+b1)
- KINSOL solver (SUNDIALS library)
- libsundials-nvecparallel-hypre3 (3.1.2+dfsg-3+b1)
- HYPRE vector operations library (SUNDIALS library)
- libsundials-nvecparallel-mpi3 (3.1.2+dfsg-3+b1)
- MPI vector operations library (SUNDIALS library)
- libsundials-nvecparallel-openmp3 (3.1.2+dfsg-3+b1)
- OpenMP vector operations library (SUNDIALS library)
- libsundials-nvecparallel-petsc3 (3.1.2+dfsg-3+b1)
- PETSc vector operations library (SUNDIALS library)
- libsundials-nvecparallel-pthread3 (3.1.2+dfsg-3+b1)
- Pthread vector operations library (SUNDIALS library)
- libsundials-nvecserial3 (3.1.2+dfsg-3+b1)
- Non-parallel vector operations library (SUNDIALS library)
- libsundials-sunlinsol1 (3.1.2+dfsg-3+b1)
- differential equation solver (SUNDIALS library)
- libsundials-sunmatrix1 (3.1.2+dfsg-3+b1)
- differential equation solver (SUNDIALS library)
- libsunflow-java (0.07.2.svn396+dfsg-17)
- rendering system for photo-realistic image synthesis
- libsunflow-java-doc (0.07.2.svn396+dfsg-17)
- rendering system for photo-realistic image synthesis (javadoc)
- libsunpinyin-dev (3.0.0~rc1+ds1-2)
- Simplified Chinese Input Method from SUN (development)
- libsunpinyin3v5 (3.0.0~rc1+ds1-2)
- Simplified Chinese Input Method from SUN (runtime)
- libsuper-csv-java (2.4.0-2)
- Super CSV library for Java
- libsuper-csv-java-doc (2.4.0-2)
- Documentation for Super CSV library for Java
- libsuper-perl (1.20141117-1)
- control superclass method dispatch
- libsuperlu-dev (5.2.1+dfsg1-4)
- Direct solution of large, sparse systems of linear equations
- libsuperlu-dist-dev (6.1.1+dfsg1-1)
- Highly distributed solution of sparse linear equations
- libsuperlu-dist6 (6.1.1+dfsg1-1)
- Highly distributed solution of sparse linear equations
- libsuperlu-doc (5.2.1+dfsg1-4)
- Documentation for SuperLU
- libsuperlu5 (5.2.1+dfsg1-4)
- Direct solution of large, sparse systems of linear equations
- libsurefire-java (2.22.1-1)
- Surefire test framework for Java
- libsvg-graph-perl (0.02-3)
- module to visualize data in SVG format
- libsvg-perl (2.84-1)
- perl module to generate SVG images
- libsvg-tt-graph-perl (0.25-1)
- module for creating vector graphs
- libsvgpp-dev (1.2.3+dfsg1-6+deb10u1) [security]
- SVG-framework with parsers for various syntaxes and adapters. Development files
- libsvgpp-doc (1.2.3+dfsg1-6+deb10u1) [security]
- SVG-framework with parsers for various syntaxes and adapters. Documentation
- libsvgsalamander-java (1.1.1+dfsg-3)
- SVG engine for Java
- libsvm-dev (3.21+ds-1.2)
- LIBSVM header files
- libsvm-java (3.21+ds-1.2)
- Java API to support vector machine library (libsvm.jar)
- libsvm-tools (3.21+ds-1.2)
- LIBSVM binary tools
- libsvm3 (3.21+ds-1.2)
- library implementing support vector machines
- libsvm3-java (3.21+ds-1.2)
- Java API to support vector machine library (libsvm3.jar)
- libsvmloc-dev (1.0+dfsg-3)
- PSORTb adapted library for svm machine-learning library (dev)
- libsvmloc0 (1.0+dfsg-3)
- PSORTb adapted library for svm machine-learning library
- libsvn-class-perl (0.18-2)
- perl object oriented interface for Subversion workspaces
- libsvn-dev (1.10.4-1+deb10u3)
- Development files for Apache Subversion libraries
- libsvn-doc (1.10.4-1+deb10u3)
- Developer documentation for libsvn
- libsvn-dump-perl (0.06-2)
- module for parsing Subversion dumps
- libsvn-hooks-perl (1.34-2)
- framework for implementing Subversion hooks
- libsvn-java (1.10.4-1+deb10u3)
- Java bindings for Apache Subversion
- libsvn-look-perl (0.41-1)
- module providing access to svnlook data
- libsvn-notify-mirror-perl (0.04000-2)
- module to keep a mirrored working copy of a repository path
- libsvn-notify-perl (2.87-1)
- Subversion activity notification
- libsvn-perl (1.10.4-1+deb10u3)
- Perl bindings for Apache Subversion
- libsvn-svnlook-perl (0.04-3)
- module to aid using svnlook in Subversion hooks
- libsvn-web-perl (0.63-3)
- Subversion repository web frontend
- libsvn1 (1.10.4-1+deb10u3)
- Shared libraries used by Apache Subversion
- libsvnclientadapter-java (1.10.12-1)
- High-level Java API for Subversion (library)
- libsvnclientadapter-java-doc (1.10.12-1)
- High-level Java API for Subversion (documentation)
- libsvncpp-dev (0.12.1dfsg-3.1+b1)
- Subversion C++ library (development files)
- libsvncpp3 (0.12.1dfsg-3.1+b1)
- Subversion C++ shared library
- libsvnkit-java (1.8.14-3)
- pure Java Subversion client library
- libsvnkit-java-doc (1.8.14-3)
- documentation for libsvnkit-java
- libsvrcore-dev
- virtueel pakket geboden door 389-ds-base-dev
- libswami-dev (2.0.0+svn389-5+b11)
- MIDI instrument editor - development files
- libswami0 (2.0.0+svn389-5+b11)
- MIDI instrument editor - shared libraries
- libswarmcache-java (1.0RC2+cvs20071027-7)
- SwarmCache - Distributed caching mechanism for Java
- libswe-dev (1.80.00.0002-1+b1)
- C library for The Swiss Ephemeris
- libswe0 (1.80.00.0002-1+b1)
- C library for the Swiss Ephemeris
- libswing-layout-java (1.0.4-4)
- Extensions to Swing layout
- libswing-layout-java-doc (1.0.4-4)
- Extensions to Swing layout - contains Javadoc API documentation
- libswingx-java (1:1.6.2-4)
- extensions to the Swing GUI toolkit
- libswingx-java-doc (1:1.6.2-4)
- extensions to the Swing GUI toolkit - documentation
- libswish-api-common-perl (0.04-1)
- Perl interface to the SWISH index engine
- libswiss-perl (1.75-1)
- Perl API to the UniProt database
- libswitch-perl (2.17-2)
- switch statement for Perl
- libsword-1.8.1 (1.8.1+dfsg-8+b1)
- API/library for bible software
- libsword-common (1.8.1+dfsg-8)
- common settings and module repository for libsword
- libsword-dev (1.8.1+dfsg-8+b1)
- Development files for libsword
- libsword-utils (1.8.1+dfsg-8+b1)
- conversion utilities for bible documents in SWORD supported formats
- libswresample-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for audio resampling, rematrixing etc. - development files
- libswresample3 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for audio resampling, rematrixing etc. - runtime files
- libswscale-dev (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for image scaling and various conversions - development files
- libswscale5 (7:4.1.11-0+deb10u1) [security]
- FFmpeg library for image scaling and various conversions - runtime files
- libswt-cairo-gtk-4-jni (4.10.0-3)
- Standard Widget Toolkit for GTK+ Cairo JNI library
- libswt-glx-gtk-4-jni (4.10.0-3)
- Standard Widget Toolkit for GTK+ GLX JNI library
- libswt-gtk-4-java (4.10.0-3)
- Standard Widget Toolkit for GTK+ Java library
- libswt-gtk-4-jni (4.10.0-3)
- Standard Widget Toolkit for GTK+ JNI library
- libswt-webkit-gtk-4-jni (4.10.0-3)
- Standard Widget Toolkit for GTK+ WebKit JNI library
- libswtcalendar-java (0.5-2)
- GUI date picker for Java using SWT
- libswtchart-java (0.10.0-3)
- Chart drawing SWT component
- libswtchart-java-doc (0.10.0-3)
- Chart drawing SWT component (documentation)
- libsx-dev (2.08-3)
- Development files (headers and static libraries) for libsx
- libsx0 (2.08-3)
- Simple X library
- libsxclient-dev (2.0+ds-4+b1)
- Scalable public and private cloud storage
- libsxclient3 (2.0+ds-4+b1)
- Scalable public and private cloud storage
- libsybdb5 (1.00.104-1+deb10u1)
- libraries for connecting to MS SQL and Sybase SQL servers
- libsylfilter0 (0.8-6)
- generic spam filter library
- libsylph-dev (1.1.0-19+b1)
- e-mail client library derived from Sylpheed - devel files
- libsylph1 (1.1.0-19+b1)
- e-mail client library derived from Sylpheed
- libsymbol-global-name-perl (0.05-1)
- module to find name and type of a global variable
- libsymmetrica
- virtueel pakket geboden door libsymmetrica2
- libsymmetrica-dev
- virtueel pakket geboden door libsymmetrica2-dev
- libsymmetrica2 (2.0+ds-6)
- Symmetrica Combinatoric C Library -- library package
- libsymmetrica2-dev (2.0+ds-6)
- Symmetrica Combinatoric C Library -- development package
- libsymspg-dev (1.12.2-1)
- C library for crystal symmetry determination (development files)
- libsymspg1 (1.12.2-1)
- C library for crystal symmetry determination
- libsyncevo-dbus0 (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (shared D-Bus library)
- libsyncevolution0 (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (shared library)
- libsynctex-dev (2018.20181218.49446-1+deb10u2) [security]
- Tex Live: SyncTeX parser library (development part)
- libsynctex2 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: SyncTeX parser library
- libsyndication4 (4:4.14.10-11)
- parser library for RSS and Atom feeds
- libsynfig-dev (1.2.2-1)
- synfig library development files
- libsynfig0a (1.2.2-1)
- render library and plugins for synfig 2D animation
- libsynopsis0.12-dev (0.12-10)
- runtime library for Synopsis (development files)
- libsynopsis0.12v5 (0.12-10)
- runtime library for Synopsis
- libsyntax-highlight-engine-kate-perl (0.14+dfsg-1)
- Perl port of Kate's syntax highlighting engine
- libsyntax-highlight-perl-improved-perl (1.01-5)
- Highlighting of Perl Syntactical Structures
- libsyntax-keyword-gather-perl (1.003002-1)
- syntax extension for the "gather" keyword
- libsyntax-keyword-junction-perl (0.003008-1)
- Perl6 style Junction operators in Perl5
- libsyntax-keyword-try-perl (0.09-1+b1)
- try/catch/finally syntax for perl
- libsyntax-perl (0.004-1)
- pragma for activating syntax extensions
- libsynthesis-dev (3.4.0.47.5+syncevolution-1.5.3-1)
- library for SyncML-DS (SyncML Data Sync) clients (development files)
- libsynthesis0v5 (3.4.0.47.5+syncevolution-1.5.3-1)
- library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
- libsys-cpu-perl (0.61-2+b4)
- module for getting CPU information
- libsys-cpuaffinity-perl (1.12-1+b3)
- module to set CPU affinity for processes
- libsys-cpuload-perl (0.03-8+b5)
- module which retrieves load average of a machine
- libsys-filesystem-perl (1.406-2)
- Perl module to retrieve list of filesystems and their properties
- libsys-gamin-perl (0.1-2+b6 [amd64, armhf, i386], 0.1-2+b5 [arm64])
- Perl interface to Gamin (File Access Monitor implementation)
- libsys-hostip-perl (2.110-1)
- Perl module to determine local machine's IP address
- libsys-hostname-long-perl (1.5-1)
- Figure out the long (fully-qualified) hostname
- libsys-info-base-perl (0.7807-2)
- fetch basic information from the host system
- libsys-meminfo-perl (0.99-1+b3)
- module to query the total free and used physical memory
- libsys-mmap-perl (0.19-1+b1)
- module for using POSIX mmap
- libsys-sigaction-perl (0.23-1)
- Perl extension for consistent signal handling
- libsys-statistics-linux-perl (0.66-3)
- Perl module to collect system statistics
- libsys-syscall-perl (0.25-6)
- module to access system calls that Perl doesn't normally provide access to
- libsys-syslog-perl (= 0.35)
- virtueel pakket geboden door perl
- libsys-utmp-perl (1.8-1+b1)
- perl module to query UTMP files
- libsys-virt-perl (5.0.0-1)
- Perl module providing an extension for the libvirt library
- libsysadm-install-perl (0.48-1)
- module for typical installation tasks of system administrators
- libsysfs-dev (2.1.0+repack-5)
- interface library to sysfs - development files
- libsysfs2 (2.1.0+repack-5)
- interface library to sysfs
- libsysfs2-udeb (2.1.0+repack-5)
- interface library to sysfs
- libsyslog-ng-dev
- virtueel pakket geboden door syslog-ng-core
- libsyslog-ocaml (1.4-6+b5 [amd64, i386], 1.4-6+b4 [armhf], 1.4-6+b2 [arm64])
- syslog routines for OCaml (runtime)
- libsyslog-ocaml-dev (1.4-6+b5 [amd64, i386], 1.4-6+b4 [armhf], 1.4-6+b2 [arm64])
- syslog routines for OCaml (development files)
- libsyslog-ocaml-dev-f29c9
- virtueel pakket geboden door libsyslog-ocaml-dev
- libsyslog-ocaml-dev-z5gy2
- virtueel pakket geboden door libsyslog-ocaml-dev
- libsyslog-ocaml-f29c9
- virtueel pakket geboden door libsyslog-ocaml
- libsyslog-ocaml-z5gy2
- virtueel pakket geboden door libsyslog-ocaml
- libsysstat-qt5-0 (0.4.2-1)
- Qt-based interface to system statistics
- libsysstat-qt5-0-dev (0.4.2-1)
- Qt-based interface to system statistics (dev)
- libsystem-command-perl (1.119-1)
- Perl class for running system commands
- libsystem-info-perl (0.058-1)
- package to obtain basic system information
- libsystem-sub-perl (0.162800-2)
- wrap external command with a DWIM sub
- libsystemc (2.3.3-2)
- SystemC library
- libsystemc-dev (2.3.3-2)
- Development files for SystemC library
- libsystemc-doc (2.3.3-2)
- Documentation for SystemC library
- libsystemd-dev (241-7~deb10u10) [security]
- systemd utility library - development files
- libsystemd0 (241-7~deb10u10) [security]
- systemd utility library
- libsz2 (1.0.2-1)
- Adaptive Entropy Coding library - SZIP
- libt3config-dev (0.2.11-1)
- Development files for libt3config
- libt3config0 (0.2.11-1)
- Library for reading and writing configuration files
- libt3highlight-dev (0.4.6-1)
- Development files for libt3highlight
- libt3highlight2 (0.4.6-1)
- Syntax highlighting library
- libt3key-bin (0.2.9-1+b1)
- Utilities for working with libt3key terminal descriptions
- libt3key-dev (0.2.9-1+b1)
- Development files for libt3key
- libt3key1 (0.2.9-1+b1)
- Terminal key sequence database library
- libt3widget-dev (1.0.3-1)
- Development files for libt3widget
- libt3widget2 (1.0.3-1)
- C++ terminal dialog toolkit
- libt3window-dev (0.3.2-1)
- Development files for libt3window
- libt3window0 (0.3.2-1)
- Library for creating window-based terminal programs
- libt4k-common0 (0.1.1-6)
- common library for tux4kids
- libt4k-common0-dev (0.1.1-6)
- common library for tux4kids - development files
- libtabixpp-dev (1.0.0-4)
- C++ wrapper to tabix indexer (development files)
- libtabixpp-dev
- virtueel pakket geboden door libtabixpp-dev
- libtabixpp0 (1.0.0-4)
- C++ wrapper to tabix indexer
- libtablelayout-java (20090826-4)
- Java layout manager for creating user interfaces fast and easy
- libtacacs+1 (4.0.4.27a-3)
- TACACS+ authentication daemon — shared library
- libtacacs+1-dev (4.0.4.27a-3)
- TACACS+ authentication daemon — development header
- libtachyon
- virtueel pakket geboden door libtachyon-serial-0, libtachyon-openmpi-0, libtachyon-mpich-0, libtachyon-mt-0
- libtachyon-dev
- virtueel pakket geboden door libtachyon-mpi-dev, libtachyon-mpich-0-dev, libtachyon-mt-0-dev, libtachyon-serial-0-dev, libtachyon-openmpi-0-dev
- libtachyon-dev-common (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - common material
- libtachyon-mpi-dev (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - default MPI flavour
- libtachyon-mpich-0 (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - runtime - MPICH flavour
- libtachyon-mpich-0-dev (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - MPICH flavour
- libtachyon-mt-0 (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - runtime - MT flavour
- libtachyon-mt-0-dev (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - MT flavour
- libtachyon-openmpi-0 (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - runtime - OpenMPI flavour
- libtachyon-openmpi-0-dev (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - OpenMPI flavour
- libtachyon-serial-0 (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - runtime - serial flavour
- libtachyon-serial-0-dev (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing Library - development - serial flavour
- (1.0.1-3.1)
- TagLib extras library - development files
- (1.0.1-3.1)
- TagLib extras library - support for more file formats
- libtag1-dev (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library - development files
- libtag1-doc (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library - API documentation
- libtag1v5 (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library
- libtag1v5-vanilla (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library - vanilla flavour
- libtagc0 (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library - C bindings
- libtagc0-dev (1.11.1+dfsg.1-0.3+deb10u1)
- audio meta-data library - development files for C bindings
- libtagcoll2-dev (2.0.14-2)
- Functions used to manipulate tagged collections (development version)
- libtaglib-cil-dev (2.1.0.0-4)
- CLI library for accessing audio and video files metadata (dev files)
- libtaglib-ocaml (0.3.1-1+b5 [armhf], 0.3.1-1+b4 [amd64, i386], 0.3.1-1+b3 [arm64])
- OCaml bindings for the TagLib Audio Meta-Data Library
- libtaglib-ocaml-23pn7
- virtueel pakket geboden door libtaglib-ocaml
- libtaglib-ocaml-dev (0.3.1-1+b5 [armhf], 0.3.1-1+b4 [amd64, i386], 0.3.1-1+b3 [arm64])
- OCaml bindings for the TagLib Audio Meta-Data Library -- devel
- libtaglib-ocaml-dev-23pn7
- virtueel pakket geboden door libtaglib-ocaml-dev
- libtaglib-ocaml-dev-nish6
- virtueel pakket geboden door libtaglib-ocaml-dev
- libtaglib-ocaml-nish6
- virtueel pakket geboden door libtaglib-ocaml
- libtaglib2.1-cil (2.1.0.0-4)
- CLI library for accessing audio and video files metadata
- libtaglibs-standard-impl-java (1.2.5-2)
- Apache JSP Standard Taglib Implementation
- libtaglibs-standard-jstlel-java (1.2.5-2)
- Apache JSP Standard Taglib 1.0 EL Support
- libtaglibs-standard-spec-java (1.2.5-2)
- Apache JSP Standard Taglib Specification API
- libtagsoup-java (1.2.1+-1)
- SAX-compliant parser for real-life HTML
- libtagsoup-java-doc (1.2.1+-1)
- API Documentation for TagSoup
- libtaint-runtime-perl (0.3-3+b1)
- module to enable taint checking at runtime
- libtaint-util-perl (0.08-3+b1)
- Perl module to manipulate the Perl internal taint flag
- libtainting-perl (0.02-1)
- Perl pragma to enable taint mode lexically
- libtaktuk-1-dev (3.7.7-1)
- C bindings for taktuk (development files)
- libtaktuk-perl (3.7.7-1)
- Perl bindings for taktuk
- libtaktuk3 (3.7.7-1)
- C bindings for taktuk
- libtalloc-dev (2.1.14-2)
- hierarchical pool based memory allocator - development files
- libtalloc2 (2.1.14-2)
- hierarchical pool based memory allocator
- libtamuanova-0.2 (0.2-4+b1)
- Library to calculate an ANOVA
- libtamuanova-dev (0.2-4+b1)
- Development files for the TAMU ANOVA library
- libtangence-perl (0.24-3)
- attribute-oriented server/client object remoting framework
- libtango-dev (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - development library
- libtango-doc (9.2.5a+dfsg1-2)
- TANGO distributed control system - documentation
- libtango-tools (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - common executable files
- libtango9 (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - shared library
- libtangram-perl (2.12-2)
- Orthogonal Object Persistence in Relational Databases
- libtaningia-dev (0.2.2-2)
- Development files for lib taningia
- libtaningia0 (0.2.2-2)
- Taningia is a generic communication library based on XMPP
- libtaoframework-freeglut-cil-dev (2.1.svn20090801-14)
- Tao CLI binding for freeglut - development files
- libtaoframework-freeglut2.4-cil (2.1.svn20090801-14)
- Tao CLI binding for freeglut
- libtaoframework-openal-cil-dev (2.1.svn20090801-14)
- Tao CLI binding for OpenAL - development files
- libtaoframework-openal1.1-cil (2.1.svn20090801-14)
- Tao CLI binding for OpenAL
- libtaoframework-opengl-cil-dev (2.1.svn20090801-14)
- Tao CLI binding for OpenGL and GLU - development files
- libtaoframework-opengl3.0-cil (2.1.svn20090801-14)
- Tao CLI binding for OpenGL and GLU
- libtaoframework-sdl-cil-dev (2.1.svn20090801-14)
- Tao CLI binding for SDL - development files
- libtaoframework-sdl1.2-cil (2.1.svn20090801-14)
- Tao CLI binding for SDL
- libtap-formatter-html-perl (0.11+dfsg-2)
- TAP Test Harness output delegate for html output
- libtap-formatter-junit-perl (0.11-1)
- Perl module for converting TAP output to JUnit XML output
- libtap-harness-archive-perl (0.18-1)
- module for creating an archive of TAP test results
- libtap-parser-sourcehandler-pgtap-perl (3.34-2)
- Unit testing tools for pgTAP
- libtap-simpleoutput-perl (0.009-1)
- simple closure-driven TAP generator
- libtar
- virtueel pakket geboden door libtar0
- libtar-dev (1.2.20-7)
- C library for manipulating tar archives (development files)
- libtar0 (1.2.20-7)
- C library for manipulating tar archives
- libtask-kensho-all-perl (0.40-2)
- provides all Task::Kensho tasks (metapackage)
- libtask-kensho-async-perl (0.40-2)
- provides modules recommended for Task::Kensho::Async
- libtask-kensho-cli-perl (0.40-2)
- provides modules recommended for Task::Kensho::CLI
- libtask-kensho-config-perl (0.40-2)
- provides modules recommended for Task::Kensho::Config
- libtask-kensho-dates-perl (0.40-2)
- provides modules recommended for Task::Kensho::Dates
- libtask-kensho-dbdev-perl (0.40-2)
- provides modules recommended for Task::Kensho::DBDev
- libtask-kensho-email-perl (0.40-2)
- provides modules recommended for Task::Kensho::Email
- libtask-kensho-excelcsv-perl (0.40-2)
- provides modules recommended for Task::Kensho::ExcelCSV
- libtask-kensho-exceptions-perl (0.40-2)
- provides modules recommended for Task::Kensho::Exceptions
- libtask-kensho-hackery-perl (0.40-2)
- provides modules recommended for Task::Kensho::Hackery
- libtask-kensho-logging-perl (0.40-2)
- provides modules recommended for Task::Kensho::Logging
- libtask-kensho-moduledev-perl (0.40-2)
- provides modules recommended for Task::Kensho::ModuleDev
- libtask-kensho-oop-perl (0.40-2)
- provides modules recommended for Task::Kensho::OOP
- libtask-kensho-perl (0.40-2)
- recommended modules for Enlightened Perl development
- libtask-kensho-scalability-perl (0.40-2)
- provides modules recommended for Task::Kensho::Scalability
- libtask-kensho-testing-perl (0.40-2)
- provides modules recommended for Task::Kensho::Testing
- libtask-kensho-toolchain-perl (0.40-2)
- provides modules recommended for Task::Kensho::Toolchain
- libtask-kensho-webcrawling-perl (0.40-2)
- provides modules recommended for Task::Kensho::WebCrawling
- libtask-kensho-webdev-perl (0.40-2)
- provides modules recommended for Task::Kensho::WebDev
- libtask-kensho-xml-perl (0.40-2)
- provides modules recommended for Task::Kensho::XML
- libtask-weaken-perl (1.06-1)
- module for ensuring that a platform has weaken support
- libtaskmanager6 (4:5.14.5.1-1)
- Plasma Workspace for KF5 library
- libtasn1-6 (4.13-3+deb10u1) [security]
- Onderhoud ASN.1 structuren (voor executie)
- libtasn1-6-dev (4.13-3+deb10u1) [security]
- Manage ASN.1 structures (development)
- libtasn1-bin (4.13-3+deb10u1) [security]
- Beheer van ASN.1 stucturen (programma's)
- libtasn1-dev
- virtueel pakket geboden door libtasn1-6-dev
- libtasn1-doc (4.13-3+deb10u1) [security]
- Manage ASN.1 structures (documentation)
- libtbb-dev (2018~U6-4)
- parallelism library for C++ - development files
- libtbb-doc (2018~U6-4)
- parallelism library for C++ - documentation
- libtbb2 (2018~U6-4)
- parallelism library for C++ - runtime files
- libtcc-dev (0.9.27-8)
- fast library for dynamic code generation
- libtcl
- virtueel pakket geboden door libtcl8.6
- libtcl-chiark-1 (1.3.2)
- Tcl interfaces for adns, cdb, crypto, etc.
- libtcl-perl (1.27+ds-1)
- Tcl extension module for Perl
- libtcl8.6 (8.6.9+dfsg-2)
- Tcl (the Tool Command Language) v8.6 - run-time library files
- libtclap-dev (1.2.2-1)
- Templatized command-line argument parser for C++
- libtclcl-dev
- virtueel pakket geboden door libtclcl1-dev
- libtclcl1 (1.20-9)
- shared library of TclCL
- libtclcl1-dev (1.20-9)
- development files of TclCL
- libtcmalloc-minimal4 (2.7-1)
- efficient thread-caching malloc
- libtcnative-1 (1.2.21-1)
- Tomcat native library using the Apache Portable Runtime
- libtcod-dev (1.7.0+dfsg-2)
- development files for the libtcod roguelike library
- libtcod0 (1.7.0+dfsg-2)
- graphics and utility library for roguelike developers
- libtcpcrypt-dev (0.5-1+b1)
- Library to retrieve the tcpcrypt session ID where available
- libtcpcrypt0 (0.5-1+b1)
- Library to retrieve the tcpcrypt session ID where available
- libtcplay (1.1-6)
- Free and simple TrueCrypt Implementation based on dm-crypt
- libtcplay-dev (1.1-6)
- Free and simple TrueCrypt Implementation based on dm-crypt
- libtdb-dev (1.3.16-2+b1)
- Trivial Database - development files
- libtdb1 (1.3.16-2+b1)
- Trivial Database - shared library
- libteam-dev (1.28-1)
- library for controlling team network device -- dev files
- libteam-utils (1.28-1)
- library for controlling team network device -- userspace utilities
- libteam5 (1.28-1)
- library for communication with Netlink kernel module
- libteamdctl0 (1.28-1)
- library for communication with `teamd` process
- libteckit-dev (2.5.8+ds2-5)
- Development files for TECkit encoding conversion library
- libteckit0 (2.5.8+ds2-5)
- Encoding conversion library
- libtecla-dev (1.6.3-2.1)
- interactive command line editing facilities (development)
- libtecla1 (1.6.3-2.1)
- interactive command line editing facilities
- libtelepathy-farstream-dev (0.6.2-1+b1)
- Glue library between telepathy and farstream (development files)
- libtelepathy-farstream-doc (0.6.2-1)
- Glue library between telepathy and farstream (documentation)
- libtelepathy-farstream3 (0.6.2-1+b1)
- Glue library between telepathy and farstream
- libtelepathy-farstream3-dbg (0.6.2-1+b1)
- Glue library between telepathy and farstream (debug symbols)
- libtelepathy-glib-dev (0.24.1-2)
- GLib Telepathy connection manager library (headers)
- libtelepathy-glib-doc (0.24.1-2)
- GLib Telepathy library (documentation)
- libtelepathy-glib0 (0.24.1-2)
- Telepathy framework - GLib library
- libtelepathy-logger-dev (0.8.2-3)
- Telepathy logger service -- utility library (headers)
- libtelepathy-logger-doc (0.8.2-3)
- Telepathy logger service -- documentation
- libtelepathy-logger-qt-dev (17.08.0-2)
- Qt bindings for the telepathy-logger library - development files
- libtelepathy-logger-qt5 (17.08.0-2)
- Qt bindings for the telepathy-logger library
- libtelepathy-logger3 (0.8.2-3)
- Telepathy logger service - utility library
- libtelepathy-qt5-0 (0.9.7-5)
- Telepathy framework - Qt 5 library
- libtelepathy-qt5-dev (0.9.7-5)
- Qt 5 Telepathy library (headers and static library)
- libtelepathy-qt5-farstream0 (0.9.7-5)
- Telepathy/Farsight integration - Qt 5 library
- libtelephony-asterisk-ami-perl (0.006-2)
- Simple Asterisk Manager Interface client (Perl module)
- libtelnet-dev (0.21-5)
- Small library for parsing the TELNET protocol - development files
- libtelnet-utils (0.21-5)
- Small library for parsing the TELNET protocol - utilities
- libtelnet2 (0.21-5)
- Small library for parsing the TELNET protocol - shared library
- libtemplate-alloy-perl (1.020-1)
- TT2/3, HT, HTE, Tmpl, and Velocity Engine
- libtemplate-autofilter-perl (0.143050-1)
- Template::Toolkit subclass with automatic filtering
- libtemplate-declare-perl (0.47-2)
- module for Perlish declarative templates
- libtemplate-glib-1.0-0 (3.30.0-2)
- templating library for GLib
- libtemplate-glib-1.0-dev (3.30.0-2)
- templating library for GLib - development files
- libtemplate-glib-common (3.30.0-2)
- templating library for GLib - common files
- libtemplate-glib-doc (3.30.0-2)
- templating library for GLib - documentation
- libtemplate-multilingual-perl (1.00-2)
- multilingual templates for Template Toolkit
- libtemplate-perl (2.27-1+b1)
- "Template Toolkit" template processing system in Perl
- libtemplate-plugin-calendar-simple-perl (1.00-1)
- simple calendar plugin for perl Template Toolkit
- libtemplate-plugin-class-perl (0.14-1)
- module for calling methods of arbitrary classes in Template Toolkit
- libtemplate-plugin-clickable-email-perl (0.01-2)
- plugin to make clickable e-mail addresses with Template Toolkit
- libtemplate-plugin-clickable-perl (0.06-3)
- Make URLs clickable in HTML
- libtemplate-plugin-comma-perl (0.04-1)
- TT plugin for using commas in numbers
- libtemplate-plugin-cycle-perl (1.06-1)
- Cyclically insert into a Template from a sequence of values
- libtemplate-plugin-datetime-format-perl (0.03-1)
- module for formatting DateTime objects from TT with DateTime::Format
- libtemplate-plugin-datetime-perl (0.06002-1)
- plugin to use DateTime objects in the Template Toolkit
- libtemplate-plugin-dbi-perl (2.65-2)
- DBI plugin for the Template Toolkit
- libtemplate-plugin-digest-md5-perl (0.05-1)
- interface to the MD5 Algorithm for the Template Toolkit
- libtemplate-plugin-gd-perl (2.66-2)
- GD plugin(s) for the Template Toolkit
- libtemplate-plugin-gravatar-perl (0.10-1)
- Template Toolkit plugin for generating Gravatar URLs
- libtemplate-plugin-html-strip-perl (0.01-2)
- plugin to remove HTML for the Template Toolkit
- libtemplate-plugin-ipaddr-perl (0.03-1)
- plugin handling IP addresses for the Template Toolkit
- libtemplate-plugin-javascript-perl (0.02-2)
- Perl module to sanitize text for JavaScript
- libtemplate-plugin-json-escape-perl (0.2-1)
- module for embedding JSON strings in Template Toolkit
- libtemplate-plugin-latex-perl (3.12-1)
- LaTeX support for the Template Toolkit
- libtemplate-plugin-lingua-en-inflect-perl (0.04-1)
- interface to Lingua::EN::Inflect for the Template Toolkit
- libtemplate-plugin-number-format-perl (1.06-1)
- Number formatting plugin for perl Template Toolkit
- libtemplate-plugin-posix-perl (0.05-1)
- Template Toolkit plugin to access Perl POSIX functions
- libtemplate-plugin-stash-perl (1.006-1)
- Template::Toolkit plugin that exposes the template's stash
- libtemplate-plugin-textile2-perl (1.21-5)
- textile plugin for the Template Toolkit
- libtemplate-plugin-utf8decode-perl (0.01-1)
- UTF8 decoder filter for Template Toolkit
- libtemplate-plugin-xml-perl (2.17-3)
- XML plugins for the Template Toolkit
- libtemplate-plugin-yaml-perl (1.23-2)
- simple Template Toolkit Plugin Interface to the YAML module
- libtemplate-provider-encoding-perl (0.10-3)
- Template plugin to specify encoding
- libtemplate-provider-fromdata-perl (0.13-2)
- module to load templates from your __DATA__ section
- libtemplate-stash-autoescaping-perl (0.0303-1)
- Template::Stash subclass for automatically escaping HTML strings
- libtemplate-timer-perl (1.00-2)
- rudimentary profiling module for Template Toolkit
- libtemplate-tiny-perl (1.12-2)
- lightweight implementation of Template Toolkit
- libtemplates-parser-doc (19-3)
- Ada library to parse files and replace variables (documentation)
- libtemplates-parser12-dev (19-3)
- Ada library to parse files and replace variables
- libtemplates-parser18 (19-3)
- Ada library to parse files and replace variables (runtime)
- libtenjin-perl (1.000001-1)
- fast templating engine with support for embedded Perl
- libtepl-4-0 (4.2.0-2)
- Text editor library for GTK+
- libtepl-4-dev (4.2.0-2)
- Text editor library for GTK+ - development files
- libtercpp-dev (0.6.2+svn46-1.1+b1)
- Translation Error Rate scoring tool - development files
- libtercpp0v5 (0.6.2+svn46-1.1+b1)
- Translation Error Rate scoring tool - shared library
- libterm-choose-perl (1.644-1)
- module to choose items from a list interactively
- libterm-clui-perl (1.75-1)
- Perl module offering a Command-Line User Interface
- libterm-editoredit-perl (0.16-2)
- module for editing a document via $EDITOR
- libterm-encoding-perl (0.02-2)
- Perl module to detect encoding of the current terminal
- libterm-extendedcolor-perl (0.238-1)
- module to color screen output using extended escape sequences
- libterm-filter-perl (0.03-2)
- Perl module to run an interactive terminal session, filtering input and output
- libterm-progressbar-perl (2.22-1)
- Perl module to print a progress bar
- libterm-progressbar-quiet-perl (0.31-1)
- only show a progress meter if run interactively
- libterm-progressbar-simple-perl (0.03-1)
- simple but featureful terminal progress bar
- libterm-prompt-perl (1.04-2)
- Perl module for prompting a user for information
- libterm-query-perl (2.0-10)
- Perl module for subroutines that handle simple tty-based UI
- libterm-readkey-perl (2.38-1)
- perl module for simple terminal control
- libterm-readline-gnu-perl (1.36-1)
- Perl extension for the GNU ReadLine/History Library
- libterm-readline-perl-perl (1.0303-2)
- Perl implementation of Readline libraries
- libterm-readline-ttytter-perl (1.4-3)
- Term::ReadLine driver with special features for microblogging
- libterm-readline-zoid-perl (0.07-3)
- Pure Perl implementation of Readline libraries
- libterm-readpassword-perl (0.11-3)
- prompt user for a password
- libterm-shell-perl (0.10-1)
- Perl module for writing shell-like interfaces
- libterm-shellui-perl (0.92-2)
- Perl module for fully-featured shell-like command line environment
- libterm-size-any-perl (0.002-1)
- unified interface to retrieve the terminal size from Perl
- libterm-size-perl (0.209-1+b1)
- Perl extension for retrieving terminal size
- libterm-size-perl-perl (0.031-1+b1)
- pure Perl extension for retrieving the terminal size
- libterm-sk-perl (0.18-1)
- Perl extension for displaying a progress indicator on a terminal
- libterm-slang-perl (0.07-13+b1)
- Perl interface to the S-Lang terminal library
- libterm-table-perl (0.013-1)
- module to format a header and rows into a table
- libterm-termkey-perl (0.16-4)
- perl wrapper around libtermkey
- libterm-title-perl (0.09-1)
- module for setting the terminal titlebar
- libterm-ttyrec-plus-perl (0.09-1)
- module for reading a ttyrec
- libterm-twiddle-perl (2.73-2)
- Perl module to twiddle a thingy while-u wait
- libterm-ui-perl (0.46-1)
- Term::ReadLine UI made easy
- libterm-visual-perl (0.08-2)
- split-terminal user interface for Perl
- libterm-vt102-perl (0.91-2)
- module to emulate a DEC VT102 terminal
- libtermbox-dev (1.1.2+dfsg-3)
- Library for writing text-based user interfaces (dev)
- libtermbox1 (1.1.2+dfsg-3)
- Library for writing text-based user interfaces (lib)
- libtermkey-dev (0.20-3)
- library for processing keyboard input (development files)
- libtermkey1 (0.20-3)
- library for processing keyboard input
- libterralib-dev (4.3.0+dfsg.2-11+b1)
- C++ library for Geographical Information Systems -- development package
- libterralib-doc (4.3.0+dfsg.2-11)
- C++ library for Geographical Information Systems -- documentation package
- libterralib3 (4.3.0+dfsg.2-11+b1)
- C++ library for Geographical Information Systems
- libtesseract-dev (4.0.0-2)
- Development files for the tesseract command line OCR tool
- libtesseract4 (4.0.0-2)
- Tesseract OCR library
- libtest-abortable-perl (0.002-1)
- module to handle subtest failures with exceptions
- libtest-api-perl (0.010-1)
- test a list of subroutines provided by a module
- libtest-assertions-perl (1.054-3)
- simple set of building blocks for unit and runtime testing
- libtest-autoloader-perl (0.03-4)
- testing utility for autosplit/autoloaded modules
- libtest-base-perl (0.89-1)
- data driven testing framework for Perl
- libtest-bdd-cucumber-perl (0.56-3)
- Cucumber-style acceptance testing framework in Perl
- libtest-bits-perl (0.02-2)
- Perl module for testing binary data
- libtest-block-perl (0.13-3)
- module for specifying tests with a finer granularity
- libtest-carp-perl (0.2-2)
- module to test your code for calls to Carp functions
- libtest-checkdeps-perl (0.010-2)
- check for presence of dependencies
- libtest-checkmanifest-perl (1.42-1)
- module to check if your manifest matches your distribution
- libtest-class-most-perl (0.08-1)
- module to reduce boilerplate code when testing classes
- libtest-class-perl (0.50-1)
- module for creating test classes in an xUnit style
- libtest-classapi-perl (1.07-1)
- Perl extension for basic first-pass API testing for class trees
- libtest-cleannamespaces-perl (0.24-1)
- module to check for uncleaned imports
- libtest-cmd-perl (1.09-1)
- perl module which provides a testing framework
- libtest-command-perl (0.11-2)
- Perl module for testing external commands
- libtest-command-simple-perl (0.05-1)
- Perl module to test external commands
- libtest-compile-perl (1.3.0-1)
- test module for checking that scripts and modules compile
- libtest-consistentversion-perl (0.3.0-1)
- module to ensure consistent versions in a package
- libtest-corpus-audio-mpd-perl (1.120990-2)
- module to fake mpd for testing purposes
- libtest-cpan-meta-json-perl (0.16-1)
- module to validate CPAN META.json files
- libtest-cpan-meta-perl (0.25-1)
- test module to validate package metadata for CPAN
- libtest-cpan-meta-yaml-perl (0.25-1)
- test module to validate a META.yml file
- libtest-cukes-perl (0.10-3)
- test framework inspired by Cucumber
- libtest-data-perl (1.242-1)
- module to check properties and values of data and variables
- libtest-database-perl (1.113-1)
- support for testing against multiple unspecified databases
- libtest-databaserow-perl (2.04-1)
- module for simple database tests
- libtest-debian-perl (0.06-1)
- perl tests for debian
- libtest-deep-fuzzy-perl (0.01-1)
- fuzzy number comparison with Test::Deep
- libtest-deep-json-perl (0.05-1)
- Test::Deep plugin for comparing JSON data
- libtest-deep-perl (1.128-1)
- Perl module for extremely flexible deep comparisons
- libtest-deep-type-perl (0.008-1)
- Test::Deep plugin for validating type constraints
- libtest-deep-unorderedpairs-perl (0.006-1)
- Test::Deep plugin for comparing lists as if they were hashes
- libtest-dependencies-perl (0.23-1)
- module to verify dependencies listed in Makefile.PL
- libtest-differences-perl (0.65-1)
- Perl module to test string and data structure differences
- libtest-dir-perl (1.16-1)
- module for testing directory attributes
- libtest-distmanifest-perl (1.014-2)
- Perl module for verifying CPAN MANIFEST files
- libtest-distribution-perl (2.00-5)
- perform tests on all modules of a distribution
- libtest-effects-perl (0.001005-2)
- Perl module to test various effects at once
- libtest-email-perl (0.07-2)
- email contents test module
- libtest-eol-perl (2.00-1)
- module to check for non-Unix line endings
- libtest-exception-lessclever-perl (0.009-1)
- simplified Test::Exception alternative
- libtest-exception-perl (0.43-1)
- module for testing exception-based code
- libtest-exit-perl (0.11-1)
- Perl module for testing whether code exits without terminating
- libtest-expect-perl (0.34-1)
- module for automated driving and testing of terminal-based programs
- libtest-failwarnings-perl (0.8-1)
- module for adding test failures if warnings are caught
- libtest-fake-httpd-perl (0.08-1)
- fake HTTP server
- libtest-fatal-perl (0.014-1)
- module for testing code with exceptions
- libtest-file-contents-perl (0.23-1)
- Perl module for testing the contents of files
- libtest-file-perl (1.443-1)
- Perl module to test file attributes
- libtest-file-sharedir-perl (1.001002-1)
- Perl module to create fake ShareDirs
- libtest-filename-perl (0.03-1)
- portable filename comparison
- libtest-files-perl (0.14-1)
- module to ease testing with files and directories
- libtest-fixme-perl (0.16-1)
- Perl module to check code for FIXME declarations
- libtest-fork-perl (0.02-2)
- module for testing code which forks
- libtest-harness-perl (3.42-1)
- module to run standard Perl test scripts with statistics
- libtest-harness-perl (= 3.42)
- virtueel pakket geboden door perl
- libtest-hasversion-perl (0.014-1)
- Perl library to check that Perl modules have version numbers
- libtest-hexstring-perl (0.03-1)
- module that tests binary strings with hex dump diagnostics
- libtest-html-content-perl (0.09-2)
- Perl extension for testing HTML output
- libtest-html-w3c-perl (0.04-1)
- wrapper around W3C HTML validation testing service
- libtest-http-localserver-perl (0.64-1)
- local HTTP server for testing other perl modules
- libtest-http-server-simple-perl (0.11-1)
- Test::More functions for HTTP::Server::Simple
- libtest-http-server-simple-stashwarnings-perl (0.04-2)
- module to catch forked web server's warnings in Test::More tests
- libtest-identity-perl (0.01-2)
- test module that asserts the referential identity of a reference
- libtest-if-perl (0.01-1)
- module to conditionally use other test modules
- libtest-image-gd-perl (0.03-3)
- module for testing images using GD
- libtest-indistdir-perl (1.112071-1)
- test environment setup for development with IDE
- libtest-inline-perl (2.213-2)
- Perl extension for embed tests and code examples in POD
- libtest-inter-perl (1.07-1)
- framework for more readable interactive test scripts
- libtest-is-perl (20140823.1-1)
- Perl module to skip tests in a declarative way
- libtest-json-perl (0.11-2)
- module for testing JSON data
- libtest-kwalitee-perl (1.28-1)
- module to test the quality of a Perl module distribution
- libtest-leaktrace-perl (0.16-1+b1)
- Perl test module for tracing memory leaks
- libtest-lectrotest-perl (0.5001-3)
- easy, automatic, specification-based testing system
- libtest-log-dispatch-perl (0.03-2)
- Log::Dispatch object to test what is being logged
- libtest-log-log4perl-perl (0.32-1)
- module to test Log::Log4perl
- libtest-log4perl-perl (0.1001-4)
- test module for checking Log::Log4perl code
- libtest-longstring-perl (0.17-1)
- module to test for string equality
- libtest-lwp-useragent-perl (0.033-2)
- module for simulating and testing network calls
- libtest-manifest-perl (2.021-1)
- Perl module for interacting with a test manifest file
- libtest-memory-cycle-perl (1.06-1)
- Perl module that verifies code hasn't left circular references
- libtest-memorygrowth-perl (0.03-1)
- module to assert that code does not cause growth in memory usage
- libtest-minimumversion-perl (0.101082-2)
- Perl test module to check the version of perl required
- libtest-mock-guard-perl (0.10-2)
- Simple mock test library using RAII.
- libtest-mock-lwp-perl (0.08-1)
- module for easy mocking of LWP packages
- libtest-mock-redis-perl (0.21-1)
- test stub for Redis databases
- libtest-mock-time-perl (0.1.7-1)
- module for deterministic time & timers in event loop tests
- libtest-mockdbi-perl (0.70-1)
- mocked DBI interface for testing purposes
- libtest-mockmodule-perl (0.170.0-1)
- Perl module to override subroutines in a module for unit testing
- libtest-mockobject-perl (1.20180705-1)
- Perl extension for emulating troublesome interfaces
- libtest-mockrandom-perl (1.01-1)
- module to replace random number generation with non-random number generation
- libtest-mocktime-datecalc-perl (6+ds-1)
- testing utility to fake time for Date::Calc
- libtest-mocktime-perl (0.17-1)
- module to simulate time in tests
- libtest-modern-perl (0.013-2)
- precision testing for modern perl
- libtest-module-used-perl (0.2.6-1)
- module for testing whether a module is used
- libtest-mojibake-perl (1.3-1)
- module to check source for encoding misbehavior
- libtest-moose-more-perl (0.050-1)
- collection of tools for testing Moose packages
- libtest-more-utf8-perl (0.05-1)
- enhance Test::More for UTF8-based projects
- libtest-most-perl (0.35-1)
- Perl module with the most commonly needed test functions and features
- libtest-name-fromline-perl (0.13-1)
- auto fill test names from caller line
- libtest-needs-perl (0.002005-1)
- module to skip tests when modules are not available
- libtest-needsdisplay-perl (1.07-3)
- module to ensure that tests needing a display have one
- libtest-net-ldap-perl (0.07-1)
- Net::LDAP subclass for testing
- libtest-nobreakpoints-perl (0.15-2)
- module to test that files do not contain soft breakpoints
- libtest-notabs-perl (2.02-1)
- module for scanning for hard tabs in files
- libtest-nowarnings-perl (1.04-2)
- module to make sure you didn't emit any warnings while testing
- libtest-number-delta-perl (1.06-1)
- module to compare the difference between numbers against a given tolerance
- libtest-object-perl (0.08-1)
- module for thoroughly testing objects via registered handlers
- libtest-output-perl (1.031-1)
- perl module to test stdout and stderr messages
- libtest-perl-critic-perl (1.04-1)
- module to use Perl::Critic in test scripts
- libtest-perl-critic-progressive-perl (0.03-1)
- module that allows gradual enforcement of coding standards
- libtest-pod-content-perl (0.0.6-2)
- Perl module for testing POD content
- libtest-pod-coverage-perl (1.10-2)
- module to check for pod coverage in a distribution
- libtest-pod-no404s-perl (0.02-1)
- test utility checking POD for HTTP 404 links
- libtest-pod-perl (1.52-1)
- module to test for POD errors
- libtest-poe-client-tcp-perl (1.26-1)
- POE Component providing TCP client services for test cases
- libtest-poe-server-tcp-perl (1.20-1)
- test framework for TCP client libraries using POE
- libtest-portability-files-perl (0.10-1)
- Perl module to check that file names in a distribution are portable
- libtest-postgresql-perl (1.27-1)
- sets up and destroys temporary PostgreSQL instances for testing
- libtest-prereq-perl (2.002-1)
- Perl module to check if Makefile.PL has the right pre-requisites
- libtest-rdf-doap-version-perl (0.010-1)
- tests 'meta/changes.ttl' is up to date
- libtest-rdf-perl (1.22-1)
- Test RDF data for validity and equality
- libtest-redisserver-perl (0.21-1)
- redis-server runner for tests
- libtest-refcount-perl (0.08-3)
- Perl module to assert reference counts
- libtest-regexp-pattern-perl (0.004-1)
- test Regexp::Pattern patterns
- libtest-regexp-perl (2017040101-1)
- Perl module to test regular expressions
- libtest-regression-perl (0.08-1)
- test library for regression testing output
- libtest-reporter-perl (1.62-1)
- Perl module to send test results to cpan-testers@perl.org
- libtest-requires-git-perl (1.008-1)
- module to check the available version of Git
- libtest-requires-perl (0.10-1)
- utility module for tests to check whether modules are available
- libtest-requiresinternet-perl (0.05-2)
- module to easily test network connectivity
- libtest-roo-perl (1.004-1)
- module for composable, reusable tests with roles and Moo
- libtest-routine-perl (0.027-1)
- Perl test framework for tests as composable units of assertion
- libtest-script-perl (1.25-1)
- Perl test module for scripts
- libtest-script-run-perl (0.08-2)
- Perl module for testing scripts as subprocesses
- libtest-sharedfork-perl (0.35-1)
- module to run tests in multiple processes and merge results
- libtest-signature-perl (1.11-1)
- Perl module that provides automatic SIGNATURE testing
- libtest-simple-perl (1.302162-1)
- set of basic utilities for writing tests in Perl
- libtest-simple-perl (= 1.302133)
- virtueel pakket geboden door perl
- libtest-spec-perl (0.54-1)
- Perl module to write tests in a declarative specification style
- libtest-spelling-perl (0.20-1)
- Perl module for spellchecking pod formatted text
- libtest-strict-perl (0.47-1)
- test module for checking the syntax of use strict and test coverage
- libtest-subcalls-perl (1.10-1)
- Perl module to count subroutine calls
- libtest-synopsis-perl (0.15-1)
- Perl module to test SYNOPSIS code in PODs
- libtest-tabledriven-perl (0.02-1)
- write tests, not scripts that run them
- libtest-tabs-perl (0.005-1)
- check the presence of tabs in your project
- libtest-taint-perl (1.06-2+b2 [amd64, arm64, i386], 1.06-2+b1 [armhf])
- Module to test taintedness
- libtest-tcp-perl (2.19-1)
- module to test TCP/IP programs
- libtest-tempdir-perl (0.10-2)
- Perl module that creates temporary files for testing
- libtest-tempdir-tiny-perl (0.017-1)
- test module for temporary directories that stick around when tests fail
- libtest-tester-perl
- virtueel pakket geboden door libtest-simple-perl
- libtest-tester-perl (= 1.302133)
- virtueel pakket geboden door perl
- libtest-time-perl (0.07-1)
- module to override the time() and sleep() functions for testing
- libtest-timer-perl (2.10-1)
- Perl module to test/assert code response times
- libtest-trap-perl (0.3.4-1)
- test module to trap exit codes, exceptions, output, etc.
- libtest-unit-perl (0.25-3)
- unit testing framework for Perl
- libtest-unixsock-perl (0.2-1)
- test module for UNIX domain sockets
- libtest-use-ok-perl
- virtueel pakket geboden door libtest-simple-perl
- libtest-use-ok-perl (= 1.302133)
- virtueel pakket geboden door perl
- libtest-useallmodules-perl (0.17-1)
- test module to do use_ok() for all the MANIFESTed modules
- libtest-utf8-perl (1.01-1)
- handy perl module for testing strings with utf8
- libtest-valgrind-perl (1.19-1)
- module to test Perl code through valgrind
- libtest-version-perl (2.09-1)
- module for checking the sanity of versions in modules
- libtest-warn-perl (0.36-1)
- test module for checking which warnings are generated
- libtest-warnings-perl (0.026-1)
- Perl module to test for warnings and the lack of them
- libtest-weaken-perl (3.022000-1)
- Perl module to test that freed memory objects were actually freed
- libtest-without-module-perl (0.20-1)
- test fallback behaviour in absence of modules
- libtest-www-declare-perl (0.02-4)
- declarative testing module for web apps
- libtest-www-mechanize-catalyst-perl (0.62-1)
- module for automated testing for Catalyst applications
- libtest-www-mechanize-cgiapp-perl (0.05-4)
- Test CGI::Application apps using WWW::Mechanize
- libtest-www-mechanize-mojo-perl (0.0.19-1)
- module for testing web applications built using Mojolicious
- libtest-www-mechanize-perl (1.52-1)
- module for testing web applications
- libtest-www-mechanize-psgi-perl (0.38-1)
- test PSGI programs using WWW::Mechanize
- libtest-www-selenium-perl (1.36-2)
- Perl test framework using Selenium Remote Control
- libtest-xml-perl (0.08-3)
- compare XML in perl tests
- libtest-xml-simple-perl (1.05-1)
- Perl testing framework for XML data
- libtest-xpath-perl (0.19-1)
- test XML and HTML content and structure with XPath expressions
- libtest-yaml-perl (1.07-1)
- test module for YAML implementations
- libtest-yaml-valid-perl (0.04-2)
- module to test for valid YAML
- libtest2-asyncsubtest-perl
- virtueel pakket geboden door libtest2-suite-perl
- libtest2-perl
- virtueel pakket geboden door libtest-simple-perl
- libtest2-plugin-nowarnings-perl (0.06-1)
- Perl testing plugin to fail tests if warnings are generated
- libtest2-suite-perl (0.000118-1)
- set of tools built upon the Test2 framework
- libtest2-workflow-perl
- virtueel pakket geboden door libtest2-suite-perl
- libtestng7-java (7.5-2~deb10u1) [security]
- testing framework for Java
- libtestu01
- virtueel pakket geboden door libtestu01-0
- libtestu01-0 (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- libs
- libtestu01-0-dev (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- libdevel
- libtestu01-0-dev-common (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- headers
- libtestu01-dev
- virtueel pakket geboden door libtestu01-0-dev
- libtestu01-dev-common
- virtueel pakket geboden door libtestu01-0-dev-common
- libtet1.5 (1.5.0-5)
- Quality Tetrahedral Mesh Generator
- libtet1.5-dev (1.5.0-5)
- Quality Tetrahedral Mesh Generator
- libtevent-dev (0.9.37-1)
- talloc-based event loop library - development files
- libtevent0 (0.9.37-1)
- talloc-based event loop library - shared library
- libtex-encode-perl (2.005-1)
- Perl module to transform UTF-8 strings into TeX
- libtexhyphj-java (1.2+dfsg-1)
- Support for TeX hyphenation patterns in Java
- libtexlua52 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: Lua 5.2, modified for use with LuaTeX
- libtexlua52-dev (2018.20181218.49446-1+deb10u2) [security]
- Tex Live: Lua 5.2, modified for use with LuaTeX (development part)
- libtexlua53 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: Lua 5.3, modified for use with LuaTeX
- libtexlua53-dev (2018.20181218.49446-1+deb10u2) [security]
- Tex Live: Lua 5.3, modified for use with LuaTeX (development part)
- libtexluajit-dev (2018.20181218.49446-1+deb10u2) [security]
- Tex Live: LuaJIT, modified for use with LuaJITTeX (development part)
- libtexluajit2 (2018.20181218.49446-1+deb10u2) [security]
- TeX Live: LuaJIT, modified for use with LuaJITTeX
- libtext-affixes-perl (0.09-1)
- Perl module for extracting prefixes and suffixes from a text
- libtext-aligner-perl (0.07-1)
- Justify strings to various alignment styles
- libtext-asciitable-perl (0.22-1)
- Perl module to create a table using ASCII characters
- libtext-aspell-perl (0.09-2)
- Perl interface to the GNU Aspell library
- libtext-autoformat-perl (1.740000-2)
- module for automatic text wrapping and reformatting
- libtext-bibtex-perl (0.85-2+b1)
- Perl extension to read and parse BibTeX files
- libtext-bidi-perl (2.15-1+b1)
- Unicode bidi algorithm for Perl using libfribidi
- libtext-brew-perl (0.02-1)
- perl implementation of Brew edit distance
- libtext-charwidth-perl (0.04-7.1+b1)
- functies voor tekenbreedtes van tekens op de terminal
- libtext-chasen-perl (1.04-5+b5)
- Japanese Morphological Analysis System module for Perl
- libtext-clip-perl (0.14-2)
- module to clip and extract text in clipboard-like way
- libtext-context-eitherside-perl (1.4-2)
- Perl module to get context around a keyword
- libtext-context-perl (3.7-2)
- Perl module to highlight search result context snippets
- libtext-csv-encoded-perl (0.25-2)
- encoding-aware comma-separated values manipulator
- libtext-csv-perl (1.99-1)
- comma-separated values manipulator (using XS or PurePerl)
- libtext-csv-xs-perl (1.38-1)
- Perl C/XS module to process Comma-Separated Value files
- libtext-dhcpleases-perl (1.0-2)
- Perl module to parse DHCP leases file from ISC dhcpd
- libtext-diff-perl (1.45-1)
- Perl module to find differences in files and record sets
- libtext-english-perl (1.606-1)
- Perl module implementing the Porter Stemming algorithm
- libtext-findindent-perl (0.11-1)
- module to heuristically determine indentation style
- libtext-flow-perl (0.01-2)
- module providing generalized text wrapping
- libtext-format-perl (0.61-1)
- module for formatting plain text
- libtext-formattable-perl (1.03-2)
- Perl module to format text tables
- libtext-german-perl (0.06-3)
- German grundform reduction
- libtext-glob-perl (0.10-1)
- Perl module for matching globbing patterns against text
- libtext-greeking-perl (0.14-1)
- Perl module for generating meaningless filler text
- (1.03+pristine-1)
- RFC 822/2068 header and unheader functions
- libtext-hogan-perl (1.04-2)
- mustache templating engine statement-for-statement cloned from hogan.js
- libtext-hunspell-perl (2.14-1+b1)
- Perl interface to the GNU Hunspell library
- libtext-iconv-perl (1.7-5+b7 [amd64, armhf, i386], 1.7-5+b6 [arm64])
- converts between character sets in Perl
- libtext-kakasi-perl (2.04-4+b6 [amd64, armhf, i386], 2.04-4+b5 [arm64])
- KAKASI interface for scripting language perl
- libtext-levenshtein-damerau-perl (0.41-1)
- Edit distance calculator with Damerau Levenshtein algorithm
- libtext-levenshtein-perl (0.13-1)
- implementation of the Levenshtein edit distance
- libtext-levenshteinxs-perl (0.03-4+b6)
- XS implementation of the Levenshtein edit distance
- libtext-lorem-perl (0.3-2)
- random faux Latin text generator
- libtext-markdown-discount-perl (0.11-3+b1)
- Perl interface to Discount, an implementation of Markdown
- libtext-markdown-perl (1.000031-2)
- module to convert Markdown syntax to (X)HTML
- libtext-markup-perl (0.23-3)
- Parse text markup into HTML
- libtext-mecab-perl (0.20016-2+b3)
- alternate MeCab Interface for Perl
- libtext-mediawikiformat-perl (1.04-2)
- module that converts Mediawiki markup into other text formats
- libtext-metaphone-perl (20160805-1+b4)
- modern soundex module providing phonetic encoding of words
- libtext-micromason-perl (2.22-1)
- simple and extensible templating module
- libtext-microtemplate-perl (0.24-1)
- Micro template engine with Perl5 language
- libtext-multimarkdown-perl (1.000035-1)
- module to convert MultiMarkdown syntax to (X)HTML
- libtext-ngram-perl (0.15-2+b1)
- efficient XS-Based n-gram spectrum analysis module
- libtext-ngrams-perl (2.006-1)
- Perl module for flexible N-gram analysis of text
- libtext-ocaml (0.8-1+b2 [armhf], 0.8-1+b1 [amd64, arm64, i386])
- runtime libraries of OCaml-Text
- libtext-ocaml-a4nk7
- virtueel pakket geboden door libtext-ocaml
- libtext-ocaml-dev (0.8-1+b2 [armhf], 0.8-1+b1 [amd64, arm64, i386])
- library for dealing with sequences of Unicode characters
- libtext-ocaml-dev-a4nk7
- virtueel pakket geboden door libtext-ocaml-dev
- libtext-ocaml-dev-qyy21
- virtueel pakket geboden door libtext-ocaml-dev
- libtext-ocaml-qyy21
- virtueel pakket geboden door libtext-ocaml
- libtext-password-pronounceable-perl (0.30-2)
- Perl module to generate pronounceable passwords
- libtext-patch-perl (1.8-2)
- module for patching text with a given patch
- libtext-pdf-perl (0.31-1)
- relatively low-level interface for manipulating PDFs
- libtext-qrcode-perl (0.05-1+b4)
- module for generating text based QR Codes
- libtext-quoted-perl (2.10-1)
- Perl module to extract the structure of a quoted mail message
- libtext-recordparser-perl (1.6.5-1)
- module to parse record-oriented data in a text file
- libtext-reflow-perl (1.17-1+b3)
- Perl module for reflowing files using Knuth's algorithm
- libtext-reform-perl (1.20-3)
- Perl module for manual text wrapping and reformatting
- libtext-rewriterules-perl (0.25-1)
- system for rewriting text using regexp-based rules
- libtext-roman-perl (3.5-2)
- Allows conversion between Roman and Arabic algarisms
- libtext-sass-perl (1.0.4-1)
- implementation of the Sass CSS meta-language
- libtext-simpletable-autowidth-perl (0.09-1)
- simple eyecandy ASCII tables with auto-width selection
- libtext-simpletable-perl (2.07-1)
- Perl module for creating simple eyecandy ASCII Tables
- libtext-soundex-perl (3.4-1+b7 [amd64, armhf, i386], 3.4-1+b6 [arm64])
- implementation of the soundex algorithm
- libtext-sprintfn-perl (0.08-1)
- drop-in replacement for sprintf(), with named parameter support
- libtext-string-hexconvert-perl (0.01-2)
- Converts ASCII strings to hexadecimal and reverse
- libtext-table-perl (1.132-1)
- Create tables that adapt to alignment requirements
- libtext-tabulardisplay-perl (1.38-1)
- Perl module to display text as a formatted table
- libtext-template-perl (1.55-1)
- perl module to process text templates
- libtext-textile-perl (2.13-2)
- Perl extension to format text using a simple style of markup
- libtext-trac-perl (0.24-1)
- module for formatting text with Trac Wiki Style
- libtext-trim-perl (1.02-2)
- module for remove leading and/or trailing whitespace from strings
- libtext-typography-perl (0.01-3)
- markup ASCII text with correct typography for HTML
- libtext-unaccent-perl (1.08-1.3+b3)
- provides functions to remove accents using UTF16 as a pivot
- libtext-unicode-equivalents-perl (0.05-1)
- module to build Unicode canonically equivalent strings
- libtext-unidecode-perl (1.30-1)
- US-ASCII transliterations of Unicode text
- libtext-vcard-perl (3.09-1)
- module to parse, edit and create multiple vCards
- libtext-vfile-asdata-perl (0.08-1)
- generic perl module to read and write vfile files
- libtext-wagnerfischer-perl (0.04-1)
- implementation of the Wagner-Fischer edit distance
- libtext-wikicreole-perl (0.07-2)
- module to convert Wiki Creole 1.0 markup to XHTML
- libtext-wikiformat-perl (0.79-1)
- translates Wiki formatted text into other formats
- libtext-worddiff-perl (0.09-1)
- module to track changes between documents
- libtext-wrapi18n-perl (0.06-7.1)
- alternatief voor Text::Wrap met internationalisatie
- libtext-wrapper-perl (1.05-2)
- simple word wrapping routine
- libtext-xslate-perl (3.5.6-1+b1)
- scalable template engine for Perl 5 (C/XS accelerated)
- libtexttools-doc (2.1.0-13)
- Ada library for writing console applications: documentation
- libtexttools7-dev (2.1.0-13)
- Ada library for writing console applications: development
- libtexttools9 (2.1.0-13)
- Ada library for writing console applications: shared library
- libtextwrap-dev (0.1-14.2)
- text-wrapping library with i18n - development files
- libtextwrap1 (0.1-14.2)
- text-afbreken bibliotheek met i18n - voor tijdens het uitvoeren
- libtextwrap1-udeb (0.1-14.2)
- text-wrapping library with i18n - runtime udeb
- libtf-conversions-dev (1.12.0-5+b1)
- Robot OS conversion library between Eigen, KDL and tf - development files
- libtf-conversions0d (1.12.0-5+b1)
- Robot OS conversion library between tf and Eigen and KDL
- libtf-dev (1.12.0-5+b1)
- Robot OS tf transform library - development files
- libtf1d (1.12.0-5+b1)
- Robot OS tf transform library to keep track of multiple coordinate frames
- libtf2-1d (0.6.5-3)
- Robot OS tf2 transform library
- libtf2-bullet-dev (0.6.5-3)
- Robot OS tf2 transform library for Bullet - dev files
- libtf2-dev (0.6.5-3)
- Robot OS tf2 transform library - development files
- libtf2-eigen-dev (0.6.5-3)
- Robot OS tf2 transform library for Eigen - dev files
- libtf2-geometry-msgs-dev (0.6.5-3)
- Robot OS geometry messages for tf2 transform library - dev files
- libtf2-kdl-dev (0.6.5-3)
- Robot OS tf2 transform library using Orocos-KDL - dev files
- libtf2-msgs-dev (0.6.5-3)
- Robot OS messages for tf2 transform library - dev files
- libtf2-ros-dev (0.6.5-3)
- Robot OS binding for tf2 transform library - dev files
- libtf2-ros0d (0.6.5-3)
- Robot OS binding for tf2 transform library
- libtf2-sensor-msgs-dev (0.6.5-3)
- Small lib for ROS to transform sensor_msgs with tf2 - dev files
- libtfbs-perl (0.7.1-2+b1)
- scanning DNA sequence with a position weight matrix
- libtfm-dev (0.13-4)
- Fast multiple-precision integer library [development files]
- libtfm1 (0.13-4)
- Fast multiple-precision integer library [runtime]
- libtgl-0.0.0.20160623-0 (2.0.1+git20160323.ffb04cac-3)
- telegram API library
- libtgl-0.0.0.20160623-dev (2.0.1+git20160323.ffb04cac-3)
- telegram API library (development headers)
- libtgvoip-dev (2.4.2-1)
- VoIP library for Telegram clients - developer files
- libthai-data (0.1.28-2)
- Data files for Thai language support library
- libthai-data-udeb (0.1.28-2)
- Data files for libthai for D-I use
- libthai-dev (0.1.28-2)
- Development files for Thai language support library
- libthai-doc (0.1.28-2)
- Documentation files for Thai language support library
- libthai0 (0.1.28-2)
- Thai language support library
- libthai0-udeb (0.1.28-2)
- Thai language support library for D-I use
- libthemedsupport (1.1.15-1)
- Theme-D support library
- libtheora-bin (1.1.1+dfsg.1-15)
- Theora Video Compression Codec (example encoder, decoder)
- libtheora-dev (1.1.1+dfsg.1-15)
- Theora Video Compression Codec (development files)
- libtheora-doc (1.1.1+dfsg.1-15)
- Theora Video Compression Codec (documentation)
- libtheora-ocaml (0.3.1-2)
- OCaml interface to the theora library
- libtheora-ocaml-4jul6
- virtueel pakket geboden door libtheora-ocaml
- libtheora-ocaml-a3ly1
- virtueel pakket geboden door libtheora-ocaml
- libtheora-ocaml-dev (0.3.1-2)
- OCaml interface to the theora library
- libtheora-ocaml-dev-4jul6
- virtueel pakket geboden door libtheora-ocaml-dev
- libtheora-ocaml-dev-a3ly1
- virtueel pakket geboden door libtheora-ocaml-dev
- libtheora0 (1.1.1+dfsg.1-15)
- Theora Video Compression Codec
- libtheschwartz-perl (1.12-1)
- reliable job queue
- libthread-conveyor-monitored-perl (0.14-1)
- module to monitor a conveyor belt for specific content
- libthread-conveyor-perl (0.19-1)
- thread-safe conveyor belt for any data-structure
- libthread-pool-dev (1.0.0-2)
- C++ thread pool library (devel)
- libthread-pool-perl (0.33-2)
- group of threads for performing similar jobs
- libthread-pool-simple-perl (0.25-2)
- Perl implementation of a simple thread pool
- libthread-pool0 (1.0.0-2)
- C++ thread pool library
- libthread-queue-any-perl (1.14-2)
- module implementing thread-safe queues for any data-structure
- libthread-queue-perl (= 3.12)
- virtueel pakket geboden door perl
- libthread-serialize-perl (1.01-1)
- module to serialize data-structures between threads
- libthread-sigmask-perl (0.004-2+b5)
- module for thread specific signal masks
- libthread-tie-perl (0.13-1)
- alternative separate thread implementation of shared variables
- libthreads-perl (= 2.22)
- virtueel pakket geboden door perl
- libthreads-shared-perl (= 1.58)
- virtueel pakket geboden door perl
- libthreadweaver4 (4:4.14.38-3)
- ThreadWeaver Library for the KDE Platform
- libthrift-0.11.0 (0.11.0-4)
- Thrift C++ library
- libthrift-c-glib-dev (0.11.0-4)
- Thrift glib library (development headers)
- libthrift-c-glib0 (0.11.0-4)
- Thrift glib library
- libthrift-dev (0.11.0-4)
- Thrift C++ library (development headers)
- libthrift-perl (0.11.0-4)
- Perl language support for Thrift
- libthrowable-perl (0.200013-1)
- role for classes that can be thrown
- libthrust-dev (1.9.2~9.2.148-7+deb10u1) [non-free]
- Thrust - Parallel Algorithms Library
- libthunarx-3-0 (1.8.4-1)
- extension library for thunar
- libthunarx-3-dev (1.8.4-1)
- Development files for libthunarx
- libticables-dev (1.3.5+dfsg-3)
- Texas Instruments link cables library [development files]
- libticables2-7 (1.3.5+dfsg-3)
- Texas Instruments link cables library
- libticalcs-dev (1.1.9+dfsg-2)
- Texas Instruments calculator communication library [development files]
- libticalcs2-12 (1.1.9+dfsg-2)
- Texas Instruments calculator communication library
- libticcutils-dev (0.20-1)
- utility functions used in the context of Natural Language Processing (headers)
- libticcutils5 (0.20-1)
- utility functions used in the context of Natural Language Processing (library)
- libticket-simple-perl (0.0.2-4)
- basic ticket system
- libtickit-async-perl (0.21-2)
- module to use Tickit with IO::Async
- libtickit-dev (0.2-5)
- Terminal Interface Construction KIT (development files)
- libtickit-perl (0.65-4+b1)
- Terminal Interface Construction KIT
- libtickit-widget-scroller-perl (0.23-2)
- a scroller widget for Tickit
- libtickit-widget-tabbed-perl (0.021-2)
- basic tabbed window support for Tickit
- libtickit-widgets-perl (0.29-3)
- collection of Tickit::Widget implementations
- libtickit1 (0.2-5)
- Terminal Interface Construction KIT
- libticonv-dev (1.1.5-2)
- Texas Instruments calculators charsets library [development files]
- libticonv8 (1.1.5-2)
- Texas Instruments calculators charsets library
- libtidy-dev (2:5.6.0-10)
- HTML/XML syntax checker and reformatter - development
- libtidy5deb1 (2:5.6.0-10)
- HTML/XML syntax checker and reformatter - shared library
- libtie-array-iterable-perl (0.03-2)
- module allowing creation of iterators for lists and arrays
- libtie-array-sorted-perl (1.41-3)
- Perl array which is kept sorted
- libtie-cache-perl (0.21-1)
- module for LRU cache in memory
- libtie-cphash-perl (2.000-1)
- module for managing a case preserving but case insensitive hash table
- libtie-cycle-perl (1.225-1)
- module for cycling through a list of values via a scalar
- libtie-dbi-perl (1.06-1)
- module tying hashes to relational databases
- libtie-dxhash-perl (1.05-2)
- Perl module that keeps insertion order in hash
- libtie-encryptedhash-perl (1.24-2)
- module for encrypted hashes and objects based on hashes
- libtie-handle-offset-perl (0.004-1)
- module to provide tied handle that hides the beginning of a file
- libtie-hash-expire-perl (0.03-1)
- Perl module providing hashes with keys that expire after a user-set period
- libtie-hash-indexed-perl (0.05+ds1-3+b1)
- Ordered hashes for Perl
- libtie-hash-regex-perl (1.12-1)
- module to match hash keys using regular expressions
- libtie-ical-perl (0.15-2)
- module for tieing iCal files to Perl hashes
- libtie-ixhash-perl (1.23-2)
- Perl module to order associative arrays
- libtie-persistent-perl (1.00-2)
- tied interface to persistent file
- libtie-refhash-weak-perl (0.09-2)
- Tie::RefHash subclass with weakened references in the keys
- libtie-shadowhash-perl (1.00-1)
- Merge multiple data sources into a hash
- libtie-simple-perl (1.04-1)
- simple way to tie Perl variables
- libtie-toobject-perl (0.03-4)
- module for tying to an existing object
- libtiff-dev (4.1.0+git191117-2~deb10u9) [security]
- Tag Image File Format library (TIFF), development files
- libtiff-doc (4.1.0+git191117-2~deb10u9) [security]
- TIFF manipulation and conversion documentation
- libtiff-opengl (4.1.0+git191117-2~deb10u9) [security]
- TIFF manipulation and conversion tools
- libtiff-tools (4.1.0+git191117-2~deb10u9) [security]
- TIFF manipulation and conversion tools
- libtiff5 (4.1.0+git191117-2~deb10u9) [security]
- Tag Image File Format (TIFF) library
- libtiff5-dev (4.1.0+git191117-2~deb10u9) [security]
- Tag Image File Format library (TIFF), development files (transitional package)
- libtiffxx5 (4.1.0+git191117-2~deb10u9) [security]
- Tag Image File Format (TIFF) library -- C++ interface
- libtifiles-dev (1.1.7-2)
- Texas Instruments calculator files library [development files]
- libtifiles2-10 (1.1.7-2)
- Texas Instruments calculator files library
- libtigris-clojure (0.1.1-2)
- stream-based JSON escaping for Clojure
- libtika-java (1.20-1)
- Apache Tika - content analysis toolkit
- libtiled-dev (1.2.1+dfsg.1-1)
- library for general purpose tile map editor (development headers)
- libtiled1 (1.2.1+dfsg.1-1)
- library for general purpose tile map editor
- libtiledarray-dev (0.6.0-5.2)
- Block-Sparse Tensor Library (development files)
- libtiles-autotag-java (1.2-2)
- Automatic tag generation for Apache Tiles
- libtiles-java (3.0.7-4)
- Java templating framework for web application user interfaces
- libtiles-java-doc (3.0.7-4)
- Java templating framework for web application user interfaces - documentation
- libtiles-request-java (1.0.7-1)
- Tiles Request Framework
- libtimbl-dev (6.4.13-1)
- Tilburg Memory Based Learner - development
- libtimbl4 (6.4.13-1)
- Tilburg Memory Based Learner - runtime
- libtimblserver-dev (1.12-1)
- Server extensions for Timbl - development
- libtimblserver4 (1.12-1)
- Server extensions for Timbl - runtime
- libtime-clock-perl (1.03-1)
- twenty-four hour clock object with nanosecond precision
- libtime-duration-parse-perl (0.14-1)
- module to parse a string that represents a time duration
- libtime-duration-perl (1.20-1)
- module for rounded or exact English expression of durations
- libtime-fake-perl (0.11-3)
- Perl module for simulating different times without changing your system clock
- libtime-format-perl (1.12-3)
- module for easy date/time formatting
- libtime-hires-perl (= 1.9759)
- virtueel pakket geboden door perl
- libtime-hr-perl (0.02-2+b1)
- Perl interface to high-resolution timer
- libtime-human-perl (1.03-4)
- convert localtime() format to "speaking clock" time
- libtime-local-perl (= 1.2500)
- virtueel pakket geboden door perl
- libtime-mock-perl (0.0.2-2)
- shift and scale time Perl module
- libtime-moment-perl (0.44-1+b1)
- Perl C/XS module representing date and time of day with UTC offset
- libtime-olsontz-download-perl (0.009-1)
- Olson timezone database source module
- libtime-out-perl (0.11-1)
- module to easily timeout long running operations
- libtime-parsedate-perl (2015.103-3)
- collection of Perl modules for time/date manipulation
- libtime-period-perl (1.25-1)
- Perl library for testing if a time() is in a specific period
- libtime-piece-mysql-perl (0.06-2)
- module adding MySQL-specific methods to Time::Piece
- libtime-piece-perl (= 1.3204)
- virtueel pakket geboden door perl
- libtime-progress-perl (2.12-1)
- perl module for elapsed and estimated finish time reporting
- libtime-stopwatch-perl (1.00-6)
- Perl module to use tied scalars as timers
- libtime-tiny-perl (1.08-1)
- time object, with as little code as possible
- libtime-warp-perl (0.54-1+b1)
- module to provide control over the flow of time
- libtime-y2038-perl (20100403-5+b5)
- Perl module with time functions working beyond 2038
- libtimedate-perl (2.3000-2+deb10u1)
- collection of modules to manipulate date/time information
- libtimingframework-java (1.0-2)
- A Java framework for timing and animations
- libtimingframework-java-doc (1.0-2)
- A Java framework for timing and animations (documentation)
- libtinfo-dev (6.1+20181013-2+deb10u5) [security]
- transitional package for libncurses-dev
- libtinfo-dev
- virtueel pakket geboden door libncurses-dev
- libtinfo5 (6.1+20181013-2+deb10u5) [security]
- shared low-level terminfo library (legacy version)
- libtinfo6 (6.1+20181013-2+deb10u5) [security]
- shared low-level terminfo library for terminal handling
- libtinfo6-dbg (6.1+20181013-2+deb10u5) [security]
- debugging/profiling library for the low-level terminfo library
- libtinfo6-udeb (6.1+20181013-2+deb10u2)
- shared low-level terminfo library for terminal handling - udeb
- libtins-dev (4.0-1)
- packet crafting and sniffing library - development files
- libtins4.0 (4.0-1)
- packet crafting and sniffing library
- libtinyxml-dev (2.6.2-4+deb10u2) [security]
- TinyXml library - header and static library
- libtinyxml-doc (2.6.2-4+deb10u2) [security]
- TinyXml library - documentation files
- libtinyxml2-6
- virtueel pakket geboden door libtinyxml2-6a
- libtinyxml2-6a (7.0.0+dfsg-1)
- C++ XML parsing library
- libtinyxml2-dev (7.0.0+dfsg-1)
- TinyXML2 library - header and static library
- libtinyxml2.6.2v5 (2.6.2-4+deb10u2) [security]
- C++ XML parsing library
- libtinyxml2.6.2v5-dbg (2.6.2-4+deb10u2) [security]
- TinyXml library - debug files
- libtirpc-common (1.1.4-0.4+deb10u1) [security]
- transport-independent RPC library - common files
- libtirpc-dev (1.1.4-0.4+deb10u1) [security]
- transport-independent RPC library - development files
- libtirpc3 (1.1.4-0.4+deb10u1) [security]
- transport-independent RPC library
- libtitanium-perl (1.04-4)
- strong, lightweight web application framework for Perl
- libtk
- virtueel pakket geboden door libtk8.6
- libtk-dirselect-perl (1.12-2)
- cross-platform directory selection widget
- libtk-doubleclick-perl (0.04-1)
- Perl/Tk function to handle double and single clicks
- libtk-filedialog-perl (1.3-5)
- highly configurable file dialog widget for Perl/Tk
- libtk-fontdialog-perl (0.18-1)
- font dialog widget for perl/Tk
- libtk-gbarr-perl (2.08-2)
- various Tk Perl widgets
- libtk-histentry-perl (0.45-1)
- Tk entry widget with history
- libtk-img (1:1.4.8+dfsg-1+deb10u1)
- Extended image format support for Tcl/Tk (runtime)
- libtk-img-dev (1:1.4.8+dfsg-1+deb10u1)
- Extended image format support for Tcl/Tk (development files)
- libtk-img-doc (1:1.4.8+dfsg-1+deb10u1)
- Extended image format support for Tcl/Tk (manual pages)
- libtk-objeditor-perl (2.009-1)
- Perl/Tk widget to edit recursively a data structure
- libtk-objscanner-perl (2.017-1)
- Tk viewer for perl data structures
- libtk-perl
- virtueel pakket geboden door perl-tk
- libtk-png-perl
- virtueel pakket geboden door perl-tk
- libtk-pod-perl (0.9943-1)
- Tk Pod browser widget with hypertext capability
- libtk-splashscreen-perl (1.0-4)
- Toplevel mega widget to display a splashscreen
- libtk-tablematrix-perl (1.23-6.1+b5 [amd64, armhf, i386], 1.23-6.1+b4 [arm64])
- Table/matrix widget extension to Perl/Tk
- libtk8.6 (8.6.9-2)
- Tk toolkit for Tcl and X11 v8.6 - run-time files
- libtktable2.9
- virtueel pakket geboden door tk-table
- libtl-parser-dev (0.0.0+git20180215.f49077de-4)
- command-line tool to parse TL scheme to tlo file (development)
- libtlsh-dev (3.4.4+20151206-1.1)
- fuzzy hashing library - development files
- libtlsh0 (3.4.4+20151206-1.1)
- fuzzy hashing library
- libtmglib-dev (3.8.0-2)
- test matrix generators of LAPACK - development files
- libtmglib3 (3.8.0-2)
- test matrix generators of LAPACK - shared version
- libtnt-dev (1.2.6-1)
- interface for scientific computing in C++
- libtntdb-dev (1.3-4+b1)
- Development headers for tntdb
- libtntdb4v5 (1.3-4+b1)
- C++ class library for easy database access
- libtntnet-dev (2.2.1-3+b1)
- Tntnet library development headers
- libtntnet12v5 (2.2.1-3+b1)
- Tntnet libraries
- libtogl-dev (2.0-1)
- Tk OpenGL widget - development files
- libtogl2 (2.0-1)
- Tk OpenGL widget
- libtokyocabinet-dev (1.4.48-12)
- Tokyo Cabinet Database Libraries [development]
- libtokyocabinet-perl (1.34-2+b6 [amd64, armhf, i386], 1.34-2+b5 [arm64])
- Perl Binding of Tokyo Cabinet
- libtokyocabinet9 (1.4.48-12)
- Tokyo Cabinet Database Libraries [runtime]
- libtokyotyrant-dev (1.1.40-4.2+b1)
- Tokyo Tyrant development files
- libtokyotyrant3 (1.1.40-4.2+b1)
- Tokyo Tyrant runtime libraries
- libtolua++5.1-dev (1.0.93-3+b1)
- extended tool to integrate C/C++ code with Lua (devel)
- libtolua-dev (5.2.0-1+b1)
- Tool to integrate C/C++ code with Lua - development files
- libtomcat9-embed-java (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- embed libraries
- libtomcat9-java (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- core libraries
- libtomcatjss-java (7.3.6-2)
- JSSE implementation using JSS for Tomcat
- libtomcrypt-dev (1.18.2-1)
- static library, header files and documentation for libtomcrypt
- libtomcrypt1 (1.18.2-1)
- public domain open source cryptographic toolkit
- libtoml-parser-perl (0.91-1)
- simple toml parser
- libtoml-perl (0.97-1)
- Perl module for reading and writing TOML files
- libtommath-dev (1.1.0-3)
- multiple-precision integer library [development files]
- libtommath-docs (1.1.0-3)
- multiple-precision integer library [documentation]
- libtommath1 (1.1.0-3)
- multiple-precision integer library [runtime]
- libtomoyotools3 (2.5.0-20170102-4)
- lightweight Linux Mandatory Access Control system - library
- libtonezone-dev (1:2.11.1-3)
- tonezone library (development)
- libtonezone2.0 (1:2.11.1-3)
- tonezone library (runtime)
- libtool (2.4.6-9)
- Generic library support script
- libtool-bin (2.4.6-9)
- Generic library support script (libtool binary)
- libtool-doc (2.4.6-9)
- Generiek script voor bibliotheek-ondersteuning
- libtoolkit-perl (0.0.2-2)
- Keep your handy modules organized
- libtools-analyzer-clojure (0.6.9-1)
- analyzer for host agnostic Clojure code
- libtools-analyzer-jvm-clojure (0.7.1-3)
- analyzer for Clojure code providing additional jvm-specific passes
- libtools-cli-clojure (0.3.5-2)
- command line argument parser for Clojure
- libtools-logging-clojure (0.2.3-6)
- Logging macros for Clojure
- libtools-macro-clojure (0.1.5-2)
- Clojure tools for writing macros
- libtools-namespace-clojure (0.2.11-1)
- tools for managing namespaces in Clojure
- libtools-nrepl-clojure (0.2.13-2)
- Clojure network REPL
- libtools-reader-clojure (1.0.0-1)
- complete Clojure and EDN-only reader
- libtools-trace-clojure (0.7.9-1)
- Clojure tracing facility in Clojure
- libtophide-ocaml-dev (1.0.4-1)
- hide values that start with '_' in OCaml toplevel
- libtophide-ocaml-dev-3luw2
- virtueel pakket geboden door libtophide-ocaml-dev
- libtopic-tools-dev (1.14.3+ds1-5+deb10u3)
- development files for libtopic-tools (Robot OS)
- libtopic-tools1d (1.14.3+ds1-5+deb10u3)
- library for messing with Robot OS topics
- libtorch
- virtueel pakket geboden door libtorch3c2
- libtorch-dev
- virtueel pakket geboden door libtorch3-dev
- libtorch-luat (0~20170926-g89ede3b-6)
- libluaT.so of Torch Package for Torch Framework
- libtorch-luat-dev (0~20170926-g89ede3b-6)
- libluaT.so of Torch Package for Torch Framework (dev)
- libtorch-th (0~20170926-g89ede3b-6)
- libTH.so of Torch Package for Torch Framework
- libtorch-th-dev (0~20170926-g89ede3b-6)
- libTH.so of Torch Package for Torch Framework (dev)
- libtorch-thnn (0~20171002-g8726825+dfsg-4)
- libTHNN.so of Neural Network Package for Torch Framework
- libtorch-thnn-dev (0~20171002-g8726825+dfsg-4)
- libTHNN.so of Neural Network Package for Torch Framework (dev)
- libtorch3-dev (3.1-2.2)
- State of the art machine learning library - development files
- libtorch3c2 (3.1-2.2)
- State of the art machine learning library - runtime library
- libtorrent-dev (0.13.7-1)
- C++ BitTorrent library by Rakshasa (development files)
- libtorrent-rasterbar-dbg (1.1.11-2)
- Debug symbols for libtorrent-rasterbar
- libtorrent-rasterbar-dev (1.1.11-2)
- Development files for libtorrent-rasterbar
- libtorrent-rasterbar-doc (1.1.11-2)
- Documentation for libtorrent-rasterbar
- libtorrent-rasterbar9 (1.1.11-2)
- C++ bittorrent library by Rasterbar Software
- libtorrent20 (0.13.7-1)
- C++ BitTorrent library by Rakshasa
- libtotem-dev (3.30.0-4)
- Main library for the Totem media player - development files
- libtotem-plparser-common (3.26.2-1)
- Totem Playlist Parser library - common files
- libtotem-plparser-dev (3.26.2-1)
- Totem Playlist Parser library - development files
- libtotem-plparser18 (3.26.2-1)
- Totem Playlist Parser library - runtime files
- libtotem0 (3.30.0-4)
- Main library for the Totem media player
- libtoxcore-dev (0.2.9-1)
- Distributed, secure messenger - development headers
- libtoxcore2 (0.2.9-1)
- Distributed, secure messenger
- libtpl-dev (1.6.1-1)
- efficient C serialization library - development files
- libtpl0 (1.6.1-1)
- efficient C serialization library
- libtpm-unseal-dev (1.3.9.1-0.2)
- Management tools for the TPM hardware (development)
- libtpm-unseal1 (1.3.9.1-0.2)
- Management tools for the TPM hardware (library)
- libtrace-dev
- virtueel pakket geboden door libtrace3-dev
- libtrace-tools (3.0.21-1+b2)
- helper utilities for use with the libtrace process library
- libtrace3 (3.0.21-1+b2)
- network trace processing library supporting many input formats
- libtrace3-dev (3.0.21-1+b2)
- development headers for the libtrace network processing library
- libtracker-control-2.0-0 (2.1.8-2)
- library to control/monitor tracker miners
- libtracker-control-2.0-dev (2.1.8-2)
- library to control/monitor tracker miners - development files
- libtracker-control-doc (2.1.8-2)
- library to control/monitor tracker miners - API documentation
- libtracker-miner-2.0-0 (2.1.8-2)
- tracker data miner library
- libtracker-miner-2.0-dev (2.1.8-2)
- tracker data miner library - development files
- libtracker-miner-doc (2.1.8-2)
- tracker data miner library - API documentation
- libtracker-sparql-2.0-0 (2.1.8-2)
- metadata database, indexer and search tool - library
- libtracker-sparql-2.0-dev (2.1.8-2)
- metadata database, indexer and search tool - development files
- libtracker-sparql-doc (2.1.8-2)
- metadata database, indexer and search tool - API documentation
- libtraildb-dev (0.6+dfsg1-1)
- library to handle series of discrete events (development files)
- libtraildb0 (0.6+dfsg1-1)
- library to handle series of discrete events
- libtrajectory-msgs-dev (1.12.7-1)
- Messages relating to Robot OS trajectory, C/C++ interface
- libtrampoline1 (2.1-2)
- foreign function call libraries - closures in C (non-reentrant variant)
- libtrang-java (20151127+dfsg-3)
- XML schema converter - Java library
- libtranscript-dev (0.3.3-1)
- Development files for libtranscript
- libtranscript1 (0.3.3-1)
- Character set conversion library
- libtransitioner25 (2.0.1-5+deb10u2)
- cluster resource manager transitioner library
- libtransmission-client-perl (0.0805-1)
- Perl interface to Transmission
- libtrapperkeeper-clojure (1.5.2-2)
- framework for configuring, composing and running Clojure services
- libtrapperkeeper-metrics-clojure (0.4.2-1)
- Trapperkeeper Metrics Service
- libtrapperkeeper-scheduler-clojure (0.1.0-1)
- Trapperkeeper service for scheduling background tasks
- libtrapperkeeper-status-clojure (0.7.1-2)
- status monitoring for trapperkeeper services
- libtrapperkeeper-webserver-jetty9-clojure (1.7.0-2+deb10u2) [security]
- trapperkeeper webserver service
- libtravel-routing-de-vrr-perl (2.17-1)
- unofficial interface to the efa.vrr.de German itinerary service
- libtre-dev (0.8.0-6)
- development package for the libtre5 regexp matching library
- libtre5 (0.8.0-6)
- regexp matching library with approximate matching
- libtree-dagnode-perl (1.31-1)
- Perl (super)class for representing nodes in a tree
- libtree-multinode-perl (1.0.10-2)
- a multi node tree object
- libtree-r-perl (0.072-1)
- Perl extension for the R-tree data structure and algorithms
- libtree-rb-perl (0.500006-1)
- Perl implementation of the Red/Black tree
- libtree-redblack-perl (0.5-2)
- Perl implementation of Red/Black tree, a balanced tree
- libtree-simple-perl (1.33-1)
- implementation of a simple tree object
- libtree-simple-visitorfactory-perl (0.15-1)
- collection of dispensing visitor objects for Tree::Simple
- libtree-xpathengine-perl (0.05-1)
- re-usable XPath engine
- libtreelayout-java (1.0.3-1)
- Efficient and customizable TreeLayout Algorithm in Java
- libtreil-dev (1.8-2.2+b3)
- Development library for treil (tree structure into tiles)
- libtreil0 (1.8-2.2+b3)
- Shared library for treil (tree structure into tiles)
- libtrf-tcl
- virtueel pakket geboden door tcl-trf
- libtrf-tcl-dev
- virtueel pakket geboden door tcl-trf-dev
- libtrf-tcl-doc
- virtueel pakket geboden door tcl-trf-doc
- libtriangle-1.6 (1.6-2) [non-free]
- High-quality 2-D mesh generator shared library
- libtriangle-dev (1.6-2) [non-free]
- High-quality 2-D mesh generator development files
- libtrident-java (7.3+dfsg3-4)
- Animation library for Java applications
- libtrident-java-doc (7.3+dfsg3-4)
- Animation library for Java applications (documentation)
- libtrilead-putty-extension-java (1.2-1)
- PuTTY key support for Trilead SSH2 library
- libtrilead-putty-extension-java-doc (1.2-1)
- Documentation for libtrilead-putty-extension-java
- libtrilead-ssh2-java (6401+svn158-1)
- Java SSH library
- libtrilinos-amesos-dev (12.12.1-7)
- direct sparse solver package - development files
- libtrilinos-amesos12 (12.12.1-7)
- direct sparse solver package - runtime files
- libtrilinos-amesos2-12 (12.12.1-7)
- next generation direct sparse solver package - runtime files
- libtrilinos-amesos2-dev (12.12.1-7)
- next generation direct sparse solver package - development files
- libtrilinos-anasazi-dev (12.12.1-7)
- large-scale eigenvalue algorithms - development files
- libtrilinos-anasazi12 (12.12.1-7)
- large-scale eigenvalue algorithms - runtime files
- libtrilinos-aztecoo-dev (12.12.1-7)
- object-oriented interface to the Aztec solver - development files
- libtrilinos-aztecoo12 (12.12.1-7)
- object-oriented interface to the Aztec solver - runtime files
- libtrilinos-belos-dev (12.12.1-7)
- iterative linear solvers - development files
- libtrilinos-belos12 (12.12.1-7)
- iterative linear solvers - runtime files
- libtrilinos-epetra-dev (12.12.1-7)
- basis package for linear algebra - development files
- libtrilinos-epetra12 (12.12.1-7)
- basis package for linear algebra - runtime files
- libtrilinos-epetraext-dev (12.12.1-7)
- extensions to the Epetra toolkit - development files
- libtrilinos-epetraext12 (12.12.1-7)
- extensions to the Epetra toolkit - runtime files
- libtrilinos-galeri-dev (12.12.1-7)
- generation of distributed linear systems - development files
- libtrilinos-galeri12 (12.12.1-7)
- generation of distributed linear systems - runtime files
- libtrilinos-globipack-dev (12.12.1-7)
- 1D globalization capabilities - development files
- libtrilinos-globipack12 (12.12.1-7)
- 1D globalization capabilities - runtime files
- libtrilinos-ifpack-dev (12.12.1-7)
- algebraic preconditioners - development files
- libtrilinos-ifpack12 (12.12.1-7)
- algebraic preconditioners - runtime files
- libtrilinos-ifpack2-12 (12.12.1-7)
- next generation algebraic preconditioners - runtime files
- libtrilinos-ifpack2-dev (12.12.1-7)
- next generation algebraic preconditioners - development files
- libtrilinos-intrepid-dev (12.12.1-7)
- compatible discretizations of PDEs - development files
- libtrilinos-intrepid12 (12.12.1-7)
- compatible discretizations of PDEs - runtime files
- libtrilinos-isorropia-dev (12.12.1-7)
- partitioning, load balancing, coloring of sparse matrices - development files
- libtrilinos-isorropia12 (12.12.1-7)
- partitioning, load balancing, coloring of sparse matrices - runtime files
- libtrilinos-kokkos-dev (12.12.1-7)
- Trilinos Kokkos programming model - development files
- libtrilinos-kokkos-kernels-dev (12.12.1-7)
- Kokkos local computational kernels - development files
- libtrilinos-kokkos-kernels12 (12.12.1-7)
- Kokkos local computational kernels - runtime files
- libtrilinos-kokkos12 (12.12.1-7)
- Trilinos Kokkos programming model - runtime files
- libtrilinos-komplex-dev (12.12.1-7)
- complex linear solver package - development files
- libtrilinos-komplex12 (12.12.1-7)
- complex linear solver package - runtime files
- libtrilinos-ml-dev (12.12.1-7)
- multigrid preconditioning - development files
- libtrilinos-ml12 (12.12.1-7)
- multigrid preconditioning - runtime files
- libtrilinos-moertel-dev (12.12.1-7)
- mortar methods package - development files
- libtrilinos-moertel12 (12.12.1-7)
- mortar methods package - runtime files
- libtrilinos-muelu-dev (12.12.1-7)
- next generation multilevel preconditioner capabilities - development files
- libtrilinos-muelu12 (12.12.1-7)
- next generation multilevel preconditioner capabilities - runtime files
- libtrilinos-nox-dev (12.12.1-7)
- robust solution of nonlinear equations - development files
- libtrilinos-nox12 (12.12.1-7)
- robust solution of nonlinear equations - runtime files
- libtrilinos-optipack-dev (12.12.1-7)
- Trilinos optimization algorithms - development files
- libtrilinos-optipack12 (12.12.1-7)
- Trilinos optimization algorithms - runtime files
- libtrilinos-pamgen-dev (12.12.1-7)
- hexahedral and quadrilateral mesh generator - development files
- libtrilinos-pamgen12 (12.12.1-7)
- hexahedral and quadrilateral mesh generator - runtime files
- libtrilinos-phalanx-dev (12.12.1-7)
- local field evaluation kernel - development files
- libtrilinos-phalanx12 (12.12.1-7)
- local field evaluation kernel - runtime files
- libtrilinos-pike-dev (12.12.1-7)
- physics integration kernels - development files
- libtrilinos-pike12 (12.12.1-7)
- physics integration kernels - runtime files
- libtrilinos-piro-dev (12.12.1-7)
- embedded nonlinear analysis package - development files
- libtrilinos-piro12 (12.12.1-7)
- embedded nonlinear analysis package - runtime files
- libtrilinos-pliris-dev (12.12.1-7)
- Trilinos dense solvers package - development files
- libtrilinos-pliris12 (12.12.1-7)
- Trilinos dense solvers package - runtime files
- libtrilinos-rol-dev (12.12.1-7)
- rapid optimization library - development files
- libtrilinos-rol12 (12.12.1-7)
- rapid optimization library - runtime files
- libtrilinos-rtop-dev (12.12.1-7)
- reductions/transformation operators - development files
- libtrilinos-rtop12 (12.12.1-7)
- reductions/transformation operators - runtime files
- libtrilinos-rythmos-dev (12.12.1-7)
- Trilinos transient integrator - development files
- libtrilinos-rythmos12 (12.12.1-7)
- Trilinos transient integrator - runtime files
- libtrilinos-sacado-dev (12.12.1-7)
- automatic differentiation for C++ applications - development files
- libtrilinos-sacado12 (12.12.1-7)
- automatic differentiation for C++ applications - runtime files
- libtrilinos-shards-dev (12.12.1-7)
- interoperability tools for PDE solving - development files
- libtrilinos-shards12 (12.12.1-7)
- interoperability tools for PDE solving - runtime files
- libtrilinos-shylu-dev (12.12.1-7)
- solving sparse linear systems - development files
- libtrilinos-shylu12 (12.12.1-7)
- solving sparse linear systems - runtime files
- libtrilinos-stokhos-dev (12.12.1-7)
- Trilinos uncertainty quantification package - development files
- libtrilinos-stokhos12 (12.12.1-7)
- Trilinos uncertainty quantification package - runtime files
- libtrilinos-stratimikos-dev (12.12.1-7)
- wrappers for linear solvers and preconditioners - development files
- libtrilinos-stratimikos12 (12.12.1-7)
- wrappers for linear solvers and preconditioners - runtime files
- libtrilinos-teko-dev (12.12.1-7)
- block preconditioners - development files
- libtrilinos-teko12 (12.12.1-7)
- block preconditioners - runtime files
- libtrilinos-teuchos-dev (12.12.1-7)
- common tools for Trilinos - development files
- libtrilinos-teuchos12 (12.12.1-7)
- common tools for Trilinos - runtime files
- libtrilinos-thyra-dev (12.12.1-7)
- interoperability layer for numerical software - development files
- libtrilinos-thyra12 (12.12.1-7)
- interoperability layer for numerical software - runtime files
- libtrilinos-tpetra-dev (12.12.1-7)
- templated numerical linear algebra package - development files
- libtrilinos-tpetra12 (12.12.1-7)
- templated numerical linear algebra package - runtime files
- libtrilinos-trilinoscouplings-dev (12.12.1-7)
- combining Trilinos packages - development files
- libtrilinos-trilinoscouplings12 (12.12.1-7)
- combining Trilinos packages - runtime files
- libtrilinos-trilinosss-dev (12.12.1-7)
- suitesparse with adaptations for Amesos - development files
- libtrilinos-trilinosss12 (12.12.1-7)
- suitesparse with adaptations for Amesos - runtime files
- libtrilinos-triutils-dev (12.12.1-7)
- utilities for Trilinos - development files
- libtrilinos-triutils12 (12.12.1-7)
- utilities for Trilinos - runtime files
- libtrilinos-xpetra-dev (12.12.1-7)
- conversion between Epetra and Teuchos objects - development files
- libtrilinos-xpetra12 (12.12.1-7)
- conversion between Epetra and Teuchos objects - runtime files
- libtrilinos-zoltan-dev (12.12.1-7)
- parallel dynamic load balancing - development files
- libtrilinos-zoltan12 (12.12.1-7)
- parallel dynamic load balancing - runtime files
- libtrilinos-zoltan2-12 (12.12.1-7)
- Trilinos next generation load balancing package - runtime files
- libtrilinos-zoltan2-dev (12.12.1-7)
- Trilinos next generation load balancing package - development files
- libtrio-dev (1.16+dfsg1-3+b2)
- portable and extendable printf and string functions development files
- libtrio2 (1.16+dfsg1-3+b2)
- portable and extendable printf and string functions
- libtritonus-bin
- virtueel pakket geboden door libtritonus-jni
- libtritonus-java (20070428-14)
- implementation of the Java Sound API
- libtritonus-jni (20070428-14)
- implementation of the Java Sound API (jni shared libraries)
- libtrollop-ruby
- virtueel pakket geboden door ruby-trollop
- libtrove-java (2.1.0-3)
- high performance collections for java
- libtrove-java-doc (2.1.0-3)
- high performance collections for java
- libtrove3-java (3.0.3-5)
- high performance collections for java
- libtrove3-java-doc (3.0.3-5)
- high performance collections for java
- libtrue-perl (0.18-2+b5 [amd64, armhf, i386], 0.18-2+b4 [arm64])
- automatically return a true value when a file is required
- libtruffle-dsl-processor-java (0.6-2)
- Java library that helps writing Truffle nodes in a efficient way
- libtruffle-dsl-processor-java-doc (0.6-2)
- Documentation for truffle-dsl-processor
- libtruffle-java (0.6-2)
- multi-language framework for executing dynamic languages
- libtruffle-java-doc (0.6-2)
- Documentation for truffle
- libtruth-java (0.39-1)
- assertion/proposition framework for Java unit tests
- libtry-tiny-byclass-perl (0.01-2)
- selectively catch exceptions by class name
- libtry-tiny-perl (0.30-1)
- module providing minimalistic try/catch
- libtry-tiny-smartcatch-perl (0.5-1)
- lightweight Perl module for powerful exceptions handling
- libtrycatch-perl (1.003002-2+b5 [amd64, armhf, i386], 1.003002-2+b4 [arm64])
- first class try catch semantics for Perl
- libts-bin (1.19-1)
- touch screen library utilities
- libts-dev (1.19-1)
- touch screen library, development files
- libts0 (1.19-1)
- touch screen library
- libtsan0 (8.3.0-6)
- ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
- libtsan0-amd64-cross (8.3.0-2cross1)
- ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
- libtsan0-arm64-cross (8.3.0-2cross1)
- ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
- libtsan0-dbg (8.3.0-6)
- ThreadSanitizer -- a Valgrind-based detector of data races (debug symbols)
- libtsan0-ppc64-cross (8.3.0-2cross2)
- ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
- libtsan0-ppc64el-cross (8.3.0-2cross1)
- ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
- libtse3-0.3.1c2a (0.3.1-6)
- portable MIDI sequencer engine in C++
- libtse3-dev (0.3.1-6)
- portable MIDI sequencer engine in C++ - development files
- libtsk-dev (4.6.5-1+deb10u1)
- library for forensics analysis (development files)
- libtsk13 (4.6.5-1+deb10u1)
- library for forensics analysis on volume and filesystem data
- libtsm-dev (3-1+b1)
- Terminal-emulator State Machine - development
- libtsm3 (3-1+b1)
- Terminal-emulator State Machine - runtime
- libtspi-dev (0.3.14+fixed1-1)
- open-source TCG Software Stack (development)
- libtspi1 (0.3.14+fixed1-1)
- open-source TCG Software Stack (library)
- libtss-dev (1045-1.2)
- Development headers for IBM's TSS 2.0
- libtss0 (1045-1.2)
- Development library for IBM's TSS 2.0
- libtss2-dev (2.1.0-4)
- TPM2 Software stack library - development files
- libtss2-esys0 (2.1.0-4)
- TPM2 Software stack library - TSS and TCTI libraries
- libtss2-tcti-tabrmd-dev (2.1.0-1)
- TPM2 Access Broker & Resource Management - static libraries and headers
- libtss2-tcti-tabrmd0 (2.1.0-1)
- TPM2 Access Broker & Resource Management - shared libraries
- libtss2-udev (2.1.0-4)
- TPM2 Software stack library - udev files
- libttfautohint-dev (1.8.2-1)
- Automatic font hiner - development files
- libttfautohint1 (1.8.2-1)
- Automatic font hiner - library
- libttspico-data (1.0+git20130326-9) [non-free]
- Small Footprint TTS (lingware)
- libttspico-dev (1.0+git20130326-9) [non-free]
- Small Footprint TTS (development files)
- libttspico-utils (1.0+git20130326-9) [non-free]
- Small Footprint TTS (binaries)
- libttspico0 (1.0+git20130326-9) [non-free]
- Small Footprint TTS (library)
- libtty-dev (0.18-1)
- terminal/ttyrec library -- development
- libtty1 (0.18-1)
- terminal/ttyrec library -- runtime
- libtumbler-1-0 (0.2.3-1)
- library for tumbler, a D-Bus thumbnailing service
- libtumbler-1-dev (0.2.3-1)
- library for tumbler, a D-Bus thumbnailing service (development)
- libturbojpeg-dev
- virtueel pakket geboden door libturbojpeg0-dev
- libturbojpeg0 (1:1.5.2-2+deb10u1)
- TurboJPEG runtime library - SIMD optimized
- libturbojpeg0-dev (1:1.5.2-2+deb10u1)
- Development files for the TurboJPEG library
- libtut-dev (0.0.20070706-2)
- elegant C++ unit test framework
- libtuxcap-dev (1.4.0.dfsg2-2.3+b3)
- framework for developing 2D games - development files
- libtuxcap4.0 (1.4.0.dfsg2-2.3+b3)
- framework for developing 2D games - runtime libraries
- libtuxcap4.0-dbg (1.4.0.dfsg2-2.3+b3)
- framework for developing 2D games - debugging symbols
- libtwatch-perl (0.0.7-1)
- watch torrent trackers and automatically download new torrents
- libtweeny-dev (3-1)
- modern C++ tweening library
- libtwelvemonkeys-java (3.4.1-1)
- collection of plugins and extensions for Java's ImageIO
- libtwelvemonkeys-java-doc (3.4.1-1)
- Documentation for libtwelvemonkeys-java
- libtwin-dev (13.05.03.15.06-g287d16c-2.2+b1)
- tiny window system (development files)
- libtwin0 (13.05.03.15.06-g287d16c-2.2+b1)
- tiny window system (library)
- (1.0005-1)
- Twitter REST API library for Perl
- libtwofish-dev (0.3-5)
- Niels Ferguson's Twofish cryptographic algorithm library
- libtwofish0 (0.3-5)
- Niels Ferguson's Twofish cryptographic library -- runtime package
- libtwolame-dev (0.3.13-4)
- MPEG Audio Layer 2 encoder (development files)
- libtwolame0 (0.3.13-4)
- MPEG Audio Layer 2 encoding library
- libtxw2-java (2.3.0.1-8)
- Typed XML Writer for Java
- libtycho-java (1.0.0-2)
- build Eclipse plugins with Maven
- libtype-conv-camlp4-dev (113.00.02-2+b2 [armhf], 113.00.02-2+b1 [amd64, arm64, i386])
- support library for OCaml preprocessor type conversions
- libtype-conv-camlp4-dev-c74s5
- virtueel pakket geboden door libtype-conv-camlp4-dev
- libtype-conv-camlp4-dev-ddkk8
- virtueel pakket geboden door libtype-conv-camlp4-dev
- libtype-tie-perl (0.014-1)
- tie a variable to a type constraint
- libtype-tiny-perl (1.004004-1)
- tiny, yet Moo(se)-compatible type constraint
- libtype-tiny-xs-perl (0.014-1+b1)
- boost for some of Type::Tiny's built-in type constraints
- libtypes-datetime-perl (0.002-1)
- type constraints and coercions for datetime objects
- libtypes-path-tiny-perl (0.006-1)
- Path::Tiny types and coercions for Moose and Moo
- libtypes-serialiser-perl (1.0-1)
- module providing simple data types for common serialisation formats
- libtypes-uri-perl (0.006-1)
- type constraints and coercions for URIs
- libtypes-uuid-perl (0.004-1)
- type constraints for UUIDs
- libtypes-xsd-lite-perl (0.005-1)
- type constraints based on a subset of XML schema datatypes
- libtypes-xsd-perl (0.005-1)
- type constraints based on XML schema datatypes
- libtypesafe-config-clojure (0.1.5-1)
- Java wrapper around libtypesafe-config-java
- libtypesafe-config-java (1.3.1-2)
- configuration library for JVM languages
- libtypesafe-config-java-doc (1.3.1-2)
- configuration library for JVM languages - documentation
- libtyxml-ocaml (4.1.0-1+b1)
- typed XML in OCaml (plugins)
- libtyxml-ocaml-bkgg0
- virtueel pakket geboden door libtyxml-ocaml
- libtyxml-ocaml-dev (4.1.0-1+b1)
- typed XML in OCaml (development files)
- libtyxml-ocaml-dev-bkgg0
- virtueel pakket geboden door libtyxml-ocaml-dev
- libtyxml-ocaml-dev-dssu2
- virtueel pakket geboden door libtyxml-ocaml-dev
- libtyxml-ocaml-doc (4.1.0-1)
- typed XML in OCaml (documentation)
- libtyxml-ocaml-dssu2
- virtueel pakket geboden door libtyxml-ocaml
- libu1db-dev (13.10-6.3)
- Ubuntu One structured data storage - C API development files
- libu1db1 (13.10-6.3)
- Ubuntu One structured data storage - C API
- libu2f-host-dev (1.1.9-1)
- Development files for the U2F host C library libu2f-host
- libu2f-host-doc (1.1.9-1)
- Documentation for the U2F host C library libu2f-host
- libu2f-host0 (1.1.9-1)
- Universal 2nd Factor (U2F) host communication C Library
- libu2f-server-dev (1.1.0-2)
- Development files for the U2F server C library libu2f-server
- libu2f-server0 (1.1.0-2)
- Universal 2nd Factor (U2F) server communication C Library
- libu2f-udev (1.1.9-1)
- Universal 2nd Factor (U2F) common files
- libubertooth-dev (2018.12.R1-2)
- Shared library for Bluetooth experimentation - development files
- libubertooth1 (2018.12.R1-2)
- Shared library for Bluetooth experimentation
- libubsan0 (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan0-armhf
- virtueel pakket geboden door libubsan0
- libubsan0-dbg (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (debug symbols)
- libubsan0-dbg-armhf
- virtueel pakket geboden door libubsan0-dbg
- libubsan1 (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-amd64-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-arm64-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-armel-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-armhf
- virtueel pakket geboden door libubsan1
- libubsan1-armhf-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-dbg (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (debug symbols)
- libubsan1-dbg-armhf
- virtueel pakket geboden door libubsan1-dbg
- libubsan1-i386-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-powerpc-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-ppc64-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-ppc64el-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-s390x-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-sparc64-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (runtime)
- libubsan1-x32-cross (8.3.0-2cross2)
- UBSan -- undefined behaviour sanitizer (runtime)
- libuchardet-dev (0.0.6-3)
- universal charset detection library - development files
- libuchardet0 (0.0.6-3)
- universal charset detection library - shared library
- libucimf-dev (2.3.8-10)
- Unicode console input method framework - development files
- libucimf0 (2.3.8-10)
- Unicode console input method framework - library
- libucl-dev (1.03+repack-5)
- Portable compression library - development
- libucl1 (1.03+repack-5)
- Portable compression library - runtime
- libucommon-dev (7.0.0-16)
- lightweight C++ threading and sockets - development files
- libucommon8 (7.0.0-16)
- lightweight C++ threading and sockets - shared libraries
- libucpp-dev (1.3.2-2+b1)
- embeddable, quick and light C preprocessor -- development
- libucto-dev (0.14-2)
- Unicode Tokenizer - development
- libucto3 (0.14-2)
- Unicode Tokenizer - runtime
- libudev-dev (241-7~deb10u10) [security]
- libudev development files
- libudev1 (241-7~deb10u10) [security]
- libudev shared library
- libudev1-udeb (241-7~deb10u8)
- libudev shared library
- libudf-dev (2.0.0-2)
- library to work with UDF filesystems (development files)
- libudf0 (2.0.0-2)
- library to work with UDF filesystems
- libudisks2-0 (2.8.1-4+deb10u2) [security]
- GObject based library to access udisks2
- libudisks2-dev (2.8.1-4+deb10u2) [security]
- GObject based library to access udisks2 - development files
- libudns-dev (0.4-1+b1)
- async-capable DNS stub resolver library, development files
- libudns0 (0.4-1+b1)
- async-capable DNS stub resolver library
- libudp-tcl
- virtueel pakket geboden door tcl-udp
- libudt-dev (4.11+dfsg1-1)
- UDP-based Data Transfer Protocol - development files
- libudt0 (4.11+dfsg1-1)
- UDP-based Data Transfer Protocol
- libudunits2-0 (2.2.26-5)
- Library for handling of units of physical quantities
- libudunits2-data (2.2.26-5)
- Data for handling of units of physical quantities
- libudunits2-dev (2.2.26-5)
- Development files for the libunits physical units package
- libuecc-dev (7-1)
- development library and headers for libuecc
- libuecc0 (7-1)
- very small Elliptic Curve Cryptography library
- libufo-bin (0.15.1-1)
- Library for high-performance, GPU-based computing - tools
- libufo-data (0.15.1-1)
- Library for high-performance, GPU-based computing - data
- libufo-dev (0.15.1-1)
- Library for high-performance, GPU-based computing - devel
- libufo0 (0.15.1-1)
- Library for high-performance, GPU-based computing - runtime
- libufpidentity-dev (1.0-1+b1)
- UFP Identity development library for C applications
- libufpidentity1 (1.0-1+b1)
- UFP Identity library for C applications
- libuhd-dev (3.13.1.0-3)
- universal hardware driver for Ettus Research products - headers
- libuhd3.13.1 (3.13.1.0-3)
- universal hardware driver for Ettus Research products - library
- libuhttpmock-0.0-0 (0.5.0-1)
- HTTP web service mocking - development files
- libuhttpmock-dev (0.5.0-1)
- HTTP web service mocking - development files
- libui-dialog-perl (1.21-0.1)
- UI::Dialog a wrapper for various dialog applications
- libui-gxmlcpp-dev (1.4.4-3+b1)
- High-level C++ wrapper library for libxml2/libxslt (development)
- libui-gxmlcpp5v5 (1.4.4-3+b1)
- High-level C++ wrapper library for libxml2/libxslt (run time)
- libui-utilcpp-dev (1.8.5-3+b1)
- UI C++ utility library (development)
- libui-utilcpp9v5 (1.8.5-3+b1)
- UI C++ utility library (run time)
- libuid-wrapper (1.2.4+dfsg1-1)
- UID wrapper library
- libuil4 (2.3.8-2)
- Motif - UIL (User Interface Language) shared library
- libuim-custom2 (1:1.8.8-4+deb10u5)
- Universal Input Method - uim-custom API library
- libuim-data (1:1.8.8-4+deb10u5)
- transitional package for uim-data
- libuim-dev (1:1.8.8-4+deb10u5)
- Universal Input Method - development files
- libuim-scm0 (1:1.8.8-4+deb10u5)
- Universal Input Method - uim-scm API library
- libuim8 (1:1.8.8-4+deb10u5)
- Universal Input Method - uim library
- libuima-adapter-soap-java (2.10.2-3)
- Library to provide SOAP web services within UIMA
- libuima-adapter-vinci-java (2.10.2-3)
- Library to provide Vinci web services within UIMA
- libuima-addons-java (2.3.1-8)
- Apache UIMA Addons
- libuima-as-java (2.3.1-9)
- Apache UIMA Asynch Scaleout framework
- libuima-as-java-doc (2.3.1-9)
- Documentation for Apache UIMA Asynch Scaleout framework
- libuima-core-java (2.10.2-3)
- Core library for the UIMA framework
- libuima-cpe-java (2.10.2-3)
- Library for the UIMA Collection Processing Engine
- libuima-document-annotation-java (2.10.2-3)
- Library for the UIMA document annotation
- libuima-tools-java (2.10.2-3)
- UIMA library for the UIMA tools
- libuima-vinci-java (2.10.2-3)
- Library to handle Vinci web service protocol
- (1.1.3-2+b1)
- implementation of the freedesktop menu specification for UKUI (dev)
- (1.1.3-2+b1)
- implementation of the freedesktop menu specification for UKUI (library)
- libukui-panel-applet-4-1 (1.1.5-1)
- library for UKUI Panel applets
- libukui-panel-applet-dev (1.1.5-1)
- library for UKUI Panel applets (development files)
- libukui-panel-applet-doc (1.1.5-1)
- library for UKUI Panel applets (documentation files)
- libukui-panel-applet4-1
- virtueel pakket geboden door libukui-panel-applet-4-1
- libukuipanelapplet
- virtueel pakket geboden door libukui-panel-applet-4-1
- libukuipanelapplet-dev
- virtueel pakket geboden door libukui-panel-applet-dev
- libukuipanelapplet-doc
- virtueel pakket geboden door libukui-panel-applet-doc
- libukwm-1-0 (1.1.11-1)
- window manager library from the Ukwm window manager
- libukwm-1-dev (1.1.11-1)
- Development files for the Ukwm window manager
- libulfius-dev (2.5.2-4+deb10u1)
- Web Framework for REST Applications in C - development
- libulfius2.5 (2.5.2-4+deb10u1)
- Web Framework for REST Applications in C
- libumad2sim0 (0.7-2)
- InfiniBand fabric simulator
- libumfpack5 (1:5.4.0+dfsg-1)
- sparse LU factorization library
- libumlib-dev (0.8.2-1.2)
- View-OS in user space - Development files
- libumlib0 (0.8.2-1.2)
- View-OS in user space - Support library for modules
- libumockdev-dev (0.12.1-2)
- development files and documentation for libumockdev
- libumockdev0 (0.12.1-2)
- hardware mocking testbed for bug reports and regression tests
- libunac1 (1.8.0-8)
- unac programming library - runtime version
- libunac1-dev (1.8.0-8)
- C programmer's library that removes accents from a string
- libunarr-dev (1.0.1-1)
- Decompression library for RAR, TAR, ZIP and 7z archives (devel)
- libunarr1 (1.0.1-1)
- Decompression library for RAR, TAR, ZIP and 7z archives (runtime)
- libunbescape-java (1.1.5-1)
- advanced yet easy-to-use escape/unescape library for Java
- libunbescape-java-doc (1.1.5-1)
- escape/unescape library for Java (documentation)
- libunbound-dev (1.9.0-2+deb10u4) [security]
- static library, header files, and docs for libunbound
- libunbound8 (1.9.0-2+deb10u4) [security]
- library implementing DNS resolution and validation
- libuncommons-maths-java (1.2.3-2)
- Maths library for Java
- libuncommons-maths-java-doc (1.2.3-2)
- Maths library for Java - Documentations
- libuncommons-watchmaker-framework-java (0.7.1-1)
- Framework for Evolutionary Computation
- libuncommons-watchmaker-swing-java (0.7.1-1)
- Framework for Evolutionary Computation - Swing library
- libundead-dev (1.0.9-3)
- Obsolete Phobos modules - development files
- libundead0 (1.0.9-3)
- Obsolete Phobos modules upgraded to work with the latest D
- libungif-bin
- virtueel pakket geboden door giflib-tools
- libunibilium-dev (2.0.0-4)
- simple, self-contained terminfo library (development files)
- libunibilium4 (2.0.0-4)
- simple, self-contained terminfo library
- libunibreak-dev (1.1-2)
- line breaking library for Unicode (development files)
- libunibreak-doc (1.1-2)
- line breaking library for Unicode (documentation)
- libunibreak1 (1.1-2)
- line breaking library for Unicode (shared library)
- libunicap-dev
- virtueel pakket geboden door libunicap2-dev
- libunicap-docs (0.9.12+repack20150328.0.git2c600ae-2)
- unified interface to video capture devices - documentation
- libunicap2 (0.9.12+repack20150328.0.git2c600ae-2)
- unified interface to video capture devices - shared libraries
- libunicap2-dev (0.9.12+repack20150328.0.git2c600ae-2)
- unified interface to video capture devices - development files
- libunicode-casefold-perl (1.01-1+b1)
- module for Unicode case-folding
- libunicode-collate-perl (1.27-1)
- Unicode collation algorithm built with fast XSUB
- libunicode-collate-perl (= 1.25)
- virtueel pakket geboden door perl
- libunicode-escape-perl (0.0.2-4)
- escape and unescape Unicode characters
- libunicode-japanese-perl (0.49-1+b5)
- module for converting Japanese encodings
- libunicode-linebreak-perl (0.0.20190101-1)
- UAX #14 Unicode Line Breaking Algorithm
- libunicode-map-perl (0.112-12+b1)
- Perl module for mapping charsets from and to UTF16 Unicode
- libunicode-map8-perl (0.13+dfsg-4+b5 [amd64, armhf, i386], 0.13+dfsg-4+b4 [arm64])
- module to map 8bit character sets to Unicode
- libunicode-maputf8-perl (1.11-4)
- Perl module for converting between any character sets and UTF8
- libunicode-string-perl (2.10-1+b4)
- Older Perl modules for Unicode string manipulation
- libunicode-stringprep-perl (1.105+dfsg-1)
- Perl module for preparation of Internationalized Strings (RFC 3454)
- libunicode-utf8-perl (0.62-1)
- encoding and decoding of UTF-8 encoding form
- libuniconf4.6 (4.6.1-14)
- C++ network libraries for rapid application development
- libuninameslist-dev (20180701-1)
- library of Unicode annotation data (development files)
- libuninameslist1 (20180701-1)
- library of Unicode annotation data
- libuninum-dev (2.7-1.1+b2)
- development files for Libuninum library
- libuninum5 (2.7-1.1+b2)
- library for handling numbers as Unicode strings
- libunique-1.0-0 (1.1.6-6)
- Library for writing single instance applications - shared libraries
- libunique-dev (1.1.6-6)
- Library for writing single instance applications - development files
- libunique-doc (1.1.6-6)
- Library for writing single instance applications - documentation
- libunirest-java-java (1.4.8-2)
- Simplified, lightweight HTTP client library
- libunistring-dev (0.9.10-1)
- Unicode string library for C - development files
- libunistring2 (0.9.10-1)
- Unicode string library for C
- libunittest++-dev (2.0.0-3)
- unit testing framework for c++, static library and headers
- libunittest++2 (2.0.0-3)
- unit testing framework for c++, runtime library
- libunivalue-dev (1.0.4-2)
- C++ universal value object and JSON library - development headers
- libunivalue0 (1.0.4-2)
- C++ universal value object and JSON library
- libuniversal-can-perl (1.20140328-1)
- safer version of UNIVERSAL::can
- libuniversal-exports-perl (0.05-3)
- module for lightweight, universal variable exporting
- libuniversal-isa-perl (1.20171012-1)
- safer version of UNIVERSAL::isa
- libuniversal-moniker-perl (0.08-8)
- Methods to output nicer names for your Perl modules/classes
- libuniversal-ref-perl (0.14-4+b2)
- turns ref() into a multimethod
- libuniversal-require-perl (0.18-1)
- Load modules from a variable
- libunix-configfile-perl (0.6-2)
- Perl interface to various Unix configuration files
- libunix-mknod-perl (0.04-4+b1)
- Perl extension for mknod, major, minor, and makedev
- libunix-syslog-perl (1.1-3+b1)
- Perl interface to the UNIX syslog(3) calls
- libunixsocket-java (0.8.1-1)
- Unix socket API and bindings for Java
- libunrar-dev (1:5.6.6-1+deb10u4) [non-free] [security]
- Unarchiver for .rar files (non-free version) - development files
- libunrar5 (1:5.6.6-1+deb10u4) [non-free] [security]
- Unarchiver for .rar files (non-free version) - shared library
- libunsafe-fences-java (1.0-1)
- wrapper library around the Java 8 fences API
- libunsafe-mock-java (8.0-3)
- Java library providing backported sun.misc.Unsafe class from JDK 8
- libunshield-dev (1.4.2-1)
- development files for libunshield
- libunshield0 (1.4.2-1)
- library to extract CAB files from InstallShield installers
- libunwind-13 (1:13.0.1-6~deb10u4)
- production-quality unwinder
- libunwind-13-dev (1:13.0.1-6~deb10u4)
- production-quality unwinder
- libunwind-dev (1.2.1-10~deb10u1)
- library to determine the call-chain of a program - development
- libunwind-setjmp0 (1.2.1-10~deb10u1)
- libunwind-based non local goto - runtime
- libunwind-setjmp0-dev (1.2.1-10~deb10u1)
- libunwind-based non local goto - development
- libunwind-x.y
- virtueel pakket geboden door libunwind-13
- libunwind-x.y-dev
- virtueel pakket geboden door libunwind-13-dev
- libunwind8 (1.2.1-10~deb10u1)
- library to determine the call-chain of a program - runtime
- libunwind8-dev
- virtueel pakket geboden door libunwind-dev
- libupnp-dev (1:1.8.4-2)
- Portable SDK for UPnP Devices, version 1.8 (development files)
- libupnp-doc (1:1.8.4-2)
- Documentation for the Portable SDK for UPnP Devices, version 1.8
- libupnp-java (1.0.4+triplea-1)
- Java library for Universal Plug aNd Play (upnp)
- libupnp-java-doc (1.0.4+triplea-1)
- Java library for Universal Plug aNd Play (upnp) - doc
- libupnp13 (1:1.8.4-2)
- Portable SDK for UPnP Devices, version 1.8 (shared library)
- libupower-glib-dev (0.99.10-1)
- abstraction for power management - development files
- libupower-glib3 (0.99.10-1)
- abstraction for power management - shared library
- libups-nut-perl (2.7.4-8)
- network UPS tools - Perl bindings for NUT server
- libupsclient-dev (2.7.4-8)
- network UPS tools - development files
- libupsclient4 (2.7.4-8)
- network UPS tools - client library
- libupse-dev (1.0.0-2+b1)
- unix playstation sound emulator - library development files
- libupse2 (1.0.0-2+b1)
- unix playstation sound emulator - library
- libuptimed-dev
- virtueel pakket geboden door uptimed
- libuptimed0
- virtueel pakket geboden door uptimed
- libur-perl (0.470+ds-1)
- object/relation mapper library
- liburcu-dev (0.10.2-1)
- userspace RCU (read-copy-update) library - development files
- liburcu6 (0.10.2-1)
- userspace RCU (read-copy-update) library
- liburcu6-udeb (0.10.2-1)
- userspace RCU (read-copy-update) library -- udeb
- liburdf-dev (1.13.1-1+b1)
- Development files for ROS urdf library
- liburdf-parser-plugin-dev (1.13.1-1+b1)
- Development files for ROS urdf_parser_plugin library
- liburdf0d (1.13.1-1+b1)
- ROS urdf library
- liburdfdom-dev (1.0.3-1)
- URDF DOM - development files
- (1.0.3-1)
- URDF DOM - header files
- liburdfdom-model (1.0.3-1)
- URDF DOM - model library
- liburdfdom-model-state (1.0.3-1)
- URDF DOM - model state library
- liburdfdom-sensor (1.0.3-1)
- URDF DOM - sensor library
- liburdfdom-tools (1.0.3-1)
- URDF DOM - tools
- liburdfdom-world (1.0.3-1)
- URDF DOM - world library
- liburfkill-glib-dev (0.5.0-6)
- wireless killswitch management library (development files)
- liburfkill-glib0 (0.5.0-6)
- wireless killswitch management library
- liburfkill-glib0-dbg (0.5.0-6)
- wireless killswitch management library (debug symbols)
- liburi-db-perl (0.19-1)
- module to support database URI standard
- liburi-encode-perl (1.1.1-1)
- Perl module to encode and decode strings to URIs
- liburi-escape-xs-perl (0.14-1+b3)
- drop-in XS replacement for URI::Escape
- liburi-fetch-perl (0.13-1)
- Smart URI fetching/caching
- liburi-find-delimited-perl (0.03-1)
- Find URIs which may be wrapped in enclosing delimiters
- liburi-find-perl (20160806-2)
- module to find URIs in arbitrary text
- liburi-find-simple-perl (1.06-1)
- simple interface to URI::Find
- liburi-fromhash-perl (0.05-1)
- module to build a URI from a set of named parameters
- liburi-namespacemap-perl (1.06-2)
- namespace URI management classes for Perl
- liburi-nested-perl (0.10-2)
- module for handling nested URIs
- liburi-perl (1.76-1)
- module to manipulate and access URI strings
- liburi-query-perl (0.16-1)
- module for manipulating URI query strings
- liburi-smarturi-perl (0.032-2)
- subclass of URI which add an hostless object
- liburi-template-perl (0.24-0.1)
- handle URI templates in perl
- liburi-title-perl (1.901-1)
- common interface to get the titles of things on the web
- liburi-todisk-perl (1.12-2)
- module to provide mapping between URIs and on-disk storage
- liburiparser-dev (0.9.1-1+deb10u1)
- development files for uriparser
- liburiparser-doc (0.9.1-1+deb10u1)
- documentation files for uriparser
- liburiparser1 (0.9.1-1+deb10u1)
- URI parsing library compliant with RFC 3986
- liburl-encode-perl (0.03-1)
- module to encode/decode to/from application/x-www-form-urlencoded encoding
- libusageenvironment3 (2018.11.26-1.1)
- multimedia RTSP streaming library (UsageEnvironment classes)
- libusb-0.1-4 (2:0.1.12-32)
- userspace USB programming library
- libusb-0.1-udeb (2:0.1.12-32)
- userspace USB programming library
- libusb-1.0-0 (2:1.0.22-2)
- userspace USB programming library
- libusb-1.0-0-dev (2:1.0.22-2)
- userspace USB programming library development files
- libusb-1.0-0-udeb (2:1.0.22-2)
- userspace USB programming library
- libusb-1.0-doc (2:1.0.22-2)
- documentation for userspace USB programming
- libusb-dev (2:0.1.12-32)
- userspace USB programming library development files
- libusb-ocaml (1.3.0-4+b1)
- OCaml bindings to libusb-1.0 (runtime)
- libusb-ocaml-6kpa4
- virtueel pakket geboden door libusb-ocaml
- libusb-ocaml-dev (1.3.0-4+b1)
- OCaml bindings to libusb-1.0
- libusb-ocaml-dev-6kpa4
- virtueel pakket geboden door libusb-ocaml-dev
- libusb-ocaml-dev-iib39
- virtueel pakket geboden door libusb-ocaml-dev
- libusb-ocaml-iib39
- virtueel pakket geboden door libusb-ocaml
- libusbauth-configparser-dev (1.0.1+git20190123.0e6393b-2)
- Development package of library for USB Firewall including flex/bison parser
- libusbauth-configparser1 (1.0.1+git20190123.0e6393b-2)
- Library for USB Firewall including flex/bison parser
- libusbguard0 (0.7.4+ds-1+b1 [amd64], 0.7.4+ds-1 [arm64, armhf, i386])
- USB device authorization policy framework - shared library
- libusbhid-common (10.3~svn296373-10)
- Common files for the USB HID devices FreeBSD library
- libusbmuxd-dev (1.1.0~git20181007.07a493a-1)
- USB multiplexor daemon for iPhone and iPod Touch devices - devel
- libusbmuxd-tools (1.1.0~git20181007.07a493a-1)
- USB multiplexor daemon for iPhone and iPod Touch devices - tools
- libusbmuxd4 (1.1.0~git20181007.07a493a-1)
- USB multiplexor daemon for iPhone and iPod Touch devices - library
- libusbprog-dev (0.2.0-2.2+b2)
- Development files for libusbprog
- libusbprog0v5 (0.2.0-2.2+b2)
- Library for programming the USBprog hardware
- libusbredirhost-dev (0.8.0-1)
- implementing the usb-host (*) side of a usbredir connection (development)
- libusbredirhost1 (0.8.0-1)
- Implementing the usb-host (*) side of a usbredir connection (runtime)
- libusbredirparser-dev (0.8.0-1)
- Parser for the usbredir protocol (development)
- libusbredirparser1 (0.8.0-1)
- Parser for the usbredir protocol (runtime)
- libuser (1:0.62~dfsg-0.1)
- user and group account administration library - utilities
- libuser-agent-utils-java (1.21-1)
- Utilities for processing user-agent strings
- libuser-identity-perl (0.99-1)
- module to manage a person's identities/roles
- libuser-perl (1.9-1)
- Provides user data in an OS independent manner
- libuser-simple-perl (1.45-2)
- module for simple user sessions management
- libuser1 (1:0.62~dfsg-0.1)
- user and group account administration library - shared libraries
- libuser1-dev (1:0.62~dfsg-0.1)
- user and group account administration library - development files
- libusrsctp-dev (0.9.3.0+20190127-2+deb10u1) [security]
- portable SCTP userland stack - development headers
- libusrsctp-examples (0.9.3.0+20190127-2+deb10u1) [security]
- portable SCTP userland stack - example programs
- libusrsctp1 (0.9.3.0+20190127-2+deb10u1) [security]
- portable SCTP userland stack - shared library
- libustr-1.0-1 (1.0.4-6)
- Micro string library: shared library
- libustr-1.0-1-dbg (1.0.4-6)
- Micro string library: debugging symbols
- libustr-dev (1.0.4-6)
- Micro string library: development stuff
- libustr-doc (1.0.4-6)
- Micro string library: documentation
- libutempter-dev (1.1.6-3)
- privileged helper for utmp/wtmp updates (development)
- libutempter0 (1.1.6-3)
- privileged helper for utmp/wtmp updates (runtime)
- libutf8-all-perl (0.024-1)
- Perl pragma to turn on UTF-8 everywhere
- libutf8proc-dev (2.3.0-1)
- C library for processing UTF-8 Unicode data (development files)
- libutf8proc2 (2.3.0-1)
- C library for processing UTF-8 Unicode data (shared library)
- libutfcpp-dev (2.3.4-1)
- UTF8-CPP: UTF-8 with C++ - dev
- libutfcpp-doc (2.3.4-1)
- UTF8-CPP: UTF-8 with C++ - dev
- libutil-freebsd-9 (10.3~svn296373-10)
- FreeBSD utility library
- libutil-freebsd-dev (10.3~svn296373-10)
- Development files for libutil-freebsd
- libutop-ocaml (1.19.3-2+b1)
- improved OCaml toplevel (runtime library)
- libutop-ocaml-06yx7
- virtueel pakket geboden door libutop-ocaml
- libutop-ocaml-2wa21
- virtueel pakket geboden door libutop-ocaml
- libutop-ocaml-dev (1.19.3-2+b1)
- improved OCaml toplevel (development tools)
- libutop-ocaml-dev-06yx7
- virtueel pakket geboden door libutop-ocaml-dev
- libutop-ocaml-dev-2wa21
- virtueel pakket geboden door libutop-ocaml-dev
- libutop-ocaml-dev-u5e53
- virtueel pakket geboden door libutop-ocaml-dev
- libutop-ocaml-dev-y8634
- virtueel pakket geboden door libutop-ocaml-dev
- libutop-ocaml-u5e53
- virtueel pakket geboden door libutop-ocaml
- libutop-ocaml-y8634
- virtueel pakket geboden door libutop-ocaml
- libuu-dev (0.5.20-11)
- Library for de/encoding several popular file encodings - development
- libuu0 (0.5.20-11)
- Library for de/encoding several popular file encodings - runtime
- libuuid-perl (0.28-1)
- Perl extension for using UUID interfaces as defined in e2fsprogs
- libuuid-tiny-perl (1.0400-2)
- pure Perl module to generate v1, v3, v4, and v5 UUIDs
- libuuid-urandom-perl (0.001-1)
- Perl module to provide UUIDs based on /dev/urandom
- libuuid1 (2.33.1-0.1+deb10u1) [security]
- Universally Unique ID library
- libuuid1-udeb (2.33.1-0.1)
- stripped down Universally Unique ID library, for debian-installer
- libuuidm-ocaml-dev (0.9.6-1)
- Universally unique identifiers for OCaml
- libuuidm-ocaml-dev-36yl2
- virtueel pakket geboden door libuuidm-ocaml-dev
- libuuidm-ocaml-dev-7tbm1
- virtueel pakket geboden door libuuidm-ocaml-dev
- libuutf-ocaml (1.0.1-2)
- Non-blocking streaming Unicode codec for OCaml (plugins)
- libuutf-ocaml-81t60
- virtueel pakket geboden door libuutf-ocaml
- libuutf-ocaml-dev (1.0.1-2)
- Non-blocking streaming Unicode codec for OCaml (development files)
- libuutf-ocaml-dev-81t60
- virtueel pakket geboden door libuutf-ocaml-dev
- libuutf-ocaml-dev-x5ns3
- virtueel pakket geboden door libuutf-ocaml-dev
- libuutf-ocaml-doc (1.0.1-2)
- Non-blocking streaming Unicode codec for OCaml (documentation)
- libuutf-ocaml-x5ns3
- virtueel pakket geboden door libuutf-ocaml
- libuutil-dev
- virtueel pakket geboden door libzfslinux-dev
- libuutil1linux (0.7.12-2+deb10u3) [contrib] [security]
- Solaris userland utility library for Linux
- libuv1 (1.24.1-1+deb10u2) [security]
- asynchronous event notification library - runtime library
- libuv1-dev (1.24.1-1+deb10u2) [security]
- asynchronous event notification library - development files
- libuvc-dev (0.0.6-1)
- cross-platform library for USB video devices - development files
- libuvc-doc (0.0.6-1)
- cross-platform library for USB video devices - documentation
- libuvc0 (0.0.6-1)
- cross-platform library for USB video devices
- libuwac0-0 (2.3.0+dfsg1-2+deb10u4) [security]
- Using wayland as a client library
- libuwac0-dev (2.3.0+dfsg1-2+deb10u4) [security]
- Using wayland as a client (development files)
- libv-perl (0.13-2)
- module for printing the version of specified perl module(s)
- libv4l-0 (1.16.3-3)
- Collection of video4linux support libraries
- libv4l-dev (1.16.3-3)
- Collection of video4linux support libraries (development files)
- libv4l2rds0 (1.16.3-3)
- Video4Linux Radio Data System (RDS) decoding library
- libv4lconvert0 (1.16.3-3)
- Video4linux frame format conversion library
- libv8-dev
- virtueel pakket geboden door libnode-dev
- libva-dev (2.4.0-1)
- Video Acceleration (VA) API for Linux -- development files
- libva-driver-abi-1.0
- virtueel pakket geboden door libva2
- libva-driver-abi-1.1
- virtueel pakket geboden door libva2
- libva-driver-abi-1.2
- virtueel pakket geboden door libva2
- libva-driver-abi-1.3
- virtueel pakket geboden door libva2
- libva-driver-abi-1.4
- virtueel pakket geboden door libva2
- libva-drm2 (2.4.0-1)
- Video Acceleration (VA) API for Linux -- DRM runtime
- libva-glx2 (2.4.0-1)
- Video Acceleration (VA) API for Linux -- GLX runtime
- libva-wayland2 (2.4.0-1)
- Video Acceleration (VA) API for Linux -- Wayland runtime
- libva-x11-2 (2.4.0-1)
- Video Acceleration (VA) API for Linux -- X11 runtime
- libva2 (2.4.0-1)
- Video Acceleration (VA) API for Linux -- runtime
- libvala-0.42-0 (0.42.5-1)
- C# like language for the GObject system - library
- libvala-0.42-dev (0.42.5-1)
- C# like language for the GObject system - development headers
- libvala-dev
- virtueel pakket geboden door libvala-0.42-dev
- libvaladoc-0.42-0 (0.42.5-1)
- API documentation generator for vala (library)
- libvaladoc-0.42-dev (0.42.5-1)
- API documentation generator for vala (devel files)
- libvaladoc-data (0.42.5-1)
- API documentation generator for vala (data)
- libvaladoc-dev
- virtueel pakket geboden door libvaladoc-0.42-dev
- libvalapanel-dev (0.4.87+dfsg1-1)
- library for Vala Panel - development files
- libvalapanel0 (0.4.87+dfsg1-1)
- library for Vala Panel
- libvalidate-net-perl (0.6-2)
- Perl module for validating Net:: related strings
- libvalidate-yubikey-perl (0.03-1)
- module to validate Yubikey OTPs
- libvalidation-class-perl (7.900057-2)
- module for centralized, simplified input validation
- libvamp-hostsdk3v5 (2.7.1~repack0-1)
- helper library for Vamp hosts written in C++
- libvamp-sdk2v5 (2.7.1~repack0-1)
- helper library for Vamp plugins written in C++
- libvamsas-client-java (0.2~git2011.10.17+1b42648-1)
- library for phylogenetic tasks
- libvanessa-adt-dev (0.0.9-2)
- Headers and static libraries for vanessa_adt
- libvanessa-adt1 (0.0.9-2)
- Library of Abstract Data Types
- libvanessa-logger-dev (0.0.10-3+b2)
- Headers and static libraries for libvanessa-logger
- libvanessa-logger-sample (0.0.10-3+b2)
- Sample programme using libvanessa-logger
- libvanessa-logger0 (0.0.10-3+b2)
- Generic Logging Library
- libvanessa-socket-dev (0.0.13-1+b2)
- Headers and static libraries for libvanessa_socket
- libvanessa-socket-pipe (0.0.13-1+b2)
- User space TCP/IP pipe
- libvanessa-socket2 (0.0.13-1+b2)
- Library to simplify TCP socket operations
- libvar-pairs-perl (0.004001-1)
- Perl module implementing iterators and pair constructors for variables
- libvarconf-1.0-8v5 (1.0.1-6)
- WorldForge configuration file handling library
- libvarconf-dev (1.0.1-6)
- WorldForge configuration file handling library - development files
- libvariable-magic-perl (0.62-1+b1)
- module to associate user-defined magic to variables from Perl
- libvariantslib-ocaml (113.33.03-2+b1)
- OCaml syntax extension for supporting variants as first class values (runtime)
- libvariantslib-ocaml-a1ma0
- virtueel pakket geboden door libvariantslib-ocaml
- libvariantslib-ocaml-dev (113.33.03-2+b1)
- OCaml syntax extension for supporting variants as first class values (dev)
- libvariantslib-ocaml-dev-a1ma0
- virtueel pakket geboden door libvariantslib-ocaml-dev
- libvarnish-dev
- virtueel pakket geboden door libvarnishapi-dev
- libvarnishapi-dev (6.1.1-1+deb10u4) [security]
- development files for Varnish
- libvarnishapi2 (6.1.1-1+deb10u4) [security]
- shared libraries for Varnish
- libvbr-dev (2.11.0~alpha-12+deb10u1) [security]
- Headers and development libraries for the OpenDKIM VBR library
- libvbr2 (2.11.0~alpha-12+deb10u1) [security]
- Library for RFC 5518 Vouch By Reference (VBR)
- libvc-dev (005-4)
- vCard library - development files
- libvc0 (005-4)
- vCard (the Electronic Business Card) library
- libvcdinfo-dev (2.0.1+dfsg-3)
- library to extract information from VideoCD (development files)
- libvcdinfo0 (2.0.1+dfsg-3)
- library to extract information from VideoCD
- libvcflib-dev (1.0.0~rc2+dfsg-2)
- C++ library for parsing and manipulating VCF files (development)
- libvcflib-tools (1.0.0~rc2+dfsg-2)
- C++ library for parsing and manipulating VCF files (tools)
- libvcflib1 (1.0.0~rc2+dfsg-2)
- C++ library for parsing and manipulating VCF files
- libvcs-lite-perl (0.12-1)
- Minimal version control system
- libvdb-sqlite-dev (2.9.3+dfsg-2)
- sqlite modul of ncbi-vdb library (devel)
- libvdb-sqlite2 (2.9.3+dfsg-2)
- sqlite modul of ncbi-vdb library
- libvde-dev (2.3.2+r586-2.2)
- Virtual Distributed Ethernet - support libraries development files
- libvde0 (2.3.2+r586-2.2)
- Virtual Distributed Ethernet - support libraries
- libvdeplug-dev (2.3.2+r586-2.2)
- Virtual Distributed Ethernet - Plug development files
- libvdeplug2 (2.3.2+r586-2.2)
- Virtual Distributed Ethernet - Plug library
- libvdeplug2-dev
- virtueel pakket geboden door libvdeplug-dev
- libvdk2-2c2 (2.4.0-5.5)
- Visual Development Kit C++ library version 2
- libvdk2-dbg (2.4.0-5.5)
- debugging static library for the VDK C++ library version 2
- libvdk2-dev (2.4.0-5.5)
- header files and static libraries for VDK library version 2
- libvdk2-doc (2.4.0-5.5)
- documentation for VDK library version 2
- libvdpau-dev (1.1.1-10)
- Video Decode and Presentation API for Unix (development files)
- libvdpau-doc (1.1.1-10)
- Video Decode and Presentation API for Unix (documentation)
- libvdpau-va-gl1 (0.4.2-1+b1)
- VDPAU driver with OpenGL/VAAPI backend
- libvdpau1 (1.1.1-10)
- Video Decode and Presentation API for Unix (libraries)
- libvecmath-java (1.5.2-7)
- javax.vecmath vector math package
- libvecmath-java-doc (1.5.2-7)
- javax.vecmath vector math package (documentation)
- libvecmath1.2-java
- virtueel pakket geboden door libvecmath-java
- libvelocity-tools-java (2.0-7)
- collection of useful tools for Velocity template engine
- libvelocity-tools-java-doc (2.0-7)
- collection of useful tools for Velocity template engine - documentation
- libvendorlib-perl (0.12-1)
- pragma to enforce only core and vendor libraries are used
- libventrilo-dev (1.2.5-4.1)
- library for communicating with Ventrilo servers (development)
- libventrilo3-0 (1.2.5-4.1)
- library for communicating with Ventrilo servers
- libverbiste-0.1-0v5 (0.1.45-5)
- French and Italian conjugator - shared library
- libverbiste-dev (0.1.45-5)
- French and Italian conjugator - development files
- libverilog-perl (3.460-1)
- framework providing Verilog support
- libversion-compare-perl (0.14-1)
- Perl module for comparing version strings
- libversion-next-perl (1.000-1)
- Perl module for simply incrementing Perl module version numbers
- libversion-perl (1:0.9924-1+b1)
- Perl extension for Version Objects
- libversion-perl (= 1:0.9923)
- virtueel pakket geboden door perl
- libversion-requirements-perl
- virtueel pakket geboden door perl
- libversion-util-perl (0.731-1)
- Perl module that provides version-number utilities
- libversioneer-clojure (0.1.1-3)
- version introspection for Leiningen-generated projects
- libverto-dev (0.3.0-2)
- Event loop abstraction for Libraries - Development
- libverto-glib1 (0.3.0-2)
- Event loop abstraction for Libraries - glib
- libverto-libev1 (0.3.0-2)
- Event loop abstraction for Libraries - libev
- libverto1 (0.3.0-2)
- Event loop abstraction for Libraries - Runtime
- libveyon-core (4.1.7+repack1-1)
- Computer Monitoring and Classroom Management Software - libraries
- libvformat-dev (1.13-10+b2)
- library to read and write vcard files (development files)
- libvformat0 (1.13-10+b2)
- library to read and write vcard files
- libvhdi-dev (20181227-1)
- Virtual Hard Disk image format access library -- development files
- libvhdi-utils (20181227-1)
- Virtual Hard Disk image format access library -- Utilities
- libvhdi1 (20181227-1)
- Virtual Hard Disk image format access library
- libvi-quickfix-perl (1.135-1)
- Perl support for vim's QuickFix mode
- libvibe-core-dev (0.8.4-2)
- High-performance web application toolkit - Core (development)
- libvibe-core0 (0.8.4-2)
- High-performance web application toolkit - Core
- libvibe-crypto-dev (0.8.4-2)
- High-performance web application toolkit - Crypto (development)
- libvibe-crypto0 (0.8.4-2)
- High-performance web application toolkit - Cryptographic routines
- libvibe-data-dev (0.8.4-2)
- High-performance web application toolkit - Data (development)
- libvibe-data0 (0.8.4-2)
- High-performance web application toolkit - Data
- libvibe-http-dev (0.8.4-2)
- High-performance web application toolkit - HTTP (development)
- libvibe-http0 (0.8.4-2)
- High-performance web application toolkit - HTTP
- libvibe-inet-dev (0.8.4-2)
- High-performance web application toolkit - INet (development)
- libvibe-inet0 (0.8.4-2)
- High-performance web application toolkit - INet
- libvibe-mail-dev (0.8.4-2)
- High-performance web application toolkit - Mail (development)
- libvibe-mail0 (0.8.4-2)
- High-performance web application toolkit - Mail
- libvibe-mongodb-dev (0.8.4-2)
- High-performance web application toolkit - MongoDB (development)
- libvibe-mongodb0 (0.8.4-2)
- High-performance web application toolkit - MongoDB
- libvibe-redis-dev (0.8.4-2)
- High-performance web application toolkit - Redis (development)
- libvibe-redis0 (0.8.4-2)
- High-performance web application toolkit - Redis
- libvibe-stream-dev (0.8.4-2)
- High-performance web application toolkit - Stream (development)
- libvibe-stream0 (0.8.4-2)
- High-performance web application toolkit - Stream
- libvibe-textfilter-dev (0.8.4-2)
- High-performance web application toolkit - TextFilter (development)
- libvibe-textfilter0 (0.8.4-2)
- High-performance web application toolkit - TextFilter
- libvibe-tls-dev (0.8.4-2)
- High-performance web application toolkit - TLS (development)
- libvibe-tls0 (0.8.4-2)
- High-performance web application toolkit - TLS
- libvibe-utils-dev (0.8.4-2)
- High-performance web application toolkit - Utils (development)
- libvibe-utils0 (0.8.4-2)
- High-performance web application toolkit - Utils
- libvibe-web-dev (0.8.4-2)
- High-performance web application toolkit - Web (development)
- libvibe-web0 (0.8.4-2)
- High-performance web application toolkit - Web
- libvibrant6-dev (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for graphic biology applications (development files)
- libvibrant6b (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for graphic biology applications
- libvideo-capture-v4l-perl (0.902-4+b5)
- Perl interface to the Video4linux framegrabber interface
- libvideo-fourcc-info-perl (1.005-4)
- Perl module to find information about codecs from its FourCC
- libvideo-info-perl (0.993-4) [non-free]
- Perl module to examine video files
- libvideo-ivtv-perl (0.13-8+b6)
- Perl extension for using V4l2 in the ivtv perl scripts
- libvidstab-dev (1.1.0-2)
- video stabilization library (development files)
- libvidstab1.1 (1.1.0-2)
- video stabilization library (shared library)
- libviennacl-dev (1.7.1+dfsg1-5)
- Scientific computing library written in C++ based on OpenCL
- libviennacl-doc (1.7.1+dfsg1-5)
- ViennaCL API and user documentation
- libview-dev (0.6.6-2.1+b2)
- VMware's Incredibly Exciting Widgets
- libview2 (0.6.6-2.1+b2)
- VMware's Incredibly Exciting Widgets
- libview2-dbg (0.6.6-2.1+b2)
- VMware's Incredibly Exciting Widgets
- libvigraimpex-dev (1.10.0+git20160211.167be93+dfsg1-2)
- development files for the C++ computer vision library
- libvigraimpex-doc (1.10.0+git20160211.167be93+dfsg1-2)
- Documentation for the C++ computer vision library
- libvigraimpex6 (1.10.0+git20160211.167be93+dfsg1-2)
- C++ computer vision library
- libvips
- virtueel pakket geboden door libvips42
- libvips-dev (8.7.4-1+deb10u1)
- image processing system good for very large ones (dev)
- libvips-doc (8.7.4-1+deb10u1)
- image processing system good for very large ones (doc)
- libvips-tools (8.7.4-1+deb10u1)
- image processing system good for very large ones (tools)
- libvips42 (8.7.4-1+deb10u1)
- image processing system good for very large ones
- libvirglrenderer-dev (0.7.0-2+deb10u1) [security]
- virtual GPU for KVM virtualization - headers
- libvirglrenderer0 (0.7.0-2+deb10u1) [security]
- virtual GPU for KVM virtualization
- libvirt-clients (5.0.0-4+deb10u2) [security]
- Programs for the libvirt library
- libvirt-daemon (5.0.0-4+deb10u2) [security]
- Virtualization daemon
- libvirt-daemon-driver-storage-gluster (5.0.0-4+deb10u2) [security]
- Virtualization daemon glusterfs storage driver
- libvirt-daemon-driver-storage-rbd (5.0.0-4+deb10u2) [security]
- Virtualization daemon RBD storage driver
- libvirt-daemon-driver-storage-zfs (5.0.0-4+deb10u2) [security]
- Virtualization daemon ZFS storage driver
- libvirt-daemon-system (5.0.0-4+deb10u2) [security]
- Libvirt daemon configuration files
- libvirt-dbus (1.3.0-1)
- libvirt D-Bus API bindings
- libvirt-dev (5.0.0-4+deb10u2) [security]
- development files for the libvirt library
- libvirt-doc (5.0.0-4+deb10u2) [security]
- documentation for the libvirt library
- libvirt-glib-1.0-0 (1.0.0-1)
- libvirt GLib and GObject mapping library
- libvirt-glib-1.0-dev (1.0.0-1)
- Development files for the libvirt-glib library
- libvirt-ocaml (0.6.1.4-2+b2 [armhf], 0.6.1.4-2+b1 [amd64, arm64, i386])
- OCaml bindings for libvirt (runtime)
- libvirt-ocaml-5cb27
- virtueel pakket geboden door libvirt-ocaml
- libvirt-ocaml-dev (0.6.1.4-2+b2 [armhf], 0.6.1.4-2+b1 [amd64, arm64, i386])
- OCaml bindings for libvirt (development files)
- libvirt-ocaml-dev-5cb27
- virtueel pakket geboden door libvirt-ocaml-dev
- libvirt-ocaml-dev-oxgx1
- virtueel pakket geboden door libvirt-ocaml-dev
- libvirt-ocaml-oxgx1
- virtueel pakket geboden door libvirt-ocaml
- libvirt-sandbox-1.0-5 (0.5.1+git20160404-1)
- Application sandbox toolkit shared library
- libvirt-sandbox-1.0-dev (0.5.1+git20160404-1)
- Development files for libvirt-sandbox library
- libvirt-sanlock (5.0.0-4+deb10u2) [security]
- Sanlock plugin for virtlockd
- libvirt-wireshark (5.0.0-4+deb10u2) [security]
- Wireshark dissector for the libvirt protocol
- libvirt0 (5.0.0-4+deb10u2) [security]
- library for interfacing with different virtualization systems
- libvirtodbc0 (6.1.6+dfsg2-4+b2)
- high-performance database - ODBC libraries
- libvirtualpg-dev (2.0.0~rc0-1)
- VirtualPG development files
- libvirtualpg0 (2.0.0~rc0-1)
- VirtualPG shared library
- libvirtuoso5.5-cil (6.1.6+dfsg2-4+b2)
- high-performance database - Mono assemblies
- libvisio-0.1-1 (0.1.6-1+b2)
- library for parsing the visio file structure
- libvisio-dev (0.1.6-1+b2)
- library for parsing the visio file structure -- development
- libvisio-doc (0.1.6-1)
- library for parsing the visio file structure -- documentatio
- libvisio-tools (0.1.6-1+b2)
- library for parsing the visio file structure -- tools
- libvisp-ar-dev (3.1.0-2+b2)
- development files for libvisp-ar
- libvisp-ar3.1 (3.1.0-2+b2)
- visual servoing platform ar library
- libvisp-ar3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-ar3.1
- libvisp-blob-dev (3.1.0-2+b2)
- development files for libvisp-blob
- libvisp-blob3.1 (3.1.0-2+b2)
- visual servoing platform blob tracking library
- libvisp-blob3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-blob3.1
- libvisp-core-dev (3.1.0-2+b2)
- development files for libvisp-core
- libvisp-core3.1 (3.1.0-2+b2)
- visual servoing platform core library
- libvisp-core3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-core3.1
- libvisp-detection-dev (3.1.0-2+b2)
- development files for libvisp-detection
- libvisp-detection3.1 (3.1.0-2+b2)
- visual servoing platform detection library
- libvisp-detection3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-detection3.1
- libvisp-dev (3.1.0-2+b2)
- development files for ViSP
- libvisp-doc (3.1.0-2)
- visual servoing library - documentation
- libvisp-gui-dev (3.1.0-2+b2)
- development files for libvisp-gui
- libvisp-gui3.1 (3.1.0-2+b2)
- visual servoing platform gui library
- libvisp-gui3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-gui3.1
- libvisp-imgproc-dev (3.1.0-2+b2)
- development files for libvisp-imgproc
- libvisp-imgproc3.1 (3.1.0-2+b2)
- visual servoing platform imgproc library
- libvisp-imgproc3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-imgproc3.1
- libvisp-io-dev (3.1.0-2+b2)
- development files for libvisp-io
- libvisp-io3.1 (3.1.0-2+b2)
- visual servoing platform io library
- libvisp-io3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-io3.1
- libvisp-klt-dev (3.1.0-2+b2)
- development files for libvisp-klt
- libvisp-klt3.1 (3.1.0-2+b2)
- visual servoing platform klt tracking library
- libvisp-klt3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-klt3.1
- libvisp-mbt-dev (3.1.0-2+b2)
- development files for libvisp-mbt
- libvisp-mbt3.1 (3.1.0-2+b2)
- visual servoing platform mbt library
- libvisp-mbt3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-mbt3.1
- libvisp-me-dev (3.1.0-2+b2)
- development files for libvisp-me
- libvisp-me3.1 (3.1.0-2+b2)
- visual servoing platform me tracking library
- libvisp-me3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-me3.1
- libvisp-robot-dev (3.1.0-2+b2)
- development files for libvisp-robot
- libvisp-robot3.1 (3.1.0-2+b2)
- visual servoing platform robot library
- libvisp-robot3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-robot3.1
- libvisp-sensor-dev (3.1.0-2+b2)
- development files for libvisp-sensor
- libvisp-sensor3.1 (3.1.0-2+b2)
- visual servoing platform sensor library
- libvisp-sensor3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-sensor3.1
- libvisp-tt-dev (3.1.0-2+b2)
- development files for libvisp-tt
- libvisp-tt-mi-dev (3.1.0-2+b2)
- development files for libvisp-tt-mi
- libvisp-tt-mi3.1 (3.1.0-2+b2)
- visual servoing platform tt-mi library
- libvisp-tt-mi3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-tt-mi3.1
- libvisp-tt3.1 (3.1.0-2+b2)
- visual servoing platform tt library
- libvisp-tt3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-tt3.1
- libvisp-vision-dev (3.1.0-2+b2)
- development files for libvisp-vision
- libvisp-vision3.1 (3.1.0-2+b2)
- visual servoing platform vision library
- libvisp-vision3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-vision3.1
- libvisp-visual-features-dev (3.1.0-2+b2)
- development files for libvisp-visual-features
- libvisp-visual-features3.1 (3.1.0-2+b2)
- visual servoing platform visual-features library
- libvisp-visual-features3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-visual-features3.1
- libvisp-vs-dev (3.1.0-2+b2)
- development files for libvisp-vs
- libvisp-vs3.1 (3.1.0-2+b2)
- visual servoing platform vs library
- libvisp-vs3.1-dbg (3.1.0-2+b2)
- Debugging symbol for libvisp-vs3.1
- libvistaio-dev (1.2.19-2)
- Development files for the libvistaio library
- libvistaio14 (1.2.19-2)
- Library for loading and storing various types of binary data
- libvisual-0.4-0 (0.4.0-15)
- audio visualization framework
- libvisual-0.4-dev (0.4.0-15)
- audio visualization framework (development files)
- libvisual-0.4-plugins (1:0.4.0+dfsg1-13)
- audio visualization framework plugins
- libvisual-projectm (2.1.0+dfsg-4+b4)
- libvisual module for projectM
- libvisualization-msgs-dev (1.12.7-1)
- Messages relating to Robot OS visualization, C/C++ interface
- libvisualvm-jni (1.4.2-2)
- All-in-One Java Troubleshooting Tool (JNI libraries)
- libvitacilina-perl (0.2-2)
- Perl feed aggregation library
- libvkd3d-dev (1.1-3)
- Direct3D 12 to Vulkan translation - development files
- libvkd3d-utils1 (1.1-3)
- Direct3D 12 to Vulkan translation - utilities library
- libvkd3d1 (1.1-3)
- Direct3D 12 to Vulkan translation - library
- libvlc-bin (3.0.20-0+deb10u1) [security]
- tools for VLC's base library
- libvlc-dev (3.0.20-0+deb10u1) [security]
- development files for libvlc
- libvlc5 (3.0.20-0+deb10u1) [security]
- multimedia player and streamer library
- libvlccore-dev (3.0.20-0+deb10u1) [security]
- development files for libvlccore
- libvlccore9 (3.0.20-0+deb10u1) [security]
- base library for VLC and its modules
- libvldocking-java (3.0.5-2)
- Java components for building applications with Docking capabilities
- libvlfeat-dev (0.9.21+dfsg0-3+b1)
- Computer vision library focussing on visual features and clustering
- libvlfeat-doc (0.9.21+dfsg0-3)
- Computer vision library focussing on visual features and clustering
- libvlfeat1 (0.9.21+dfsg0-3+b1)
- Computer vision library focussing on visual features and clustering
- libvm-ec2-perl (1.28-2)
- module providing controls on Amazon EC2 and Eucalyptus
- libvm-ec2-security-credentialcache-perl (0.23-1)
- EC2 credential cache respecting expiration time for IAM roles
- libvmdk-dev (20181227-1)
- VMWare Virtual Disk format access library -- development files
- libvmdk-utils (20181227-1)
- VMWare Virtual Disk format access library -- Utilities
- libvmdk1 (20181227-1)
- VMWare Virtual Disk format access library
- libvmem-dev (1.5.1-1)
- development files for libvmem1
- libvmem1 (1.5.1-1)
- Persistent Memory volatile memory support library
- libvmem1-debug (1.5.1-1)
- Persistent Memory volatile memory support library — debug build
- libvmime-dev (0.9.2-5+b2)
- C++ mail library (development files)
- libvmime1 (0.9.2-5+b2)
- C++ mail library (runtime library)
- libvmime1-doc (0.9.2-5)
- C++ mail library (API documentation)
- libvmmalloc-dev (1.5.1-1)
- development files for libvmmalloc1
- libvmmalloc1 (1.5.1-1)
- Persistent Memory dynamic allocation support library
- libvmmalloc1-debug (1.5.1-1)
- Persistent Memory dynamic allocation support library — debug build
- libvmmlib-dev (1.0-2.1)
- templatized C++ vector and matrix math library
- libvmtk-dev (1.3+dfsg-2.3) [non-free]
- shared links and header files for vmtk
- libvmtk1.3 (1.3+dfsg-2.3) [non-free]
- runtime libraries for vmtk
- libvncclient1 (0.9.11+dfsg-1.3+deb10u5) [security]
- API to write one's own VNC server - client library
- libvncclient1-dbg (0.9.11+dfsg-1.3+deb10u5) [security]
- debugging symbols for libvncclient
- libvncserver-config (0.9.11+dfsg-1.3+deb10u5) [security]
- API to write one's own VNC server - library utility
- libvncserver-dev (0.9.11+dfsg-1.3+deb10u5) [security]
- API to write one's own VNC server - development files
- libvncserver1 (0.9.11+dfsg-1.3+deb10u5) [security]
- API to write one's own VNC server
- libvncserver1-dbg (0.9.11+dfsg-1.3+deb10u5) [security]
- debugging symbols for libvncserver
- libvnlog-dev (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- libvnlog-perl (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- libvnlog0 (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- libvo-aacenc-dev (0.1.3-1+b1)
- VisualOn AAC encoder library (development files)
- libvo-aacenc0 (0.1.3-1+b1)
- VisualOn AAC encoder library
- libvo-amrwbenc-dev (0.1.3-1+b1)
- VisualOn AMR-WB encoder library (development files)
- libvo-amrwbenc0 (0.1.3-1+b1)
- VisualOn AMR-WB encoder library
- libvoaacenc-ocaml (0.1.0-1+b4 [amd64, armhf, i386], 0.1.0-1+b2 [arm64])
- OCaml interface to the vo-aacenc library -- runtime files
- libvoaacenc-ocaml-8scr1
- virtueel pakket geboden door libvoaacenc-ocaml
- libvoaacenc-ocaml-dev (0.1.0-1+b4 [amd64, armhf, i386], 0.1.0-1+b2 [arm64])
- OCaml interface to the vo-aacenc library -- developpement files
- libvoaacenc-ocaml-dev-8scr1
- virtueel pakket geboden door libvoaacenc-ocaml-dev
- libvoaacenc-ocaml-dev-jtj10
- virtueel pakket geboden door libvoaacenc-ocaml-dev
- libvoaacenc-ocaml-jtj10
- virtueel pakket geboden door libvoaacenc-ocaml
- libvoikko-dev (4.2-1)
- Development files for libvoikko
- libvoikko1 (4.2-1)
- Library of free natural language processing tools
- libvolk1-bin (1.4-3+b1)
- vector optimized runtime tools
- libvolk1-dev (1.4-3+b1)
- vector optimized function headers
- libvolk1.4 (1.4-3+b1)
- vector optimized functions
- libvolpack-dev
- virtueel pakket geboden door libvolpack1-dev
- libvolpack1 (1.0b3-7)
- fast volume rendering library
- libvolpack1-dev (1.0b3-7)
- fast volume rendering library (development package)
- libvolume-key-dev (0.3.12-2+b1)
- Library for manipulating storage encryption keys (dev files)
- libvolume-key1 (0.3.12-2+b1)
- Library for manipulating storage encryption keys and passphrases
- libvoms-api-java-java (3.3.0-2)
- Virtual Organization Membership Service Java API
- libvoms-api-java-java-doc (3.3.0-2)
- Virtual Organization Membership Service Java API Documentation
- libvomsapi1v5 (2.1.0~rc0-5+b1)
- Virtual Organization Membership Service C++ API
- libvorbis-dev (1.3.6-2)
- development files for Vorbis General Audio Compression Codec
- libvorbis-java (0.8-3)
- Ogg and Vorbis toolkit for Java
- libvorbis-ocaml (0.7.1-1)
- OCaml bindings for vorbis library
- libvorbis-ocaml-0cat3
- virtueel pakket geboden door libvorbis-ocaml
- libvorbis-ocaml-dev (0.7.1-1)
- OCaml bindings for the vorbis library
- libvorbis-ocaml-dev-0cat3
- virtueel pakket geboden door libvorbis-ocaml-dev
- libvorbis-ocaml-dev-ex9o0
- virtueel pakket geboden door libvorbis-ocaml-dev
- libvorbis-ocaml-ex9o0
- virtueel pakket geboden door libvorbis-ocaml
- libvorbis0a (1.3.6-2)
- decoder library for Vorbis General Audio Compression Codec
- libvorbisenc2 (1.3.6-2)
- encoder library for Vorbis General Audio Compression Codec
- libvorbisfile3 (1.3.6-2)
- high-level API for Vorbis General Audio Compression Codec
- libvorbisidec-dev (1.2.1+git20180316-3)
- Integer-only Ogg Vorbis decoder, AKA "tremor" (Development Files)
- libvorbisidec1 (1.2.1+git20180316-3)
- Integer-only Ogg Vorbis decoder, AKA "tremor"
- libvorbisspi-java (1.0.3-3)
- Java Service Provider Interface for the OGG Vorbis audio format
- libvoro++1 (0.4.6+dfsg1-3)
- library for the computation of the Voronoi diagram (shared library)
- libvotca-csg-dev (1.5-3)
- VOTCA's coarse-graining library, development kit
- libvotca-csg5 (1.5-3)
- VOTCA's coarse-graining library
- libvotca-tools-dev (1.5-2)
- VOTCA's tools library, development kit
- libvotca-tools5 (1.5-2)
- VOTCA's tools library
- libvotequorum-dev (3.0.1-2+deb10u1)
- cluster engine Votequorum library development
- libvotequorum8 (3.0.1-2+deb10u1)
- cluster engine Votequorum library
- libvpb-dbg (4.2.61-1)
- debugging symbols for libvpb and vpb-utils
- libvpb-dev (4.2.61-1)
- Voicetronix telephony hardware userspace library development files
- libvpb-doc (4.2.61-1)
- Voicetronix telephony interface library documentation
- libvpb1 (4.2.61-1)
- Voicetronix telephony hardware userspace interface library
- libvpx-dev (1.7.0-3+deb10u2) [security]
- VP8 and VP9 video codec (development files)
- libvpx-doc (1.7.0-3+deb10u2) [security]
- VP8 and VP9 video codec (API documentation)
- libvpx5 (1.7.0-3+deb10u2) [security]
- VP8 and VP9 video codec (shared library)
- libvshadow-dev (20190127-1)
- Volume Shadow Snapshot format access library -- development files
- libvshadow-utils (20190127-1)
- Volume Shadow Snapshot format access library -- Utilities
- libvshadow1 (20190127-1)
- Volume Shadow Snapshot format access library
- libvslvm-dev (20181227-1)
- Linux LVM volume system format access library -- development files
- libvslvm-utils (20181227-1)
- Linux LVM volume system format access library -- Utilities
- libvslvm1 (20181227-1)
- Linux LVM volume system format access library
- libvsqlitepp-dev (0.3.13-4)
- SQLite3 Wrapper for C++ -- development files
- libvsqlitepp-doc (0.3.13-4)
- SQLite3 Wrapper for C++ -- API documentation
- libvsqlitepp3v5 (0.3.13-4)
- SQLite3 Wrapper for C++
- libvt-ldap-java (3.3.8-1)
- Virginia Tech LDAP Libraries
- libvt-ldap-java-doc (3.3.8-1)
- Documentation for VT LDAP Libraries
- libvte-2.91-0 (0.54.2-2)
- Terminal emulator widget for GTK+ 3.0 - runtime files
- libvte-2.91-0-udeb (0.54.2-2)
- Terminal emulator widget for GTK+ 3.0 - minimal runtime
- libvte-2.91-common (0.54.2-2)
- Terminal emulator widget for GTK+ 3.0 - common files
- libvte-2.91-dev (0.54.2-2)
- Terminal emulator widget for GTK+ 3.0 - development files
- libvte-2.91-doc (0.54.2-2)
- Terminal emulator widget for GTK+ 3.0 - documentation
- libvte-common (1:0.28.2-6)
- Terminal emulator widget for GTK+ 2.x - common files
- libvte-dev (1:0.28.2-6)
- Terminal emulator widget for GTK+ 2.0 - development files
- libvte9 (1:0.28.2-6)
- Terminal emulator widget for GTK+ 2.0 - runtime files
- libvte9-udeb (1:0.28.2-6)
- Terminal emulator widget for GTK+ 2.0 - minimal runtime
- libvted-3-0 (3.8.5-1)
- Terminal emulator widget for GTK+ - D bindings
- libvted-3-dev (3.8.5-1)
- Terminal emulator widget for GTK+ - development files for D
- libvterm-bin (0~bzr718-1)
- abstract terminal library (utility programs)
- libvterm-dev (0~bzr718-1)
- abstract terminal library (development files)
- libvterm0 (0~bzr718-1)
- abstract terminal library
- libvtk-dicom-dev (0.8.9-1)
- DICOM for VTK - dev
- libvtk6-dev (6.3.0+dfsg2-2+b5)
- VTK header files
- libvtk6-java (6.3.0+dfsg2-2+b5)
- Visualization Toolkit - A high level 3D visualization library - java
- libvtk6-jni (6.3.0+dfsg2-2+b5)
- Visualization Toolkit - A high level 3D visualization library - java
- libvtk6-qt-dev (6.3.0+dfsg2-2+b5)
- VTK header files, containing Qt files
- libvtk6.3 (6.3.0+dfsg2-2+b5)
- VTK libraries
- libvtk6.3-qt (6.3.0+dfsg2-2+b5)
- VTK libraries, Qt files
- libvtk7-dev (7.1.1+dfsg1-12+b1)
- VTK header files
- libvtk7-java (7.1.1+dfsg1-12+b1)
- Visualization Toolkit - A high level 3D visualization library - java
- libvtk7-jni (7.1.1+dfsg1-12+b1)
- Visualization Toolkit - A high level 3D visualization library - java
- libvtk7-qt-dev (7.1.1+dfsg1-12+b1)
- VTK header files, containing Qt files
- libvtk7.1 (7.1.1+dfsg1-12+b1)
- VTK libraries
- libvtk7.1-qt (7.1.1+dfsg1-12+b1)
- VTK libraries, Qt files
- libvtkdicom0.8 (0.8.9-1)
- DICOM for VTK - lib
- libvtkgdcm-cil (2.8.8-9)
- Grassroots DICOM VTK CLI bindings
- libvtkgdcm-java (2.8.8-9)
- Grassroots DICOM VTK Java bindings
- libvtkgdcm-tools (2.8.8-9)
- Grassroots DICOM VTK tools and utilities
- libvtkgdcm2-dev (2.8.8-9)
- Grassroots DICOM VTK development libraries and headers
- libvtkgdcm2.8a (2.8.8-9)
- Grassroots DICOM VTK runtime libraries
- libvulkan-dev (1.1.97-2)
- Vulkan loader library -- development files
- libvulkan1 (1.1.97-2)
- Vulkan loader library
- libvuser-google-api-perl (1.0.1-1)
- Perl module that implements the Google Apps Provisioning API
- libwacom-bin (0.32-1)
- Wacom model feature query library -- binaries
- libwacom-common (0.32-1)
- Wacom model feature query library (common files)
- libwacom-common-udeb (0.32-1)
- Wacom model feature query library (common files)
- libwacom-dev (0.32-1)
- Wacom model feature query library (development files)
- libwacom2 (0.32-1)
- Wacom model feature query library
- libwacom2-dbg (0.32-1)
- Wacom model feature query library (debug files)
- libwacom2-udeb (0.32-1)
- Wacom model feature query library
- libwaei-dev (3.6.2-5+b1)
- Japanese-English Dictionary for GNOME
- libwaei2 (3.6.2-5+b1)
- Japanese-English Dictionary for GNOME
- libwaffle-1-0 (1.5.2-4)
- Waffle library (binaries)
- libwaffle-dev (1.5.2-4)
- Waffle library (development files)
- libwaffle-doc (1.5.2-4)
- Waffle library (documentation)
- libwagon-file-java (3.3.1-2)
- Artifact transport abstraction used in Maven (file provider)
- libwagon-ftp-java (3.3.1-2)
- Artifact transport abstraction used in Maven (FTP provider)
- libwagon-http-java (3.3.1-2)
- Artifact transport abstraction used in Maven (HTTP providers)
- libwagon-http-shaded-java (3.3.1-2)
- Artifact transport abstraction used in Maven (HTTP providers with dependencies)
- libwagon-java (3.3.1-2)
- Artifact transport abstraction used in Maven (transitional package)
- libwagon-provider-api-java (3.3.1-2)
- Artifact transport abstraction used in Maven (Provider API)
- libwagon-ssh-java (3.3.1-2)
- Artifact transport abstraction used in Maven (SSH providers)
- libwaili-dev (19990723-22.1+b2)
- WAILI is a wavelet transform library (develop. files)
- libwaili-doc
- virtueel pakket geboden door libwaili-dev
- libwaili-test
- virtueel pakket geboden door libwaili-dev
- libwaili1c2 (19990723-22.1+b2)
- WAILI is a wavelet transform library
- libwala-java (1.5.1-1)
- Static analysis on Java bytecode and related languages
- libwala-java-doc (1.5.1-1)
- Static analysis on Java bytecode and related languages - Docs
- libwandio-dev
- virtueel pakket geboden door libwandio1-dev
- libwandio1 (3.0.21-1+b2)
- multi-threaded file compression and decompression library
- libwandio1-dev (3.0.21-1+b2)
- development headers for the libwandio library
- libwant-perl (0.29-1+b4)
- generalisation of wantarray
- libwarnings-illegalproto-perl (0.001003-1)
- pragma to disable illegal prototype warnings on old Perls
- libwavpack-dev (5.1.0-6+deb10u1)
- audio codec (lossy and lossless) - development files
- libwavpack1 (5.1.0-6+deb10u1)
- audio codec (lossy and lossless) - library
- libwayland-bin (1.16.0-1)
- wayland compositor infrastructure - binary utilities
- libwayland-client0 (1.16.0-1)
- wayland compositor infrastructure - client library
- libwayland-cursor0 (1.16.0-1)
- wayland compositor infrastructure - cursor library
- libwayland-dev (1.16.0-1)
- wayland compositor infrastructure - development files
- libwayland-doc (1.16.0-1)
- wayland compositor infrastructure - documentation files
- libwayland-egl-backend-dev (1.16.0-1)
- wayland compositor infrastructure - EGL backend development files
- libwayland-egl1 (1.16.0-1)
- wayland compositor infrastructure - EGL library
- libwayland-egl1-mesa (18.3.6-2+deb10u1)
- transitional dummy package
- libwayland-egl1-mesa
- virtueel pakket geboden door mali-t62x-wayland-driver, libwayland-egl1, mali-t76x-wayland-driver
- libwayland-server0 (1.16.0-1)
- wayland compositor infrastructure - server library
- libwbclient-dev (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba winbind client library - development files
- libwbclient-sssd (1.16.3-3.2+deb10u2) [security]
- SSSD libwbclient implementation
- libwbclient-sssd-dev (1.16.3-3.2+deb10u2) [security]
- SSSD libwbclient implementation -- development files
- libwbclient0 (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba winbind client library
- libwbxml2-0 (0.10.7-1+b2)
- WBXML parsing and encoding library
- libwbxml2-0-dbg (0.10.7-1+b2)
- WBXML library development file
- libwbxml2-dev (0.10.7-1+b2)
- WBXML library development file
- libwbxml2-utils (0.10.7-1+b2)
- Binary XML utilities
- libwcat1 (1.1-1.1)
- Process monitoring library
- libwcat1-dev (1.1-1.1)
- Process monitoring library
- libwcs6 (6.2-2)
- Implementation of the FITS WCS standard
- libwcstools-dev (3.9.5-3)
- Handle the WCS of a FITS image (development files)
- libwcstools1 (3.9.5-3)
- Handle the WCS of a FITS image (shared library)
- libweasel-perl (0.20-1)
- PHP's Mink inspired multi-protocol web-testing library for Perl
- libweasel-widgets-dojo-perl (0.02-1)
- Dojo Widgets for Weasel
- libweather-ion7 (4:5.14.5.1-1)
- Plasma Workspace for KF5 library
- libweb-api-perl (2.4.1-1)
- simple base module for implementing RESTful APIs
- libweb-id-perl (1.927-2)
- implementation of WebID (a.k.a. FOAF+SSL)
- libweb-machine-perl (0.17-1)
- Perl port of Webmachine
- libweb-mrest-cli-perl (0.283-1)
- CLI components for Web::MEST-based applications
- libweb-mrest-perl (0.288-1)
- minimalistic REST server
- libweb-query-perl (0.39-1)
- perl web scraping library like jQuery
- libweb-scraper-perl (0.38-1)
- Web Scraping Toolkit using HTML and CSS Selectors or XPath expressions
- libweb-simple-perl (0.033-1)
- simple web framework
- libwebauth-dev (4.7.0-7)
- Development files for WebAuth authentication
- libwebauth-perl (4.7.0-7)
- Perl library for WebAuth authentication
- libwebauth12 (4.7.0-7)
- Shared libraries for WebAuth authentication
- libwebcam0 (0.2.4-1.1+b2)
- Webcam Library
- libwebcam0-dbg (0.2.4-1.1+b2)
- Debug Symbols for the Webcam Library
- libwebcam0-dev (0.2.4-1.1+b2)
- Webcam Library - Development files
- libwebinject-perl (1.94-1)
- Perl Module for testing web services
- libwebjars-locator-core-java (0.30-1)
- WebJars Locator Core
- libwebjars-locator-java (0.32-1)
- WebJars Locator
- libwebkdc-perl (4.7.0-7)
- Perl libraries for WebAuth central login server
- libwebkit2-sharp-4.0-cil (2.10.9+git20160917-1.1)
- CLI bindings for WebKitGTK+ 4.0 using GObject Introspection
- libwebkit2-sharp-4.0-cil-dev (2.10.9+git20160917-1.1)
- CLI bindings for WebKitGTK+ 4.0 using GObject Introspection - development
- libwebkit2gtk-4.0-37 (2.38.6-0+deb10u1) [security]
- Web content engine library for GTK
- libwebkit2gtk-4.0-dev (2.38.6-0+deb10u1) [security]
- Web content engine library for GTK - development files
- libwebkit2gtk-4.0-doc (2.38.6-0+deb10u1) [security]
- Web content engine library for GTK - documentation
- libwebp-dev (0.6.1-2+deb10u3) [security]
- Lossy compression of digital photographic images.
- libwebp6 (0.6.1-2+deb10u3) [security]
- Lossy compression of digital photographic images.
- libwebpdemux2 (0.6.1-2+deb10u3) [security]
- Lossy compression of digital photographic images.
- libwebpmux3 (0.6.1-2+deb10u3) [security]
- Lossy compression of digital photographic images.
- libwebrtc-audio-processing-dev (0.3-1)
- development files for the webrtc-audio-processing library
- libwebrtc-audio-processing1 (0.3-1)
- AudioProcessing module from the WebRTC project.
- libwebservice-cia-perl (1.4-3)
- get information from the CIA World Factbook via Perl
- libwebservice-ils-perl (0.17-1)
- Standardised library discovery/circulation services
- libwebservice-musicbrainz-perl (1.0.4-2)
- XML based Web service API to the MusicBrainz database
- libwebservice-solr-perl (0.42-1)
- Perl interface for the Solr (Lucene) web service
- libwebservice-validator-css-w3c-perl (0.3-1)
- interface to the W3C CSS Validator
- libwebservice-validator-html-w3c-perl (0.28-2)
- Perl interface to access the W3C's online HTML validator
- libwebservice-youtube-perl (1.0.3-4)
- Perl module that provides an interface to YouTube services
- libwebsocket-api-java (1.1-1+deb10u1)
- Java WebSocket API
- libwebsocketpp-dev (0.8.1-1)
- C++/Boost Asio based websocket client/server library. Dev package
- libwebsocketpp-doc (0.8.1-1)
- C++/Boost Asio based websocket client/server library. Doc package
- libwebsockets-dev (2.0.3-3)
- lightweight C websockets library - development files
- libwebsockets-test-server (2.0.3-3)
- lightweight C websockets library - test servers
- libwebsockets-test-server-common (2.0.3-3)
- lightweight C websockets library - test servers data
- libwebsockets8 (2.0.3-3)
- lightweight C websockets library
- libweed-dev (2.10.0-1)
- Development library for inclusion of plugins into LiVES
- libweed0 (2.10.0-1)
- Runtime library for inclusion of plugins into LiVES
- libwerken.xpath-java (0.9.4-15)
- JDOM XPath Engine
- libwerken.xpath-java-doc (0.9.4-15)
- JDOM XPath Engine - documentation
- libweston-5-0 (5.0.0-3)
- reference implementation of a wayland compositor (shared libs)
- libweston-5-dev (5.0.0-3)
- reference implementation of a wayland compositor (shared libs)
- libweupnp-java (0.1.4-1)
- Tiny UPnP library written in Java
- libweupnp-java-doc (0.1.4-1)
- Documentation for weupnp
- libwfmath-1.0-1v5 (1.0.2+dfsg1-6)
- WorldForge math library
- libwfmath-1.0-dev (1.0.2+dfsg1-6)
- WorldForge math library - development files
- libwfmath-doc (1.0.2+dfsg1-6)
- WorldForge math library - API documentation
- libwfut-0.2-1 (0.2.3-6)
- WorldForge Update Tool (libraries)
- libwfut-0.2-dev (0.2.3-6)
- WorldForge Update Tool (development files)
- libwgdb-dev (0.7.3-4)
- lightweight NoSQL database library, development files
- libwgdb0 (0.7.3-4)
- lightweight NoSQL database library, shared library
- libwget0 (1.99.1-2)
- Download library for files and recursive websites
- libwhisker2-perl (2.5-1)
- Perl module geared for HTTP testing
- libwhy3-ocaml-dev (1.2.0-1)
- OCaml librariries for why3 (dev)
- libwhy3-ocaml-dev-id6p5
- virtueel pakket geboden door libwhy3-ocaml-dev
- libwhy3-ocaml-dev-taux7
- virtueel pakket geboden door libwhy3-ocaml-dev
- libwhy3-ocaml-dev-vgc57
- virtueel pakket geboden door libwhy3-ocaml-dev
- libwhy3-ocaml-dev-ze1w0
- virtueel pakket geboden door libwhy3-ocaml-dev
- libwibble-dev (1.1-2)
- Library of various useful C++ code
- libwiki-toolkit-formatter-usemod-perl (0.25-1)
- UseModWiki-style formatting for CGI::Wiki
- libwiki-toolkit-perl (0.85-1)
- Toolkit for building Wikis
- libwiki-toolkit-plugin-categoriser-perl (0.08-1)
- Category management for Wiki::Toolkit
- libwiki-toolkit-plugin-diff-perl (0.12-4)
- format differences between two Wiki::Toolkit pages
- libwiki-toolkit-plugin-json-perl (0.05-1)
- Wiki::Toolkit plugin to output RecentChanges JSON
- libwiki-toolkit-plugin-locator-grid-perl (0.05-5)
- A Wiki::Toolkit plugin to manage co-ordinate data
- libwiki-toolkit-plugin-ping-perl (0.03-2)
- "ping" various services when nodes are written
- (1.6-3)
- module to retrieve RSS feeds for inclusion in Wiki::Toolkit nodes
- libwildfly-client-config-java (1.0.1-1)
- Wildfly Client Configuration Library
- libwildfly-common-java (1.4.0-2)
- Wildfly common utilities project
- libwildmagic-common (5.13-1)
- libraries for mathematics, physics, numerical methods - data files
- libwildmagic-dev (5.13-1+b2)
- libraries for mathematics, physics, numerical methods - dev files
- libwildmagic-examples (5.13-1+b2)
- libraries for mathematics, physics, numerical methods - example files
- libwildmagic5 (5.13-1+b2)
- libraries for mathematics, physics, numerical methods - runtime
- libwildmagic5-dbg (5.13-1+b2)
- libraries for mathematics, physics, numerical methods - debugging symbols
- libwildmidi-config (0.4.3-1)
- software MIDI player configuration
- libwildmidi-dev (0.4.3-1)
- software MIDI player library headers
- libwildmidi2 (0.4.3-1)
- software MIDI player library
- libwim-dev (1.13.0-1+b1)
- Library for manipulating Windows Imaging files - development files
- libwim-doc (1.13.0-1)
- Library for manipulating Windows Imaging files - API documentation
- libwim15 (1.13.0-1+b1)
- Library for manipulating Windows Imaging files
- libwin-hivex-perl (1.3.18-1+deb10u1)
- Perl bindings to hivex
- libwin32-exe-perl (0.17-2)
- Manipulate Win32 executable files
- libwind0-heimdal (7.5.0+dfsg-3+deb10u2) [security]
- Heimdal Kerberos - stringprep implementation
- libwine (4.0-2)
- Windows API implementation - library
- libwine-dev (4.0-2)
- Windows API implementation - development files
- libwine-development (4.2-4+b1)
- Windows API implementation - library
- libwine-development-dev (4.2-4+b1)
- Windows API implementation - development files
- libwings-dev (0.95.8-3)
- Window Maker's own widget set
- libwings3 (0.95.8-3)
- Window Maker's own widget set - runtime library
- libwinpr-tools2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- Windows Portable Runtime Tools library
- libwinpr2-2 (2.3.0+dfsg1-2+deb10u4) [security]
- Windows Portable Runtime library
- libwinpr2-dev (2.3.0+dfsg1-2+deb10u4) [security]
- Windows Portable Runtime library (development files)
- libwiredtiger-dev (3.1.0+ds-1)
- high performance, scalable, NoSQL, extensible platform (development)
- libwiredtiger-java (3.1.0+ds-1)
- Java language support for WiredTiger
- libwiredtiger0 (3.1.0+ds-1)
- high performance, scalable, NoSQL, extensible platform (library)
- libwireshark-data (2.6.20-0+deb10u8) [security]
- network packet dissection library -- data files
- libwireshark-dev (2.6.20-0+deb10u8) [security]
- network packet dissection library -- development files
- libwireshark11 (2.6.20-0+deb10u8) [security]
- network packet dissection library -- shared library
- libwiretap-dev (2.6.20-0+deb10u8) [security]
- network packet capture library -- development files
- libwiretap8 (2.6.20-0+deb10u8) [security]
- network packet capture library -- shared library
- libwmaker-dev (0.95.8-3)
- Static libraries and headers for Window Maker applications
- libwmaker1 (0.95.8-3)
- Runtime library for Window Maker applications
- libwmf-bin (0.2.8.4-14)
- Windows metafile conversion tools
- libwmf-dev (0.2.8.4-14)
- Windows metafile conversion development
- libwmf-doc (0.2.8.4-14)
- Windows metafile documentation
- libwmf0.2-7 (0.2.8.4-14)
- Windows metafile conversion library
- libwmf0.2-7-gtk (0.2.8.4-14)
- Windows metafile conversion library
- libwnck-3-0 (3.30.0-2)
- Window Navigator Construction Kit - runtime files
- libwnck-3-common (3.30.0-2)
- Window Navigator Construction Kit - common files
- libwnck-3-dev (3.30.0-2)
- Window Navigator Construction Kit - development files
- libwnck-common (2.30.7-6)
- Window Navigator Construction Kit - common files
- libwnck-dev (2.30.7-6)
- Window Navigator Construction Kit - development files
- libwnck-doc (2.30.7-6)
- Window Navigator Construction Kit - documentation files
- libwnck22 (2.30.7-6)
- Window Navigator Construction Kit - runtime files
- libwnn-dev (1.1.1~a021+cvs20130302-7+b1)
- Header files and static libraries for Wnn (FreeWnn jserver)
- libwnn0 (1.1.1~a021+cvs20130302-7+b1)
- FreeWnn library for Wnn (FreeWnn jserver)
- libwnn6-1 (1.0.0-18)
- Wnn6 client library
- libwnn6-dev (1.0.0-18)
- Header files and static library for Wnn6 client library
- libwoff-dev (1.0.2-1)
- library for converting fonts to WOFF 2.0 - development files
- libwoff1 (1.0.2-1)
- library for converting fonts to WOFF 2.0
- libwoodstox-java (1:5.1.0-2)
- High-performance XML processor
- libwordnet-querydata-perl (1.49-1)
- Perl interface to WordNet database
- libwpd-0.10-10 (0.10.3-1)
- Library for handling WordPerfect documents (shared library)
- libwpd-dev (0.10.3-1)
- Library for handling WordPerfect documents (development)
- libwpd-doc (0.10.3-1)
- Library for handling WordPerfect documents (documentation)
- libwpd-tools (0.10.3-1)
- Tools from libwpd for converting WordPerfect to HTML/RAW/Text
- libwpg-0.3-3 (0.3.3-1)
- WordPerfect graphics import/convert library (shared library)
- libwpg-dev (0.3.3-1)
- WordPerfect graphics import/convert library (development)
- libwpg-doc (0.3.3-1)
- WordPerfect graphics import/convert library (documentation)
- libwpg-tools (0.3.3-1)
- Tools from libwpg for converting WP Graphics to Raw/SVG
- libwps-0.4-4 (0.4.10-1)
- Works text file format import filter library (shared library)
- libwps-dev (0.4.10-1)
- Works text file format import filter library (development)
- libwps-doc (0.4.10-1)
- Works text file format import filter library (documentation)
- libwps-tools (0.4.10-1)
- Tools from libwps for converting Works text documents to HTML/RAW/Text
- libwrap0 (7.6.q-28)
- Wietse Venema's TCP wrappers library
- libwrap0-dev (7.6.q-28)
- Wietse Venema's TCP wrappers library, development files
- libwraster-dev (0.95.8-3)
- Static libraries and headers of Window Maker rasterizer
- libwraster6 (0.95.8-3)
- Shared libraries of Window Maker rasterizer
- libwreport-dev (3.15-1)
- library for working with weather reports
- libwreport-doc (3.15-1)
- documentation for libwreport
- libwreport3 (3.15-1)
- shared library for working with weather reports
- libwriter2latex-java (1.4-8)
- OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- library
- libwriter2latex-java-doc (1.4-8)
- OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- javadoc
- libws-commons-util-java (1.0.1-10)
- Common utilities from the Apache Web Services Project
- libwsclean2 (2.6-1+b3)
- Fast generic widefield interferometric imager (shared library)
- libwscodecs2 (2.6.20-0+deb10u8) [security]
- network packet dissection codecs library -- shared library
- libwsdl4j-java (1.6.3-4)
- Webservice description language for Java
- libwsdl4j-java-doc (1.6.3-4)
- Documentation for Java Web Services Library
- libwslay-dev (1.1.0-1)
- WebSocket library written in C. Development files
- libwslay-doc (1.1.0-1)
- WebSocket library written in C. Documentation
- libwslay1 (1.1.0-1)
- WebSocket library written in C. Shared library
- libwss4j-java (1.6.19-2)
- Apache WSS4J WS-Security implementation
- libwsutil-dev (2.6.20-0+deb10u8) [security]
- network packet dissection utilities library -- development files
- libwsutil9 (2.6.20-0+deb10u8) [security]
- network packet dissection utilities library -- shared library
- libwutil5 (0.95.8-3)
- Window Maker's own widget set - utility library
- libwv-1.2-4 (1.2.9-4.2+b2)
- Library for accessing Microsoft Word documents
- libwv-dev (1.2.9-4.2+b2)
- Development files for the wvWare library
- libwvstreams-dev (4.6.1-14)
- Development libraries and header files for libwvstreams4.6
- libwvstreams4.6-base (4.6.1-14)
- C++ network libraries for rapid application development
- libwvstreams4.6-dev
- virtueel pakket geboden door libwvstreams-dev
- libwvstreams4.6-doc (4.6.1-14)
- Documentation for WvStreams
- (4.6.1-14)
- C++ network libraries for rapid application development
- libwww-bugzilla-perl (1.5-2)
- Perl module to manipulate a Bugzilla database
- libwww-csrf-perl (1.00-1)
- Perl module to generate and check tokens to protect against CSRF attacks
- libwww-curl-perl (4.17-5+b2 [armhf], 4.17-5 [amd64, arm64, i386])
- Perl bindings to libcurl
- libwww-dict-leo-org-perl (2.02-1)
- interface module to dict.leo.org online dictionary
- libwww-facebook-api-perl (0.4.18-2)
- Facebook API implementation
- libwww-form-urlencoded-perl (0.25-1)
- parser and builder for application/x-www-form-urlencoded format
- libwww-form-urlencoded-xs-perl (0.25-1+b1)
- XS implementation of application/x-www-form-urlencoded parser/builder
- libwww-freshmeat-perl (0.22-2)
- module to automate searches on Freshmeat.net
- libwww-google-calculator-perl (0.07-2)
- Perl interface for Google calculator
- libwww-indexparser-perl (0.91-1.1)
- Fetch and parse the directory index from a web server
- (0.02-2)
- plugin for WWW::Mechanize for automatic pagination
- libwww-mechanize-formfiller-perl (0.12-1)
- framework to automate HTML forms
- libwww-mechanize-gzip-perl (0.14-1)
- Perl module to fetch webpages with gzip-compression
- libwww-mechanize-perl (1.91-2)
- module to automate interaction with websites
- libwww-mechanize-shell-perl (0.57-1)
- interactive shell for WWW::Mechanize
- libwww-mechanize-treebuilder-perl (1.20000-1)
- Perl module integrating WWW::Mechanize and HTML::TreeBuilder
- libwww-mediawiki-client-perl (0.31-2)
- simple CVS-like interface for editing MediaWiki websites
- libwww-nicovideo-download-perl (0.06-2)
- Download FLV/MP4/SWF files from nicovideo.jp
- libwww-oauth-perl (1.000-1)
- portable OAuth 1.0 authentication module
- libwww-opensearch-perl (0.17-1)
- search OpenSearch compatible web sites
- libwww-perl (6.36-2)
- simple and consistent interface to the world-wide web
- libwww-robotrules-perl (6.02-1)
- database of robots.txt-derived permissions
- libwww-search-perl (2.51.80-1)
- Perl modules which provide an API to WWW search engines
- libwww-shorten-5gp-perl (1.030-1)
- Perl library for short URLs using http://5.gp
- libwww-shorten-github-perl (0.1.7-1)
- shorten GitHub URLs using GitHub's URL shortener
- libwww-shorten-perl (3.093-1)
- interface to URL shortening sites
- libwww-shorten-simple-perl (0.02-1)
- factory wrapper around WWW::Shorten to avoid imports
- libwww-wikipedia-perl (2.05-1)
- perl module that provides an automated interface to Wikipedia
- libwww-youtube-download-perl (0.60-1)
- module for downloading video from YouTube
- libwwwbrowser-perl (2.23-3)
- Platform independent means to start a WWW browser
- libwx-glcanvas-perl (0.09-5+b1)
- Perl interface to wxWidgets' OpenGL canvas
- libwx-perl (1:0.9932-5+b1)
- interface to wxWidgets cross-platform GUI toolkit
- libwx-perl-datawalker-perl (0.02-2)
- Perl data structure browser
- libwx-perl-processstream-perl (0.32-1)
- Wx::Perl module to access IO of external processes via events
- libwx-scintilla-perl (0.39-4+b1)
- source code editor component for wxWidgets
- libwxbase3.0-0v5 (3.0.4+dfsg-8)
- wxBase library (runtime) - non-GUI support classes of wxWidgets toolkit
- libwxbase3.0-dev (3.0.4+dfsg-8)
- wxBase library (development) - non-GUI support classes of wxWidgets toolkit
- libwxgtk-media3.0-0v5 (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ media library runtime)
- libwxgtk-media3.0-dev (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ media library development)
- libwxgtk-media3.0-gtk3-0v5 (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 media library runtime)
- libwxgtk-media3.0-gtk3-dev (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 media library development)
- libwxgtk-webview3.0-gtk3-0v5 (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 webview library runtime)
- libwxgtk-webview3.0-gtk3-dev (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 webview library development)
- libwxgtk3.0-0v5 (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ runtime)
- libwxgtk3.0-dev (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ development)
- libwxgtk3.0-gtk3-0v5 (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 runtime)
- libwxgtk3.0-gtk3-dev (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (GTK+ 3 development)
- libwxsmithlib-dev (16.01+dfsg-2.1)
- wxSmith development files (Code::Blocks plugin for RAD GUI editing)
- libwxsmithlib0 (16.01+dfsg-2.1)
- wxSmith shared library (Code::Blocks plugin for RAD GUI editing)
- libwxsqlite3-3.0-0 (3.4.1~dfsg-3)
- SQLite3 C++ wrapper for use in programs based on the wxWidgets
- libwxsqlite3-3.0-dev (3.4.1~dfsg-3)
- Development files for wxSQLite3
- libwxsvg-dev (2:1.5.15+dfsg.2-1)
- Development files for wxSVG
- libwxsvg-tools (2:1.5.15+dfsg.2-1)
- SVG library for the wxWidgets toolkit (tools)
- libwxsvg3 (2:1.5.15+dfsg.2-1)
- SVG library for the wxWidgets toolkit
- libx11-6 (2:1.6.7-1+deb10u4) [security]
- X11 client-side library
- libx11-6-udeb (2:1.6.7-1+deb10u2)
- X11 client-side library
- libx11-data (2:1.6.7-1+deb10u4) [security]
- X11 client-side library
- libx11-dev (2:1.6.7-1+deb10u4) [security]
- X11 client-side library (development headers)
- libx11-doc (2:1.6.7-1+deb10u4) [security]
- X11 client-side library (development documentation)
- libx11-freedesktop-desktopentry-perl (0.04-4)
- perl interface to Freedesktop.org .desktop files
- libx11-guitest-perl (0.28-2+b1)
- collection of functions for X11 GUI testing/interaction
- libx11-keyboard-perl (1.4-7)
- keyboard support functions for X11
- libx11-protocol-other-perl (30-1)
- miscellaneous X11::Protocol helpers
- libx11-protocol-perl (0.56-7)
- Perl module for the X Window System Protocol, version 11
- libx11-windowhierarchy-perl (0.004-1)
- Perl module for retrieving the current X11 window hierarchy
- libx11-xcb-dev (2:1.6.7-1+deb10u4) [security]
- Xlib/XCB interface library (development headers)
- libx11-xcb-perl (0.18-1+b1)
- perl bindings for libxcb
- libx11-xcb1 (2:1.6.7-1+deb10u4) [security]
- Xlib/XCB interface library
- libx12-parser-perl (0.80-2)
- Perl module for parsing X12 Transaction files
- libx264-155 (2:0.155.2917+git0a84d98-2)
- x264 video coding library
- libx264-dev (2:0.155.2917+git0a84d98-2)
- development files for libx264
- libx265-165 (2.9-4)
- H.265/HEVC video stream encoder (shared library)
- libx265-dev (2.9-4)
- H.265/HEVC video stream encoder (development files)
- libx265-doc (2.9-4)
- H.265/HEVC video stream encoder (documentation)
- libx2go-config-perl (4.1.0.3-4)
- Perl X2Go::Config package
- libx2go-log-perl (4.1.0.3-4)
- Perl X2Go::Log package
- libx2go-server-db-perl (4.1.0.3-4)
- Perl X2Go::Server:DB package
- libx2go-server-perl (4.1.0.3-4)
- Perl X2Go::Server package
- libx2go-utils-perl (4.1.0.3-4)
- Perl X2Go::Utils package
- libx32asan4 (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (x32)
- libx32asan4-dbg (7.4.0-6)
- AddressSanitizer -- a fast memory error detector (x32 debug symbols)
- libx32asan5 (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (x32)
- libx32asan5-amd64-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector (x32)
- libx32asan5-dbg (8.3.0-6)
- AddressSanitizer -- a fast memory error detector (x32 debug symbols)
- libx32asan5-i386-cross (8.3.0-2cross1)
- AddressSanitizer -- a fast memory error detector (x32)
- libx32atomic1 (8.3.0-6)
- support library providing __atomic built-in functions (x32)
- libx32atomic1-amd64-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (x32)
- libx32atomic1-dbg (8.3.0-6)
- support library providing __atomic built-in functions (x32 debug symbols)
- libx32atomic1-i386-cross (8.3.0-2cross1)
- support library providing __atomic built-in functions (x32)
- libx32cilkrts5 (7.4.0-6)
- Intel Cilk Plus language extensions (x32)
- libx32cilkrts5-dbg (7.4.0-6)
- Intel Cilk Plus language extensions (x32 debug symbols)
- libx32gcc-7-dev (7.4.0-6)
- GCC support library (x32 development files)
- libx32gcc-8-dev (8.3.0-6)
- GCC support library (x32 development files)
- libx32gcc-8-dev-amd64-cross (8.3.0-2cross1)
- GCC support library (x32 development files)
- libx32gcc-8-dev-i386-cross (8.3.0-2cross1)
- GCC support library (x32 development files)
- libx32gcc1 (1:8.3.0-6)
- GCC support library (x32)
- libx32gcc1-amd64-cross (1:8.3.0-2cross1)
- GCC support library (x32)
- libx32gcc1-amd64-dcv1
- virtueel pakket geboden door libx32gcc1-amd64-cross
- libx32gcc1-dbg (1:8.3.0-6)
- GCC support library (debug symbols)
- libx32gcc1-i386-cross (1:8.3.0-2cross1)
- GCC support library (x32)
- libx32gcc1-i386-dcv1
- virtueel pakket geboden door libx32gcc1-i386-cross
- libx32gfortran-7-dev (7.4.0-6)
- Runtime library for GNU Fortran applications (x32 development files)
- libx32gfortran-8-dev (8.3.0-6)
- Runtime library for GNU Fortran applications (x32 development files)
- libx32gfortran-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (x32 development files)
- libx32gfortran-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (x32 development files)
- libx32gfortran4 (7.4.0-6)
- Runtime library for GNU Fortran applications (x32)
- libx32gfortran4-dbg (7.4.0-6)
- Runtime library for GNU Fortran applications (x32 debug symbols)
- libx32gfortran5 (8.3.0-6)
- Runtime library for GNU Fortran applications (x32)
- libx32gfortran5-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (x32)
- libx32gfortran5-dbg (8.3.0-6)
- Runtime library for GNU Fortran applications (x32 debug symbols)
- libx32gfortran5-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Fortran applications (x32)
- libx32go11 (7.4.0-6)
- Runtime library for GNU Go applications (x32)
- libx32go11-dbg (7.4.0-6)
- Runtime library for GNU Go applications (x32 debug symbols)
- libx32go13 (8.3.0-6)
- Runtime library for GNU Go applications (x32)
- libx32go13-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (x32)
- libx32go13-dbg (8.3.0-6)
- Runtime library for GNU Go applications (x32 debug symbols)
- libx32go13-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Go applications (x32)
- libx32gomp1 (8.3.0-6)
- GCC OpenMP (GOMP) support library (x32)
- libx32gomp1-amd64-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (x32)
- libx32gomp1-dbg (8.3.0-6)
- GCC OpenMP (GOMP) support library (x32 debug symbols)
- libx32gomp1-i386-cross (8.3.0-2cross1)
- GCC OpenMP (GOMP) support library (x32)
- libx32gphobos-7-dev (7.4.0-6)
- Phobos D standard library (x32 development files)
- libx32gphobos-8-dev (8.3.0-6)
- Phobos D standard library (x32 development files)
- libx32gphobos-8-dev-amd64-cross (8.3.0-2cross1)
- Phobos D standard library (x32 development files)
- libx32gphobos-8-dev-i386-cross (8.3.0-2cross1)
- Phobos D standard library (x32 development files)
- libx32gphobos71 (7.4.0-6)
- Phobos D standard library (runtime library)
- libx32gphobos71-dbg (7.4.0-6)
- Phobos D standard library (debug symbols)
- libx32gphobos76 (8.3.0-6)
- Phobos D standard library (runtime library)
- libx32gphobos76-amd64-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libx32gphobos76-dbg (8.3.0-6)
- Phobos D standard library (debug symbols)
- libx32gphobos76-i386-cross (8.3.0-2cross1)
- Phobos D standard library (runtime library)
- libx32itm1 (8.3.0-6)
- GNU Transactional Memory Library (x32)
- libx32itm1-amd64-cross (8.3.0-2cross1)
- GNU Transactional Memory Library (x32)
- libx32itm1-dbg (8.3.0-6)
- GNU Transactional Memory Library (x32 debug symbols)
- libx32itm1-i386-cross (8.3.0-2cross1)
- GNU Transactional Memory Library (x32)
- libx32lsan0 (8.3.0-6)
- LeakSanitizer -- a memory leak detector (x32)
- libx32lsan0-amd64-cross (8.3.0-2cross1)
- LeakSanitizer -- a memory leak detector (x32)
- libx32lsan0-dbg (8.3.0-6)
- LeakSanitizer -- a memory leak detector (x32 debug symbols)
- libx32objc-7-dev (7.4.0-6)
- Runtime library for GNU Objective-C applications (x32 development files)
- libx32objc-8-dev (8.3.0-6)
- Runtime library for GNU Objective-C applications (x32 development files)
- libx32objc-8-dev-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (x32 development files)
- libx32objc-8-dev-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (x32 development files)
- libx32objc4 (8.3.0-6)
- Runtime library for GNU Objective-C applications (x32)
- libx32objc4-amd64-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (x32)
- libx32objc4-dbg (8.3.0-6)
- Runtime library for GNU Objective-C applications (x32 debug symbols)
- libx32objc4-i386-cross (8.3.0-2cross1)
- Runtime library for GNU Objective-C applications (x32)
- libx32quadmath0 (8.3.0-6)
- GCC Quad-Precision Math Library (x32)
- libx32quadmath0-amd64-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library (x32)
- libx32quadmath0-dbg (8.3.0-6)
- GCC Quad-Precision Math Library (x32 debug symbols)
- libx32quadmath0-i386-cross (8.3.0-2cross1)
- GCC Quad-Precision Math Library (x32)
- libx32stdc++-7-dev (7.4.0-6)
- GNU Standard C++ Library v3 (development files)
- libx32stdc++-8-dev (8.3.0-6)
- GNU Standard C++ Library v3 (development files)
- libx32stdc++-8-dev-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (amd64)
- libx32stdc++-8-dev-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (development files) (i386)
- libx32stdc++6 (8.3.0-6)
- GNU Standard C++ Library v3 (x32)
- libx32stdc++6-7-dbg (7.4.0-6)
- GNU Standard C++ Library v3 (debugging files)
- libx32stdc++6-8-dbg (8.3.0-6)
- GNU Standard C++ Library v3 (debugging files)
- libx32stdc++6-amd64-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (x32)
- libx32stdc++6-amd64-dcv1
- virtueel pakket geboden door libx32stdc++6-amd64-cross
- libx32stdc++6-i386-cross (8.3.0-2cross1)
- GNU Standard C++ Library v3 (x32)
- libx32stdc++6-i386-dcv1
- virtueel pakket geboden door libx32stdc++6-i386-cross
- libx32ubsan0 (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (x32)
- libx32ubsan0-dbg (7.4.0-6)
- UBSan -- undefined behaviour sanitizer (x32 debug symbols)
- libx32ubsan1 (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (x32)
- libx32ubsan1-amd64-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (x32)
- libx32ubsan1-dbg (8.3.0-6)
- UBSan -- undefined behaviour sanitizer (x32 debug symbols)
- libx32ubsan1-i386-cross (8.3.0-2cross1)
- UBSan -- undefined behaviour sanitizer (x32)
- libx500-dn-perl (0.29-5)
- Perl parser and formatter for RFC 2253 style DN strings
- libx52pro-dev (0.1.1-2.3+b1)
- MFD and LED library for Saitek X52pro joysticks - dev files
- libx52pro0 (0.1.1-2.3+b1)
- MFD and LED library for Saitek x52pro joysticks
- libx86-1 (1.1+ds1-10.2)
- x86 real-mode library
- libx86-dbg (1.1+ds1-10.2)
- x86 real-mode library - debugging symbols
- libx86-dev (1.1+ds1-10.2)
- x86 real-mode library - development files
- libx86emu-dev (2.0-1)
- x86 emulation library (development files)
- libx86emu2 (2.0-1)
- x86 emulation library
- libxalan-c-dev (1.11-9)
- XSLT processor library for C++ [development]
- libxalan-c-doc (1.11-9)
- XSLT processor library for C++ [development docs]
- libxalan-c111 (1.11-9)
- XSLT processor library for C++
- libxalan2-java (2.7.2-2)
- XSL Transformations (XSLT) processor in Java
- libxalan2-java-doc (2.7.2-2)
- Documentation and examples for the Xalan-Java XSLT processor
- libxapian-dev (1.4.11-1+deb10u1) [security]
- Development files for Xapian search engine library
- libxapian-java (1.4.11-2)
- Xapian search engine interface for Java
- libxapian-java-doc (1.4.11-2)
- Xapian search engine interface for Java (documentation)
- libxapian-jni (1.4.11-2)
- Xapian search engine interface for Java (JNI library)
- libxapian30 (1.4.11-1+deb10u1) [security]
- Search engine library
- libxapp-dev (1.2.2-1)
- XApp library - development files
- libxapp1 (1.2.2-1)
- XApp library
- libxatracker-dev (18.3.6-2+deb10u1)
- X acceleration library -- development files
- libxatracker2 (18.3.6-2+deb10u1)
- X acceleration library -- runtime
- libxau-dev (1:1.0.8-1+b2)
- X11 authorisation library (development headers)
- libxau6 (1:1.0.8-1+b2)
- X11 authorisation library
- libxau6-dbg (1:1.0.8-1+b2)
- X11 authorisation library (debug package)
- libxau6-udeb (1:1.0.8-1+b2)
- X11 authorisation library
- libxaw-doc (2:1.0.13-1)
- X11 Athena Widget library (documentation)
- libxaw3dxft-dev
- virtueel pakket geboden door libxaw3dxft8-dev
- libxaw3dxft6 (2.9.1.4-3.2+b1)
- An extended version of Xaw3d with support for UTF8
- libxaw3dxft8 (1.6.2e-2)
- Extended version of Xaw3d with support for UTF8
- libxaw3dxft8-dev (1.6.2e-2)
- Extended version of Xaw3d with support for UTF8 (Development files)
- libxaw7 (2:1.0.13-1+b2)
- X11 Athena Widget library
- libxaw7-dbg (2:1.0.13-1+b2)
- X11 Athena Widget library (debug package)
- libxaw7-dev (2:1.0.13-1+b2)
- X11 Athena Widget library (development headers)
- libxbae-dev (4.60.4-7+b11)
- Xbae Matrix Widget development package
- libxbae4m (4.60.4-7+b11)
- Xbae Matrix Widget libraries
- libxbase64-1 (3.1.2-13)
- xbase compatible C++ class library (shared libraries)
- libxbase64-bin (3.1.2-13)
- xbase compatible C++ class library (utilities)
- libxbase64-dev (3.1.2-13)
- xbase compatible C++ class library (development files)
- libxbase64-doc (3.1.2-13)
- xbase compatible C++ class library (documentation)
- libxbean-java (4.5-8)
- plugin based Java application server
- libxbean-java-doc (4.5-8)
- API documentation for XBean
- libxbean-reflect-java (4.5-8)
- plugin based Java application server (xbean-reflect)
- libxc-dev (4.2.3-3)
- Library of Exchange-Correlation Functionals (development files)
- libxc5 (4.2.3-3)
- Library of Exchange-Correlation Functionals
- libxcb-composite0 (1.13.1-2)
- X C Binding, composite extension
- libxcb-composite0-dev (1.13.1-2)
- X C Binding, composite extension, development files
- libxcb-cursor-dev (0.1.1-4)
- utility libraries for X C Binding -- cursor, development files
- libxcb-cursor0 (0.1.1-4)
- utility libraries for X C Binding -- cursor
- libxcb-damage0 (1.13.1-2)
- X C Binding, damage extension
- libxcb-damage0-dev (1.13.1-2)
- X C Binding, damage extension, development files
- libxcb-doc (1.13.1-2)
- X C Binding, development documentation
- libxcb-dpms0 (1.13.1-2)
- X C Binding, dpms extension
- libxcb-dpms0-dev (1.13.1-2)
- X C Binding, dpms extension, development files
- libxcb-dri2-0 (1.13.1-2)
- X C Binding, dri2 extension
- libxcb-dri2-0-dev (1.13.1-2)
- X C Binding, dri2 extension, development files
- libxcb-dri3-0 (1.13.1-2)
- X C Binding, dri3 extension
- libxcb-dri3-dev (1.13.1-2)
- X C Binding, dri3 extension, development files
- libxcb-ewmh-dev (0.4.1-1.1)
- utility libraries for X C Binding -- ewmh, development files
- libxcb-ewmh2 (0.4.1-1.1)
- utility libraries for X C Binding -- ewmh
- libxcb-glx0 (1.13.1-2)
- X C Binding, glx extension
- libxcb-glx0-dev (1.13.1-2)
- X C Binding, glx extension, development files
- libxcb-icccm4 (0.4.1-1.1)
- utility libraries for X C Binding -- icccm
- libxcb-icccm4-dev (0.4.1-1.1)
- utility libraries for X C Binding -- icccm, development files
- libxcb-image0 (0.4.0-1+b2)
- utility libraries for X C Binding -- image
- libxcb-image0-dev (0.4.0-1+b2)
- utility libraries for X C Binding -- image, development files
- libxcb-imdkit-dev (0~20171205+ds1-3)
- XIM protocol implementation in XCB (development files)
- libxcb-imdkit0 (0~20171205+ds1-3)
- XIM protocol implementation in XCB
- libxcb-keysyms1 (0.4.0-1+b2)
- utility libraries for X C Binding -- keysyms
- libxcb-keysyms1-dev (0.4.0-1+b2)
- utility libraries for X C Binding -- keysyms, development files
- libxcb-present-dev (1.13.1-2)
- X C Binding, present extension, development files
- libxcb-present0 (1.13.1-2)
- X C Binding, present extension
- libxcb-randr0 (1.13.1-2)
- X C Binding, randr extension
- libxcb-randr0-dev (1.13.1-2)
- X C Binding, randr extension, development files
- libxcb-record0 (1.13.1-2)
- X C Binding, record extension
- libxcb-record0-dev (1.13.1-2)
- X C Binding, record extension, development files
- libxcb-render-util0 (0.3.9-1+b1)
- utility libraries for X C Binding -- render-util
- libxcb-render-util0-dev (0.3.9-1+b1)
- utility libraries for X C Binding -- render-util
- libxcb-render0 (1.13.1-2)
- X C Binding, render extension
- libxcb-render0-dev (1.13.1-2)
- X C Binding, render extension, development files
- libxcb-res0 (1.13.1-2)
- X C Binding, res extension
- libxcb-res0-dev (1.13.1-2)
- X C Binding, res extension, development files
- libxcb-screensaver0 (1.13.1-2)
- X C Binding, screensaver extension
- libxcb-screensaver0-dev (1.13.1-2)
- X C Binding, screensaver extension, development files
- libxcb-shape0 (1.13.1-2)
- X C Binding, shape extension
- libxcb-shape0-dev (1.13.1-2)
- X C Binding, shape extension, development files
- libxcb-shm0 (1.13.1-2)
- X C Binding, shm extension
- libxcb-shm0-dev (1.13.1-2)
- X C Binding, shm extension, development files
- libxcb-sync-dev (1.13.1-2)
- X C Binding, sync extension, development files
- libxcb-sync0-dev
- virtueel pakket geboden door libxcb-sync-dev
- libxcb-sync1 (1.13.1-2)
- X C Binding, sync extension
- libxcb-util0 (0.3.8-3+b2)
- utility libraries for X C Binding -- atom, aux and event
- libxcb-util0-dev (0.3.8-3+b2)
- utility libraries for X C Binding -- atom, aux and event
- libxcb-xf86dri0 (1.13.1-2)
- X C Binding, xf86dri extension
- libxcb-xf86dri0-dev (1.13.1-2)
- X C Binding, xf86dri extension, development files
- libxcb-xfixes0 (1.13.1-2)
- X C Binding, xfixes extension
- libxcb-xfixes0-dev (1.13.1-2)
- X C Binding, xfixes extension, development files
- libxcb-xinerama0 (1.13.1-2)
- X C Binding, xinerama extension
- libxcb-xinerama0-dev (1.13.1-2)
- X C Binding, xinerama extension, development files
- libxcb-xinput-dev (1.13.1-2)
- X C Binding, xinput extension, development files
- libxcb-xinput0 (1.13.1-2)
- X C Binding, xinput extension
- libxcb-xkb-dev (1.13.1-2)
- X C Binding, XKEYBOARD extension, development files
- libxcb-xkb1 (1.13.1-2)
- X C Binding, XKEYBOARD extension
- libxcb-xrm-dev (1.0-3)
- utility functions for the X resource manager, development files
- libxcb-xrm0 (1.0-3)
- utility functions for the X resource manager
- libxcb-xtest0 (1.13.1-2)
- X C Binding, xtest extension
- libxcb-xtest0-dev (1.13.1-2)
- X C Binding, xtest extension, development files
- libxcb-xv0 (1.13.1-2)
- X C Binding, xv extension
- libxcb-xv0-dev (1.13.1-2)
- X C Binding, xv extension, development files
- libxcb-xvmc0 (1.13.1-2)
- X C Binding, xvmc extension
- libxcb-xvmc0-dev (1.13.1-2)
- X C Binding, xvmc extension, development files
- libxcb1 (1.13.1-2)
- X C Binding
- libxcb1-dev (1.13.1-2)
- X C Binding, development files
- libxcb1-udeb (1.13.1-2)
- X C Binding
- libxcomp-dev (2:3.5.99.19-3+deb10u2)
- NX compression library (development headers)
- libxcomp3 (2:3.5.99.19-3+deb10u2)
- NX compression library
- libxcomp3-dev
- virtueel pakket geboden door libxcomp-dev
- libxcomposite-dev (1:0.4.4-2)
- X11 Composite extension library (development headers)
- libxcomposite1 (1:0.4.4-2)
- X11 Composite extension library
- libxcomposite1-dbg (1:0.4.4-2)
- X11 Composite extension library (debug package)
- libxcompshad-dev (2:3.5.99.19-3+deb10u2)
- NX shadowing library (development headers)
- libxcompshad3 (2:3.5.99.19-3+deb10u2)
- NX shadowing library
- libxcompshad3-dev
- virtueel pakket geboden door libxcompshad-dev
- libxcursor-dev (1:1.1.15-2)
- X cursor management library (development files)
- libxcursor1 (1:1.1.15-2)
- X cursor management library
- libxcursor1-udeb (1:1.1.15-2)
- X cursor management library
- libxdamage-dev (1:1.1.4-3+b3)
- X11 damaged region extension library (development headers)
- libxdamage1 (1:1.1.4-3+b3)
- X11 damaged region extension library
- libxdelta2 (1.1.3-9.2)
- Xdelta runtime library
- libxdelta2-dev (1.1.3-9.2)
- Xdelta development files
- libxdf-dev (0.98+dfsg-1)
- C++ library for loading XDF files (headers and static lib)
- libxdf0 (0.98+dfsg-1)
- C++ library for loading XDF files
- libxdffileio-dev (0.3-2.1)
- Library to read/write EEG data file formats (development files)
- libxdffileio0 (0.3-2.1)
- Library to read/write EEG data file formats
- libxdg-basedir-dev (1.2.0-2)
- Implementation of the XDG Base Directory Specifications (development files)
- libxdg-basedir1 (1.2.0-2)
- Implementation of the XDG Base Directory Specifications
- libxdg-basedir1-dbg (1.2.0-2)
- Implementation of the XDG Base Directory Specifications (debugging symbols)
- libxdmcp-dev (1:1.1.2-3)
- X11 authorisation library (development headers)
- libxdmcp6 (1:1.1.2-3)
- X11 Display Manager Control Protocol library
- libxdmcp6-dbg (1:1.1.2-3)
- X11 authorisation library (debug package)
- libxdmcp6-udeb (1:1.1.2-3)
- X11 Display Manager Control Protocol library
- libxdmf-dev (3.0+git20160803-5+b1)
- eXtensible Data Model and Format development tools
- libxdmf3 (3.0+git20160803-5+b1)
- eXtensible Data Model and Format library
- libxdo-dev (1:3.20160805.1-4)
- library for simulating X11 keyboard/mouse input
- libxdo3 (1:3.20160805.1-4)
- library for simulating (generating) X11 keyboard/mouse input events
- libxdot4 (2.40.1-6+deb10u1)
- rich set of graph drawing tools - xdot library
- libxen-dev (4.11.4+107-gef32c7afa2-1)
- Public headers and libs for Xen
- libxencall1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime library - libxencall
- libxendevicemodel1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxendevicemodel
- libxenevtchn1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxenevtchn
- libxenforeignmemory1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxenforeignmemory
- libxengnttab1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxengnttab
- libxenmisc4.11 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - miscellaneous, versioned ABI
- libxenstore3.0 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxenstore
- libxentoolcore1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxentoolcore
- libxentoollog1 (4.11.4+107-gef32c7afa2-1)
- Xen runtime libraries - libxentoollog
- libxerces-c-dev (3.2.2+debian-1+deb10u2) [security]
- validating XML parser library for C++ (development files)
- libxerces-c-doc (3.2.2+debian-1+deb10u2) [security]
- validating XML parser library for C++ (documentation)
- libxerces-c-samples (3.2.2+debian-1+deb10u2) [security]
- validating XML parser library for C++ (compiled samples)
- libxerces-c3-dev
- virtueel pakket geboden door libxerces-c-dev
- libxerces-c3-doc
- virtueel pakket geboden door libxerces-c-doc
- libxerces-c3-samples
- virtueel pakket geboden door libxerces-c-samples
- libxerces-c3.2 (3.2.2+debian-1+deb10u2) [security]
- validating XML parser library for C++
- libxerces2-java (2.12.0-1)
- Validating XML parser for Java with DOM level 3 support
- libxerces2-java-doc (2.12.0-1)
- Validating XML parser for Java -- Documentation and examples
- libxext-dev (2:1.3.3-1+b2)
- X11 miscellaneous extensions library (development headers)
- libxext-doc (2:1.3.3-1)
- X11 miscellaneous extensions library (documentation)
- libxext6 (2:1.3.3-1+b2)
- X11 miscellaneous extension library
- libxext6-dbg (2:1.3.3-1+b2)
- X11 miscellaneous extensions library (debug package)
- libxext6-udeb (2:1.3.3-1+b2)
- X11 miscellaneous extension library
- libxfce4panel-2.0-4 (4.12.2-1)
- Xfce4 panel libraries (GTK3 variant)
- libxfce4panel-2.0-dev (4.12.2-1)
- Xfce4 panel libraries (GTK3 variant)
- libxfce4ui-1-0 (4.12.1-3)
- widget library for Xfce - Gtk+2 variant
- libxfce4ui-1-dbg (4.12.1-3)
- debugging symbols for libxfce4ui - Gtk+2 variant
- libxfce4ui-1-dev (4.12.1-3)
- Development files for libxfce4ui - Gtk+2 variant
- libxfce4ui-2-0 (4.12.1-3)
- widget library for Xfce - Gtk+3 variant
- libxfce4ui-2-dbg (4.12.1-3)
- debugging symbols for libxfce4ui - Gtk+3 variant
- libxfce4ui-2-dev (4.12.1-3)
- Development files for libxfce4ui - Gtk+3 variant
- libxfce4ui-common (4.12.1-3)
- common files for libxfce4ui
- libxfce4ui-utils (4.12.1-3)
- Utility files for libxfce4ui
- libxfce4ui-utils-dbg (4.12.1-3)
- debugging symbols for libxfce4ui-utils
- libxfce4util-bin (4.12.1-3)
- tools for libxfce4util
- libxfce4util-common (4.12.1-3)
- common files for libxfce4util
- libxfce4util-dev (4.12.1-3)
- Development files for libxfce4util7
- libxfce4util7 (4.12.1-3)
- Utility functions library for Xfce4
- libxfce4util7-dbg (4.12.1-3)
- debugging information for libxfce4util7
- libxfconf-0-2 (4.12.1-1)
- Client library for Xfce4 configure interface
- libxfconf-0-dev (4.12.1-1)
- Development files for libxfconf
- libxfixes-dev (1:5.0.3-1)
- X11 miscellaneous 'fixes' extension library (development headers)
- libxfixes3 (1:5.0.3-1)
- X11 miscellaneous 'fixes' extension library
- libxfixes3-udeb (1:5.0.3-1)
- X11 miscellaneous 'fixes' extension library
- libxfont-dev (1:2.0.3-1)
- X11 font rasterisation library (development headers)
- libxfont2 (1:2.0.3-1)
- X11 font rasterisation library
- libxfont2-udeb (1:2.0.3-1)
- X11 font rasterisation library
- libxft-dev (2.3.2-2)
- FreeType-based font drawing library for X (development files)
- libxft2 (2.3.2-2)
- FreeType-based font drawing library for X
- libxft2-dev
- virtueel pakket geboden door libxft-dev
- libxft2-udeb (2.3.2-2)
- FreeType-based font drawing library for X
- libxgks-dev (2.6.1+dfsg.2-5+b1)
- X11 Graphical Kernel Subsystem, development files
- libxgks2 (2.6.1+dfsg.2-5+b1)
- X11 Graphical Kernel Subsystem, library.
- libxgks2-data (2.6.1+dfsg.2-5)
- Font files for the X11 Graphical Kernel Subsystem
- libxi-dev (2:1.7.9-1)
- X11 Input extension library (development headers)
- libxi6 (2:1.7.9-1)
- X11 Input extension library
- libxi6-udeb (2:1.7.9-1)
- X11 Input extension library
- libxine-xvdr
- virtueel pakket geboden door libxine2-xvdr
- libxine2 (1.2.9-1+b2)
- xine media player library – metapackage
- libxine2-all-plugins (1.2.9-1)
- xine video/media player library ‒ metapackage for all plugins
- libxine2-bin (1.2.9-1+b2)
- xine video/media player library – binary files
- libxine2-console (1.2.9-1+b2)
- libaa/libcaca/framebuffer/directfb related plugins for libxine2
- libxine2-dev (1.2.9-1+b2)
- xine video player library – development packages
- libxine2-doc (1.2.9-1)
- xine video player library – documentation files
- libxine2-ffmpeg (1.2.9-1+b2)
- MPEG-related plugins for libxine2
- libxine2-gnome (1.2.9-1+b2)
- GNOME-related plugins for libxine2
- libxine2-misc-plugins (1.2.9-1+b2)
- Input, audio output and post plugins for libxine2
- libxine2-plugins (1.2.9-1)
- xine video/media player library ‒ metapackage for commonly-used plugins
- libxine2-vdr (1.2.9-1+b2)
- VDR-related plugins for libxine2
- libxine2-x (1.2.9-1+b2)
- X desktop video output plugins for libxine2
- libxine2-xvdr (2.1.0-1+b1)
- Xine input plugin for vdr-plugin-xineliboutput streams
- libxine2-xvdr-dbg (2.1.0-1+b1)
- Xine input plugin for vdr-plugin-xineliboutput streams
- libxineliboutput-fbfe (2.1.0-1+b1)
- Local framebuffer frontend for the xineliboutput plugin
- libxineliboutput-fbfe-dbg (2.1.0-1+b1)
- Local framebuffer frontend for the xineliboutput plugin
- libxineliboutput-sxfe (2.1.0-1+b1)
- Local X-Server frontend for the xineliboutput plugin
- libxineliboutput-sxfe-dbg (2.1.0-1+b1)
- Local X-Server frontend for the xineliboutput plugin
- libxinerama-dev (2:1.1.4-2)
- X11 Xinerama extension library (development headers)
- libxinerama1 (2:1.1.4-2)
- X11 Xinerama extension library
- libxinerama1-udeb (2:1.1.4-2)
- X11 Xinerama extension library
- libxkbcommon-dev (0.8.2-1)
- library interface to the XKB compiler - development files
- libxkbcommon-doc (0.8.2-1)
- library interface to the XKB compiler - documentation
- libxkbcommon-x11-0 (0.8.2-1)
- library to create keymaps with the XKB X11 protocol
- libxkbcommon-x11-dev (0.8.2-1)
- library to create keymaps with the XKB X11 protocol - development files
- libxkbcommon0 (0.8.2-1)
- library interface to the XKB compiler - shared library
- libxkbfile-dev (1:1.0.9-2+b11)
- X11 keyboard file manipulation library (development headers)
- libxkbfile1 (1:1.0.9-2+b11)
- X11 keyboard file manipulation library
- libxkbfile1-dbg (1:1.0.9-2+b11)
- X11 keyboard file manipulation library (debug package)
- libxkbfile1-udeb (1:1.0.9-2+b11)
- X11 keyboard file manipulation library
- libxklavier-dev (5.4-4)
- Development files for libxklavier
- libxklavier16 (5.4-4)
- X Keyboard Extension high-level API
- libxm4 (2.3.8-2)
- Motif - X/Motif shared library
- libxmhtml-dev (1.1.10-3)
- Motif widget for displaying HTML 3.2 (development files)
- libxmhtml1.1 (1.1.10-3)
- Motif widget for displaying HTML 3.2 (library)
- libxml++2.6-2v5 (2.40.1-3)
- C++ interface to the GNOME XML library (libxml2)
- libxml++2.6-dev (2.40.1-3)
- C++ interface to the GNOME XML library (libxml2) - dev files
- libxml++2.6-doc (2.40.1-3)
- HTML interface documentation and examples for libxml++
- libxml-atom-fromowl-perl (0.102-1)
- export RDF data to Atom
- libxml-atom-owl-perl (0.104-1)
- parse an Atom file into RDF
- libxml-atom-perl (0.42-2)
- module for manipulating Atom feeds
- libxml-atom-service-perl (0.16.2-2)
- Atom Service Document object
- libxml-atom-simplefeed-perl (0.902-1)
- Perl module for generation of Atom syndication feeds
- libxml-autowriter-perl (0.40-4)
- Perl module to produce DOCTYPE-based XML output
- libxml-bare-perl (0.53-1+b6)
- Perl module to parse XML into a Perl hash
- libxml-catalog-perl (1.03-2)
- Perl module for resolving public and remapping system identifiers
- libxml-checker-perl (0.13-6)
- Perl modules for validating XML
- libxml-commonns-perl (0.06-4)
- list of commonly used XML namespaces
- libxml-commons-external-java (1.4.01-3)
- XML Commons external code - DOM, SAX, and JAXP, etc
- libxml-commons-external-java-doc (1.4.01-3)
- Documentation of XML Commons external API
- libxml-commons-resolver1.1-java (1.2-9)
- XML entity and URI resolver library
- libxml-commons-resolver1.1-java-doc (1.2-9)
- XML entity and URI resolver library -- documentation
- libxml-compacttree-perl (0.03-1)
- fast parser of XML document into nested arrays
- libxml-compile-cache-perl (1.06-1)
- module that keeps the cache of compiled XML schemas
- libxml-compile-dumper-perl (0.14-1)
- module to help saving and loading the compiled XML processors
- libxml-compile-perl (1.61-1)
- Perl module to translate between XML and Perl based on XML schemas
- libxml-compile-soap-perl (3.24+ds-1) [non-free]
- Perl module to handle SOAP 1.1 messaging (client and server)
- libxml-compile-tester-perl (0.91-1)
- Perl module to support regression testing of "XML::Compile" modules
- libxml-compile-wsdl11-perl (3.07-1) [non-free]
- Perl module to handle SOAP messaging with WSDL version 1.1
- libxml-csv-perl (0.15-9)
- Perl module for transforming CSV documents into XML
- libxml-descent-perl (1.04-4)
- Perl module for recursive descent XML parsing
- libxml-dom-perl (1.44-2)
- Perl module for building DOM Level 1 compliant doc structures
- libxml-dom-xpath-perl (0.14-3)
- adds XPath support to XML::DOM, using XML::XPathEngine
- libxml-dt-perl (0.68-1)
- module for down translation of XML files
- libxml-dtdparser-perl (2.01-5)
- perl module providing a quick and dirty DTD parser
- libxml-dumper-perl (0.81-1.2)
- Perl module voor het bewaren van Perl objecten van/naar XML
- libxml-easy-perl (0.011-1+b1)
- Perl module for XML processing with a clean interface
- libxml-encoding-perl (2.09-1)
- Perl module for parsing encoding map XML files
- libxml-feed-perl (0.59+dfsg-1)
- syndication feed parser and auto-discovery Perl module
- (0.02-2)
- Perl module providing Media RSS support for XML::FeedPP
- libxml-feedpp-perl (0.95-1)
- module to parse/write/merge/edit RSS/RDF/Atom syndication feeds
- libxml-filter-buffertext-perl (1.01-6)
- Perl module for putting all characters into a single event
- libxml-filter-detectws-perl (0.01-8)
- Perl module for detecting ignorable whitespace
- libxml-filter-reindent-perl (0.03-8)
- Perl module for reformatting whitespace for pretty printing XML
- libxml-filter-saxt-perl (0.01-8)
- Perl module for replicating events to several event handlers
- libxml-filter-sort-perl (1.01-4)
- Perl module that implement a SAX filter for sorting XML elements
- libxml-filter-xslt-perl (0.03-9)
- Perl module for XSLT as a SAX Filter
- libxml-generator-perl (1.04-2)
- Perl module for generating XML files
- libxml-generator-perldata-perl (0.95-1)
- module for generating SAX2 events from nested Perl data structures
- libxml-grove-perl (0.46alpha-13)
- Perl module for accessing parsed *ML instances
- libxml-handler-composer-perl (0.01-9)
- Perl module for generating XML output
- libxml-handler-printevents-perl (0.01-8)
- Perl module for printing PerlSAX events (for debugging)
- libxml-handler-trees-perl (0.02-7)
- Perl module for building tree structures using PerlSAX handlers
- libxml-handler-yawriter-perl (0.23-7)
- Perl module for writing XML documents
- libxml-hash-lx-perl (0.0603-1)
- module to convert hash to xml and vice versa using LibXML
- libxml-java (1.1.6.dfsg-3)
- namespace aware SAX-Parser utility library
- libxml-libxml-debugging-perl (0.103-2)
- get debugging information from XML::LibXML
- libxml-libxml-iterator-perl (1.04-2)
- Iterator for XML parse-trees generated by XML::LibXML
- libxml-libxml-lazybuilder-perl (0.08-2)
- easy and lazy way to create XML documents for XML::LibXML
- libxml-libxml-perl (2.0134+dfsg-1)
- Perl interface to the libxml2 library
- libxml-libxml-simple-perl (0.99-1)
- Perl module that uses the XML::LibXML parser for XML structures
- libxml-libxslt-perl (1.96-1+b1)
- Perl interface to the GNOME libxslt library
- libxml-light-ocaml (2.4-1+b2 [armhf], 2.4-1+b1 [amd64, arm64, i386])
- mininal XML parser and printer for OCaml (runtime package)
- libxml-light-ocaml-dev (2.4-1+b2 [armhf], 2.4-1+b1 [amd64, arm64, i386])
- mininal XML parser and printer for OCaml (development package)
- libxml-light-ocaml-dev-gql65
- virtueel pakket geboden door libxml-light-ocaml-dev
- libxml-light-ocaml-dev-prmg1
- virtueel pakket geboden door libxml-light-ocaml-dev
- libxml-light-ocaml-gql65
- virtueel pakket geboden door libxml-light-ocaml
- libxml-light-ocaml-prmg1
- virtueel pakket geboden door libxml-light-ocaml
- libxml-maven-plugin-java (1.0.1-4)
- Maven XML Plugin
- libxml-mini-perl (1.38-3)
- Perl implementation of the MiniXML XML generator and parser
- libxml-namespace-perl (0.02-3)
- simple support for XML namespaces
- libxml-namespacefactory-perl (1.02-1)
- simple factory objects for SAX namespaced names
- libxml-namespacesupport-perl (1.12-1)
- Perl module for supporting simple generic namespaces
- libxml-node-perl (0.11-9)
- Perl module for parsing XML files node based
- libxml-nodefilter-perl (0.01-7)
- Perl module for a generic node-filter class for DOM traversal
- libxml-opml-perl (0.26-3)
- Perl module to create and update OPML files
- libxml-opml-simplegen-perl (0.07-1)
- module for creating OPML using XML::Simple
- libxml-parser-easytree-perl (0.01-1)
- easier tree style for XML::Parser
- libxml-parser-lite-perl (0.722-1)
- lightweight regexp-based XML parser
- libxml-parser-lite-tree-perl (0.14-2)
- lightweight XML tree builder
- libxml-parser-perl (2.44-4)
- Perl module for parsing XML files
- libxml-perl (0.08-3)
- Perl modules for working with XML
- libxml-quote-perl (1.02-4+b1)
- XML quote/dequote functions
- libxml-regexp-perl (0.04-1)
- Perl module for regular expressions for XML tokens
- libxml-rpc-fast-perl (0.8-1)
- fast and modular implementation for an XML-RPC client and server
- (2.212-1.1)
- Perl module for Persistent XML RSS (RDF Site Summary) Encapsulation
- (0.3105+dfsg-2)
- module for creating, parsing, updating RSS files with XML::LibXML
- (1.60-1)
- Perl module for managing RSS (RDF Site Summary) files
- (11.11-6)
- Perl module for easily writing RSS files
- (0.15+dfsg-3)
- lightweight, "relaxed" RSS (and XML-ish) parser
- libxml-sax-base-perl (1.09-1)
- base class for SAX drivers and filters
- libxml-sax-expat-incremental-perl (0.05-2)
- XML::SAX::Expat subclass for non-blocking (incremental) parsing
- libxml-sax-expat-perl (0.51-1)
- Perl module for a SAX2 driver for Expat (XML::Parser)
- libxml-sax-expatxs-perl (1.33-2+b5)
- Perl SAX 2 XS extension to Expat parser
- libxml-sax-machines-perl (0.46-1)
- Perl module collection for managing SAX processors
- libxml-sax-perl (1.00+dfsg-1)
- Perl module for using and building Perl SAX2 XML processors
- libxml-sax-writer-perl (0.57-1)
- Perl module for a SAX2 XML writer
- libxml-saxon-xslt2-perl (0.010-3)
- process XSLT 2.0 using Saxon 9.x
- libxml-security-c-dev (2.0.2-3)
- C++ library for XML Digital Signatures (development)
- libxml-security-c20 (2.0.2-3)
- C++ library for XML Digital Signatures (runtime)
- libxml-security-java (2.0.10-2+deb10u1)
- Apache Santuario -- XML Security for Java
- libxml-security-java-doc (2.0.10-2+deb10u1)
- Documentation for Apache Santuario
- libxml-semanticdiff-perl (1.0007-1)
- Perl extension for comparing XML documents
- libxml-simple-perl (2.25-1)
- Perl module for reading and writing XML
- libxml-simpleobject-enhanced-perl (0.53-3)
- Perl module which enhances libxml-simpleobject-perl
- libxml-simpleobject-libxml-perl (0.53-3)
- Simple oo representation of an XML::LibXML DOM object
- libxml-simpleobject-perl (0.53-3)
- Objectoriented Perl interface to a parsed XML::Parser tree
- libxml-smart-perl (1.78-2)
- Perl module for access to parsed XML trees
- libxml-stream-perl (1.24-3)
- module for manipulating streaming XML data
- libxml-struct-perl (0.27-1)
- represent XML as data structure preserving element order
- libxml-structured-perl (1.01-3)
- module to convert XML data into a predefined Perl data structure and back
- libxml-tidy-perl (1.20-1)
- module for tidy indenting of XML documents
- libxml-tmx-perl (0.36-1)
- Perl extensions for managing TMX files
- libxml-tokeparser-perl (0.05-3)
- Simplified interface to XML::Parser
- libxml-treebuilder-perl (5.4-2)
- XML parser providing XML::Elements DOM similar to HTML::Element
- libxml-treepp-perl (0.43-1)
- Pure Perl module for parsing/writing XML files
- libxml-treepuller-perl (0.1.2-1)
- pull interface to work with XML document fragments
- libxml-twig-perl (1:3.50-1.1)
- Perl module for processing huge XML documents in tree mode
- libxml-um-perl (0.01-9)
- Perl module for converting UTF-8 strings
- libxml-validate-perl (1.025-3)
- xml validator factory
- libxml-validator-schema-perl (1.10-2)
- Perl module to validate XML against a subset of W3C XML Schema
- libxml-writer-perl (0.625-1)
- Perl module for writing XML documents
- libxml-writer-simple-perl (0.12-1)
- simple API to create XML files
- libxml-writer-string-perl (0.1-1)
- Capture output from XML::Writer
- libxml-xpath-perl (1.44-1)
- Perl module for processing XPath
- libxml-xpathengine-perl (0.14-1)
- re-usable XPath engine for DOM-like trees
- libxml-xql-perl (0.68-8)
- Perl module for querying XML tree structures with XQL
- libxml-xslt-perl (0.48-5)
- Perl module for processing XSLT
- libxml-xupdate-libxml-perl (0.6.0-3)
- Perl module that implements XUpdate modification language
- libxml2 (2.9.4+dfsg1-7+deb10u6) [security]
- GNOME XML library
- libxml2-dbg (2.9.4+dfsg1-7+deb10u6) [security]
- Debugging symbols for the GNOME XML library
- libxml2-dev (2.9.4+dfsg1-7+deb10u6) [security]
- Development files for the GNOME XML library
- libxml2-doc (2.9.4+dfsg1-7+deb10u6) [security]
- Documentation for the GNOME XML library
- libxml2-utils (2.9.4+dfsg1-7+deb10u6) [security]
- XML utilities
- libxmlada-doc (18-4)
- XML/Ada, a full XML suite for Ada programmers (documentation)
- libxmlada-dom5 (18-4)
- XML/Ada, a full XML suite for Ada programmers (dom runtime)
- libxmlada-dom8-dev (18-4)
- XML/Ada, a full XML suite for Ada programmers (dom)
- libxmlada-input5 (18-4)
- XML/Ada, a full XML suite for Ada programmers (input sources runtime)
- libxmlada-input8-dev (18-4)
- XML/Ada, a full XML suite for Ada programmers (input sources)
- libxmlada-sax5 (18-4)
- XML/Ada, a full XML suite for Ada programmers (sax runtime)
- libxmlada-sax8-dev (18-4)
- XML/Ada, a full XML suite for Ada programmers (sax)
- libxmlada-schema5 (18-4)
- XML/Ada, a full XML suite for Ada programmers (schema runtime)
- libxmlada-schema8-dev (18-4)
- XML/Ada, a full XML suite for Ada programmers (schema)
- libxmlada-unicode5 (18-4)
- XML/Ada, a full XML suite for Ada programmers (unicode runtime)
- libxmlada-unicode8-dev (18-4)
- XML/Ada, a full XML suite for Ada programmers (unicode)
- libxmlb-dev (0.1.6-2)
- development files for libxmlb
- libxmlb1 (0.1.6-2)
- Binary XML library
- libxmlbeans-java (3.0.2-1)
- Java library for accessing XML by binding it to Java types
- libxmlbeans-maven-plugin-java (2.3.3-4)
- Maven XMLBeans Plugin
- libxmlbird-dev (1.2.10-1)
- XML parser written in Vala (development files)
- libxmlbird1 (1.2.10-1)
- XML parser written in Vala
- libxmlenc-java (0.52+dfsg-5)
- Fast stream-based XML output library for java
- libxmlezout5 (1.06.1-12)
- Easy-to-use library for emitting XML from Ada programs, runtime
- libxmlezout6-dev (1.06.1-12)
- Easy-to-use library for emitting XML from Ada programs, dev files
- libxmlgraphics-commons-java (2.3-1+deb10u1)
- Reusable components used by Batik and FOP
- libxmlgraphics-commons-java-doc (2.3-1+deb10u1)
- Reusable components used by Batik and FOP (documentation)
- libxmlm-ocaml-dev (1.3.0-2)
- OCaml xml manipulation module
- libxmlm-ocaml-dev-caib4
- virtueel pakket geboden door libxmlm-ocaml-dev
- libxmlm-ocaml-dev-s6mk0
- virtueel pakket geboden door libxmlm-ocaml-dev
- libxmlplaylist-ocaml-dev (0.1.3-1+b7 [amd64, armhf, i386], 0.1.3-1+b3 [arm64])
- Playlist parser for various xml formats
- libxmlplaylist-ocaml-dev-g6iw4
- virtueel pakket geboden door libxmlplaylist-ocaml-dev
- libxmlplaylist-ocaml-dev-vaf93
- virtueel pakket geboden door libxmlplaylist-ocaml-dev
- libxmlrpc-c++8-dev (1.33.14-8+b1)
- Lightweight RPC library based on XML and HTTP [C++ development libraries]
- libxmlrpc-c++8v5 (1.33.14-8+b1)
- Lightweight RPC library based on XML and HTTP [C++ runtime libraries]
- libxmlrpc-core-c3 (1.33.14-8+b1)
- Lightweight RPC library based on XML and HTTP [C runtime libraries]
- libxmlrpc-core-c3-dev (1.33.14-8+b1)
- Lightweight RPC library based on XML and HTTP [C development libraries]
- libxmlrpc-epi-dev (0.54.2-1.2)
- Development files for libxmlrpc-epi0, a XML-RPC request library
- libxmlrpc-epi0 (0.54.2-1.2)
- XML-RPC request serialisation/deserialisation library
- libxmlrpc-epi0-dbg (0.54.2-1.2)
- Debug symbols for libxmlrpc-epi0, a XML-RPC request library
- libxmlrpc-light-ocaml-dev (0.6.1-4+b6 [armhf], 0.6.1-4+b5 [amd64, arm64, i386])
- XmlRpc-Light is an XmlRpc library written in OCaml
- libxmlrpc-light-ocaml-dev-eu841
- virtueel pakket geboden door libxmlrpc-light-ocaml-dev
- libxmlrpc-light-ocaml-dev-t7e81
- virtueel pakket geboden door libxmlrpc-light-ocaml-dev
- libxmlrpc-lite-perl (0.717-2)
- client and server implementation of the XML-RPC protocol
- libxmlrpc3-client-java (3.1.3-9+deb10u1)
- XML-RPC implementation in Java (client side)
- libxmlrpc3-common-java (3.1.3-9+deb10u1)
- XML-RPC implementation in Java
- libxmlrpc3-java-doc (3.1.3-9+deb10u1)
- XML-RPC implementation in Java (API documentation)
- libxmlrpc3-server-java (3.1.3-9+deb10u1)
- XML-RPC implementation in Java (server side)
- libxmlrpcpp-dev (1.14.3+ds1-5+deb10u3)
- development files for Robot OS libxmlrpcpp
- libxmlrpcpp2d (1.14.3+ds1-5+deb10u3)
- Robot OS version of xml-rpc protocol library
- libxmlsec1 (1.2.27-2)
- XML security library
- libxmlsec1-dev (1.2.27-2)
- Development files for the XML security library
- libxmlsec1-doc (1.2.27-2)
- XML security library -- documentation
- libxmlsec1-gcrypt (1.2.27-2)
- Gcrypt engine for the XML security library
- libxmlsec1-gnutls (1.2.27-2)
- Gnutls engine for the XML security library
- libxmlsec1-nss (1.2.27-2)
- Nss engine for the XML security library
- libxmlsec1-openssl (1.2.27-2)
- Openssl engine for the XML security library
- libxmltok1 (1.2-4)
- XML Parser Toolkit, runtime libraries
- libxmltok1-dev (1.2-4)
- XML Parser Toolkit, developer libraries
- libxmltooling-dev (3.0.4-1+deb10u2) [security]
- C++ XML parsing library with encryption support (development)
- libxmltooling-doc (3.0.4-1+deb10u2) [security]
- C++ XML parsing library with encryption support (API docs)
- libxmltooling8 (3.0.4-1+deb10u2) [security]
- C++ XML parsing library with encryption support (runtime)
- libxmltv-perl (0.6.1-1)
- modules related to the XMLTV file format for TV listings
- libxmlunit-java (1.6-1)
- Unit testing for XML documents
- libxmlunit-java-doc (1.6-1)
- Documentation for XMLUnit
- libxmmsclient++-dev (0.8+dfsg-18.2)
- XMMS2 - client library for c++ - development files
- libxmmsclient++-glib-dev (0.8+dfsg-18.2)
- XMMS2 - glib client library for c++ - development files
- libxmmsclient++-glib1v5 (0.8+dfsg-18.2)
- XMMS2 - glib client library for c++
- libxmmsclient++4v5 (0.8+dfsg-18.2)
- XMMS2 - client library for c++
- libxmmsclient-dev (0.8+dfsg-18.2)
- XMMS2 - client library development files
- libxmmsclient-glib-dev (0.8+dfsg-18.2)
- XMMS2 - glib client library - development files
- libxmmsclient-glib1 (0.8+dfsg-18.2)
- XMMS2 - glib client library
- libxmmsclient6 (0.8+dfsg-18.2)
- XMMS2 - client library
- libxmp-dev (4.4.1-2)
- module file rendering library -- development files
- libxmp4 (4.4.1-2)
- module file rendering library
- libxmpcore-java (5.1.3-1)
- Adobe XMP Toolkit for Java
- libxmpi
- virtueel pakket geboden door libxmpi4
- libxmpi-dev
- virtueel pakket geboden door libxmpi4-dev
- libxmpi4 (2.2.3b8-13.2)
- Graphical user interface for MPI program development
- libxmpi4-dev (2.2.3b8-13.2)
- Graphical user interface for MPI program development
- libxmu-dev (2:1.1.2-2+b3)
- X11 miscellaneous utility library (development headers)
- (2:1.1.2-2)
- X11 miscellaneous utility library headers
- libxmu6 (2:1.1.2-2+b3)
- X11 miscellaneous utility library
- libxmu6-dbg (2:1.1.2-2+b3)
- X11 miscellaneous utility library (debug package)
- libxmuu-dev (2:1.1.2-2+b3)
- X11 miscellaneous micro-utility library (development headers)
- libxmuu1 (2:1.1.2-2+b3)
- X11 miscellaneous micro-utility library
- libxmuu1-dbg (2:1.1.2-2+b3)
- X11 miscellaneous micro-utility library (debug package)
- libxnee-dev (3.19-3)
- X event recorder/replayer - development files
- libxnee0 (3.19-3)
- X event recorder/replayer - library
- libxneur (0.20.0-2)
- xneur library
- libxneur-dev (0.20.0-2)
- development files for xneur frontends and plugins.
- libxnvctrl-dev (418.74-1)
- NV-CONTROL X extension (development files)
- libxnvctrl0 (418.74-1)
- NV-CONTROL X extension (runtime library)
- libxom-java (1.2.10-1)
- New XML object model for Java
- libxom-java-doc (1.2.10-1)
- Documentation for the libxom-java package
- libxorg-gtest-data (0.7.1-5)
- X.Org dummy testing environment for Google Test - data
- libxorg-gtest-dev (0.7.1-5)
- X.Org dummy testing environment for Google Test - headers
- libxorg-gtest-doc (0.7.1-5)
- X.org dummy testing environment for Google Test - documentation
- libxosd-dev (2.2.14-2.1+b1)
- X On-Screen Display library - development
- libxosd2 (2.2.14-2.1+b1)
- X On-Screen Display library - runtime
- libxpa-dev (2.1.18-4)
- Seamless communication between Unix programs (development files)
- libxpa1 (2.1.18-4)
- Seamless communication between Unix programs (shared library)
- libxplc0.3.13 (0.3.13-7)
- Light weight component system
- libxplc0.3.13-dev (0.3.13-7)
- Light weight component system (Development libraries and headers)
- libxpm-dev (1:3.5.12-1+deb10u2) [security]
- X11 pixmap library (development headers)
- libxpm4 (1:3.5.12-1+deb10u2) [security]
- X11 pixmap library
- libxpp2-java (2.1.10-8)
- XML pull parser library for java V2
- libxpp3-java (1.1.4c-3)
- XML pull parser library for java
- libxpresent-dev (1.0.0-2+b10)
- X11 Present extension library (development headers)
- libxpresent1 (1.0.0-2+b10)
- X11 Present extension library
- libxqdbm-dev (1.8.78-9+b1)
- QDBM Database Libraries for C++ [development]
- libxqdbm3c2 (1.8.78-9+b1)
- QDBM Database Libraries for C++ [runtime]
- libxqilla-dev (2.3.4-1+b1)
- XQuery and XPath 2.0 library - Development files
- libxqilla6v5 (2.3.4-1+b1)
- XQuery and XPath 2.0 library
- libxrandr-dev (2:1.5.1-1)
- X11 RandR extension library (development headers)
- libxrandr2 (2:1.5.1-1)
- X11 RandR extension library
- libxray-absorption-perl (3.0.1-3)
- x-ray absorption data for the elements
- libxray-scattering-perl (3.0.1-2)
- x-ray scattering data for the elements
- libxray-spacegroup-perl (0.1.1-3)
- symmetry operations for the crystal space groups
- libxrd-parser-perl (0.201-3)
- parse XRD and host-meta files into RDF::Trine models
- libxrender-dev (1:0.9.10-1)
- X Rendering Extension client library (development files)
- libxrender1 (1:0.9.10-1)
- X Rendering Extension client library
- libxrender1-udeb (1:0.9.10-1)
- X Rendering Extension client library
- libxres-dev (2:1.2.0-2)
- X11 Resource extension library (development headers)
- libxres1 (2:1.2.0-2)
- X11 Resource extension library
- libxs-dev (1.2.0-2)
- Crossroads I/O lightweight messaging layer (development files)
- libxs-object-magic-perl (0.4-1+b7 [amd64, armhf, i386], 0.4-1+b6 [arm64])
- module for opaque, extensible XS pointer backed objects using sv_magic
- libxs2 (1.2.0-2)
- Crossroads I/O lightweight messaging layer (shared library)
- libxsettings-client-dev (0.17-10)
- utility functions for the Xsettings protocol (Development files)
- libxsettings-client-doc (0.17-10)
- utility functions for the Xsettings protocol (Documentation)
- libxsettings-client0 (0.17-10)
- utility functions for the Xsettings protocol (GPE)
- libxsettings-dev (0.11-5)
- Xsettings protocol (development files)
- libxsettings0 (0.11-5)
- Xsettings protocol library for GPE
- libxshmfence-dev (1.3-1)
- X shared memory fences - development files
- libxshmfence1 (1.3-1)
- X shared memory fences - shared library
- libxshmfence1-udeb (1.3-1)
- X shared memory fences
- libxsloader-perl (= 0.30)
- virtueel pakket geboden door perl-base
- libxslt-dev
- virtueel pakket geboden door libxslt1-dev
- libxslt1-dev (1.1.32-2.2~deb10u2) [security]
- XSLT 1.0 processing library - development kit
- libxslt1.1 (1.1.32-2.2~deb10u2) [security]
- XSLT 1.0 processing library - runtime library
- libxsltc-java (2.7.2-2)
- XSL Transformations (XSLT) compiler from Xalan-Java
- libxslthl-java (2.1.3-5)
- XSLT syntax highlighting
- libxsom-java (2.3.0.1-8)
- XML Schema Object Model Java library
- libxss-dev (1:1.2.3-1)
- X11 Screen Saver extension library (development headers)
- libxss1 (1:1.2.3-1)
- X11 Screen Saver extension library
- libxstr-ocaml-dev (0.2.1-22+b2 [armhf], 0.2.1-22+b1 [amd64, arm64, i386])
- OCaml library for frequent string operations
- libxstr-ocaml-dev-bp4k8
- virtueel pakket geboden door libxstr-ocaml-dev
- libxstr-ocaml-dev-wlb57
- virtueel pakket geboden door libxstr-ocaml-dev
- libxstream-java (1.4.11.1-1+deb10u4) [security]
- Java library to serialize objects to XML and back again
- libxstrp4-camlp4-dev (1.8.2-1)
- camlp4 extension that expands brace expansions in OCaml string
- libxstyx
- virtueel pakket geboden door libstyx2
- libxt-dev (1:1.1.5-1+b3)
- X11 toolkit intrinsics library (development headers)
- libxt-doc (1:1.1.5-1)
- X11 toolkit intrinsics library (documentation)
- libxt6 (1:1.1.5-1+b3)
- X11 toolkit intrinsics library
- libxt6-dbg (1:1.1.5-1+b3)
- X11 toolkit intrinsics library (debug package)
- libxtables-dev (1.8.2-4)
- netfilter xtables library -- development files
- libxtables12 (1.8.2-4)
- netfilter xtables library
- libxtst-dev (2:1.2.3-1)
- X11 Record extension library (development headers)
- libxtst-doc (2:1.2.3-1)
- X11 Record extension library (documentation)
- libxtst6 (2:1.2.3-1)
- X11 Testing -- Record extension library
- libxtst6-udeb (2:1.2.3-1)
- X11 Testing -- Record extension library
- libxtuplecommon-dev (4.11.3-2+b1)
- multi-user accounting / CRM / ERP suite (development package)
- libxtuplecommon1 (4.11.3-2+b1)
- multi-user accounting / CRM / ERP suite (shared libraries)
- libxv-dev (2:1.0.11-1)
- X11 Video extension library (development headers)
- libxv1 (2:1.0.11-1)
- X11 Video extension library
- libxvidcore-dev (2:1.3.5-1)
- Open source MPEG-4 video codec (development)
- libxvidcore4 (2:1.3.5-1)
- Open source MPEG-4 video codec (library)
- libxvmc-dev (2:1.0.10-1)
- X11 Video extension library (development headers)
- libxvmc1 (2:1.0.10-1)
- X11 Video extension library
- libxwiimote-dev (2-3+b4)
- xwiimote library - development
- libxwiimote2 (2-3+b4)
- xwiimote library - runtime
- libxxf86dga-dev (2:1.1.4-1+b3)
- X11 Direct Graphics Access extension library (development headers)
- libxxf86dga1 (2:1.1.4-1+b3)
- X11 Direct Graphics Access extension library
- libxxf86dga1-dbg (2:1.1.4-1+b3)
- X11 Direct Graphics Access extension library (debug package)
- libxxf86vm-dev (1:1.1.4-1+b2)
- X11 XFree86 video mode extension library (development headers)
- libxxf86vm1 (1:1.1.4-1+b2)
- X11 XFree86 video mode extension library
- libxxf86vm1-dbg (1:1.1.4-1+b2)
- X11 XFree86 video mode extension library (debug package)
- libxxhash-dev (0.6.5-2)
- header files and a static library for libxxhash
- libxxhash0 (0.6.5-2)
- shared library for xxhash
- libxxx-perl (0.32-1)
- debug viewer for Perl data structure
- libxy-bin (1.3-1.1+b1)
- xylib - utilities
- libxy-dev (1.3-1.1+b1)
- xylib development files
- libxy3v5 (1.3-1.1+b1)
- Library for reading x-y data from several file formats
- libxz-java (1.8-2)
- Java library with a complete implementation of XZ data compression
- libxz-java-doc (1.8-2)
- Documentation for libxz-java
- libyade (2019.01a-2)
- Platform for discrete element modeling. Libraries
- libyadifa-dev (2.3.8-1)
- development libraries and header files for YADIFA
- libyajl-dev (2.1.0-3+deb10u2) [security]
- Yet Another JSON Library - development files
- libyajl-doc (2.1.0-3+deb10u2) [security]
- Yet Another JSON Library - library documentation
- libyajl2 (2.1.0-3+deb10u2) [security]
- Yet Another JSON Library
- libyami-dev (1.3.0-3)
- high-level abstraction for Video Acceleration (VA) API (development files)
- libyami-utils (1.3.0-2+b1)
- high-level abstraction for Video Acceleration (VA) API (utils)
- libyami1 (1.3.0-3)
- high-level abstraction for Video Acceleration (VA) API (shared library)
- libyaml-0-2 (0.2.1-1)
- Fast YAML 1.1 parser and emitter library
- libyaml-appconfig-perl (0.19-1)
- module to manage configuration files with YAML
- libyaml-cpp-dev (0.6.2-4)
- YAML parser and emitter for C++ - development files
- libyaml-cpp0.6 (0.6.2-4)
- YAML parser and emitter for C++
- libyaml-dev (0.2.1-1)
- Fast YAML 1.1 parser and emitter library (development)
- libyaml-doc (0.2.1-1)
- Fast YAML 1.1 parser and emitter library (documentation)
- libyaml-libyaml-perl (0.76+repack-1)
- Perl interface to libyaml, a YAML implementation
- libyaml-perl (1.27-1)
- YAML Ain't Markup Language
- libyaml-shell-perl (0.71-2)
- YAML test shell
- libyaml-snake-java (1.23-1+deb10u1) [security]
- YAML parser and emitter for the Java programming language
- libyaml-snake-java-doc (1.23-1+deb10u1) [security]
- Documentation for SnakeYAML
- libyaml-syck-perl (1.31-1+b1)
- Perl module providing a fast, lightweight YAML loader and dumper
- libyaml-tiny-perl (1.73-1)
- Perl module for reading and writing YAML files
- libyanfs-java (0.0+cvs20070825-4)
- Yet Another NFS - a Java NFS library
- libyang-cpp-dev (1.0.225-1.1~deb10u1) [security]
- parser toolkit for IETF YANG data modeling - C++ development files
- libyang-cpp0.16 (0.16.105+really1.0-0+deb10u1) [security]
- parser toolkit for IETF YANG data modeling - C++ runtime
- libyang-cpp1 (1.0.225-1.1~deb10u1) [security]
- parser toolkit for IETF YANG data modeling - C++ runtime
- libyang-dev (1.0.225-1.1~deb10u1) [security]
- parser toolkit for IETF YANG data modeling - development files
- libyang-tools (1.0.225-1.1~deb10u1) [security]
- parser toolkit for IETF YANG data modeling - executable tools
- libyang0.16 (0.16.105+really1.0-0+deb10u1) [security]
- parser toolkit for IETF YANG data modeling - runtime
- libyang1 (1.0.225-1.1~deb10u1) [security]
- parser toolkit for IETF YANG data modeling - runtime
- libyangrpc-dev (2.11-1)
- NETCONF/YANG simple client applications development files
- libyangrpc-dev
- virtueel pakket geboden door libyangrpc-dev
- libyangrpc2 (2.11-1)
- NETCONF/YANG library for simple client applications
- libyara-dev (3.9.0-1)
- YARA development libraries and headers
- libyara3 (3.9.0-1)
- YARA shared library
- libyaz-dev (5.27.1-2)
- YAZ Z39.50 toolkit (development files)
- libyaz4-dev
- virtueel pakket geboden door libyaz-dev
- libyaz5 (5.27.1-2)
- YAZ Z39.50 toolkit (runtime files)
- libycadgraywolf-dev (0.1.6-1)
- Library for graywolf - development files
- libycadgraywolf1 (0.1.6-1)
- Library for common functions used by graywolf
- libyder-dev (1.4.4-4)
- logging library written in C - development
- libyder2.0 (1.4.4-4)
- logging library written in C
- libydpdict2 (1.0.4-3+b11) [contrib]
- library for reading Collins dictionaries
- libydpdict2-dev (1.0.4-3+b11) [contrib]
- library for reading Collins dictionaries - development files
- libyecht-java (1.1-3)
- Syck port, a YAML 1.0 processor for Ruby
- libyelp-dev (3.31.90-1)
- Library for the GNOME help browser (development)
- libyelp0 (3.31.90-1)
- Library for the GNOME help browser
- libygl4 (4.2e-4)
- A library that emulates SGI's GL routines under X11
- libygl4-dev (4.2e-4)
- A library that emulates SGI's GL routines under X11
- libykclient-dev (2.15-2)
- Yubikey client library development files
- libykclient3 (2.15-2)
- Yubikey client library runtime
- libykpers-1-1 (1.19.3-3+deb10u1)
- Library for personalization of YubiKey OTP tokens
- libykpers-1-dev (1.19.3-3+deb10u1)
- Development files for the YubiKey OTP personalization library
- libyojson-ocaml (1.3.2-1+b2)
- JSON library for OCaml - runtime package
- libyojson-ocaml-dev (1.3.2-1+b2)
- JSON library for OCaml - development package
- libyojson-ocaml-dev-nv7s4
- virtueel pakket geboden door libyojson-ocaml-dev
- libyojson-ocaml-dev-yetp9
- virtueel pakket geboden door libyojson-ocaml-dev
- libyojson-ocaml-nv7s4
- virtueel pakket geboden door libyojson-ocaml
- libyojson-ocaml-yetp9
- virtueel pakket geboden door libyojson-ocaml
- libytnef-dev
- virtueel pakket geboden door libytnef0-dev
- libytnef0 (1.9.3-1)
- improved decoder for application/ms-tnef attachments
- libytnef0-dev (1.9.3-1)
- headers for application/ms-tnef attachments decoder
- libyubikey-dev (1.13-4)
- Yubikey OTP library development files
- libyubikey-udev (1.19.3-3+deb10u1)
- udev rules for unprivileged access to YubiKeys
- libyubikey0 (1.13-4)
- Yubikey OTP handling library runtime
- libyuma-base (2.11-1)
- Configuration script, YANG models and documentation
- libyuma-dev (2.11-1)
- NETCONF/YANG application development files
- libyuma-dev
- virtueel pakket geboden door libyuma-dev
- libyuma2 (2.11-1)
- NETCONF/YANG library
- libz-dbg
- virtueel pakket geboden door zlib1g-dbg
- libz-dev
- virtueel pakket geboden door zlib1g-dev
- libz-mingw-w64 (1.2.11+dfsg-2)
- compression library - Windows runtime
- libz-mingw-w64-dev (1.2.11+dfsg-2)
- compression library - Windows development files
- libz1
- virtueel pakket geboden door zlib1g
- libz3-4 (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - runtime libraries
- libz3-cil (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - CLI bindings
- libz3-dev (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - development files
- libz3-java (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - java bindings
- libz3-jni (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - JNI library
- libz3-ocaml-dev (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - OCaml bindings
- libz80ex-dev (1.1.21-1+b1)
- z80ex emulation library, development files
- libz80ex1 (1.1.21-1+b1)
- z80ex emulation library, shared files
- libzadc-dev (4.0.18-3)
- accelerated libz implementation (development headers)
- libzadc4 (4.0.18-3)
- accelerated libz implementation (Accelerated Data Compression/ADC)
- libzapojit-0.0-0 (0.0.3-5)
- Library for accessing SkyDrive and Hotmail - shared libraries
- libzapojit-dev (0.0.3-5)
- Library for accessing SkyDrive and Hotmail - development files
- libzapojit-doc (0.0.3-5)
- Library for accessing SkyDrive and Hotmail - documentation
- libzarith-ocaml (1.7-1)
- arithmetic and logical operations over arbitrary-precision integers (runtime)
- libzarith-ocaml-433l0
- virtueel pakket geboden door libzarith-ocaml
- libzarith-ocaml-dev (1.7-1)
- arithmetic and logical operations over arbitrary-precision integers (dev)
- libzarith-ocaml-dev-433l0
- virtueel pakket geboden door libzarith-ocaml-dev
- libzarith-ocaml-dev-dt9w1
- virtueel pakket geboden door libzarith-ocaml-dev
- libzarith-ocaml-dev-gqf85
- virtueel pakket geboden door libzarith-ocaml-dev
- libzarith-ocaml-dev-rntd0
- virtueel pakket geboden door libzarith-ocaml-dev
- libzarith-ocaml-doc (1.7-1)
- arithmetic and logical operations over arbitrary-precision integers (doc)
- libzarith-ocaml-dt9w1
- virtueel pakket geboden door libzarith-ocaml
- libzarith-ocaml-gqf85
- virtueel pakket geboden door libzarith-ocaml
- libzarith-ocaml-rntd0
- virtueel pakket geboden door libzarith-ocaml
- libzbar-dev (0.22-1+deb10u1) [security]
- bar code scanner and decoder (development)
- libzbar0 (0.22-1+deb10u1) [security]
- bar code scanner and decoder (library)
- libzbargtk-dev (0.22-1+deb10u1) [security]
- bar code scanner and decoder (GTK+ bindings development)
- libzbargtk0 (0.22-1+deb10u1) [security]
- bar code scanner and decoder (GTK+ bindings)
- libzc-dev (0.4.1-1)
- fast password cracking library for zip archives (dev)
- libzc4 (0.4.1-1)
- fast password cracking library for zip archives
- libzdb-dev (3.1-0.2+b1)
- Zild Database Library [devel]
- libzdb11 (3.1-0.2+b1)
- Zild Database Library [runtime]
- libzed-ocaml (1.4-3+b1)
- abstract engine for text edition in OCaml (runtime)
- libzed-ocaml-4xbw3
- virtueel pakket geboden door libzed-ocaml
- libzed-ocaml-dev (1.4-3+b1)
- abstract engine for text edition in OCaml (development tools)
- libzed-ocaml-dev-4xbw3
- virtueel pakket geboden door libzed-ocaml-dev
- libzed-ocaml-dev-q2530
- virtueel pakket geboden door libzed-ocaml-dev
- libzed-ocaml-q2530
- virtueel pakket geboden door libzed-ocaml
- libzeep-dev (3.0.2-7+b1)
- Development files for libzeep
- libzeep3.0v5 (3.0.2-7+b1)
- Library files for libzeep
- libzeitgeist-2.0-0 (1.0.1-1)
- library to access Zeitgeist - shared library
- libzeitgeist-2.0-dev (1.0.1-1)
- library to access Zeitgeist - development files
- libzeitgeist-2.0-doc (1.0.1-1)
- library to access Zeitgeist - documentation
- libzeitgeist-cil-dev (0.8.0.0-5)
- CLI bindings for Zeitgeist Project - development files
- libzeitgeist0.8-cil (0.8.0.0-5)
- CLI bindings for Zeitgeist Project
- libzemberek-java (2.1.1-8.2)
- Spell checker library for Turkic languages
- libzemberek-java-doc (2.1.1-8.2)
- Zemberek documentation
- libzemberek-tk-java (2.1.1-8.2)
- Turkmen spellchecker
- libzemberek-tr-java (2.1.1-8.2)
- Turkish spellchecker
- libzen-dev (0.4.37-1+deb10u1) [security]
- ZenLib C++ utility library -- development files
- libzen-doc (0.4.37-1+deb10u1) [security]
- ZenLib C++ utility library -- documentation
- libzen0v5 (0.4.37-1+deb10u1) [security]
- ZenLib C++ utility library -- runtime
- libzephyr-dev (3.1.2-1+b3)
- Project Athena's notification service - development files
- libzephyr4 (3.1.2-1+b3)
- Project Athena's notification service - non-Kerberos libraries
- libzephyr4
- virtueel pakket geboden door libzephyr4-krb5
- libzephyr4-krb5 (3.1.2-1+b3)
- The original "Instant Message" system libraries with Kerberos V
- libzerg-dev
- virtueel pakket geboden door libzerg0-dev
- libzerg-perl (1.0.4-7+b1)
- fast perl module for parsing the output of NCBI BLAST programs
- libzerg0 (1.0.7-10)
- C library for lexically scanning the output of NCBI BLAST programs
- libzerg0-dev (1.0.7-10)
- development libraries and header files for libzerg
- libzeroc-ice-dev (3.7.2-4)
- libraries and headers for developing Ice applications in C++
- libzeroc-ice-java (3.7.2-4)
- transitional package libzeroc-ice-java
- libzeroc-ice3.7 (3.7.2-4)
- C++ run-time libraries for the Ice framework
- libzeroc-ice3.7-java (3.7.2-4)
- Ice for Java run-time libraries.
- libzeroc-icestorm3.7 (3.7.2-4)
- IceStorm publish-subscribe event distribution service
- libzeus-jscl-java (1.72-2)
- Zeus Java Swing Components Library
- libzeus-jscl-java-doc (1.72-2)
- API documentation for libzeus-jscl-java
- libzfs2linux (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS filesystem library for Linux
- libzfslinux-dev (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS filesystem development files for Linux
- libzhuyin
- virtueel pakket geboden door libzhuyin13
- libzhuyin-dev (2.2.2-1)
- Development files for zhuyin input method library
- libzhuyin13 (2.2.2-1)
- Zhuyin input method library
- libzia (4.09-1)
- sharable and platform-dependent library for Tucnak
- libzia-dev (4.09-1)
- sharable and platform-dependent dev library for Tucnak
- libzim-dev (4.0.4-5)
- library implementation of ZIM specifications (development)
- libzim4 (4.0.4-5)
- library implementation of ZIM specifications
- libzinnia-dev (0.06-4)
- development files for the zinnia library
- libzinnia-doc (0.06-4)
- documentation files for the zinnia library
- libzinnia0 (0.06-4)
- online handwriting recognition system with machine learning
- libzip-dev (1.5.1-4)
- library for reading, creating, and modifying zip archives (development)
- libzip-ocaml (1.07-2)
- OCaml compression libraries (runtime libraries)
- libzip-ocaml-5t4j6
- virtueel pakket geboden door libzip-ocaml
- libzip-ocaml-dev (1.07-2)
- OCaml compression libraries (development files)
- libzip-ocaml-dev-5t4j6
- virtueel pakket geboden door libzip-ocaml-dev
- libzip-ocaml-dev-moa44
- virtueel pakket geboden door libzip-ocaml-dev
- libzip-ocaml-moa44
- virtueel pakket geboden door libzip-ocaml
- libzip4 (1.5.1-4)
- library for reading, creating, and modifying zip archives (runtime)
- libzipios++-dev (0.1.5.9+cvs.2007.04.28-10+deb10u1)
- small C++ library for reading zip files (development)
- libzipios++-doc (0.1.5.9+cvs.2007.04.28-10+deb10u1)
- small C++ library for reading zip files (documents)
- libzipios++0v5 (0.1.5.9+cvs.2007.04.28-10+deb10u1)
- small C++ library for reading zip files (library)
- libzita-alsa-pcmi-dev (0.2.0-4)
- Development files (headers) for libzita-alsa-pcmi library
- libzita-alsa-pcmi0 (0.2.0-4)
- C++ wrapper around the ALSA API
- libzita-convolver-dev (3.1.0-7)
- Development files (headers) for libzita-convolver library
- libzita-convolver3 (3.1.0-7)
- C++ library implementing a real-time convolution matrix
- libzita-resampler-dev (1.6.0-2)
- Development files (headers) for libzita-resampler library
- libzita-resampler-doc (1.6.0-2)
- Developers reference for libzita-resampler library
- libzita-resampler1 (1.6.0-2)
- C++ library for resampling audio signals
- libzlcore-data (0.12.10dfsg2-3)
- ZLibrary cross-platform development library (support files)
- libzlcore-dev (0.12.10dfsg2-3)
- ZLibrary cross-platform development library (development files)
- libzlcore0.13 (0.12.10dfsg2-3)
- ZLibrary cross-platform development library (shared library)
- libzltext-data (0.12.10dfsg2-3)
- ZLibrary text model/viewer part (support files)
- libzltext-dev (0.12.10dfsg2-3)
- ZLibrary text model/viewer part (development files)
- libzltext0.13 (0.12.10dfsg2-3)
- ZLibrary text model/viewer part (shared library)
- libzlui-gtk (0.12.10dfsg2-3)
- GTK+ interface module for ZLibrary
- libzlui-qt4 (0.12.10dfsg2-3)
- Qt4 interface module for ZLibrary
- libzmf-0.0-0 (0.0.2-1+b2)
- Zoner Draw/Zebra file reading/converting library
- libzmf-dev (0.0.2-1+b2)
- Zoner Draw/Zebra file reading/converting library -- development
- libzmf-doc (0.0.2-1)
- Zoner Draw/Zebra file reading/converting library -- documentation
- libzmf-tools (0.0.2-1+b2)
- Zoner Draw/Zebra file reading/converting library -- tools
- libzmq-ffi-perl (1.11-2)
- version agnostic Perl bindings for zeromq using ffi
- libzmq-java (3.1.0-14)
- ZeroMQ Java bindings (jzmq)
- libzmq-java-doc (3.1.0-14)
- Documentation for ZeroMQ Java bindings (jzmq)
- libzmq-jni (3.1.0-14)
- ZeroMQ Java bindings (jzmq)
- libzmq3-dev (4.3.1-4+deb10u2)
- lightweight messaging kernel (development files)
- libzmq5 (4.3.1-4+deb10u2)
- lightweight messaging kernel (shared library)
- libzmq5-dev
- virtueel pakket geboden door libzmq3-dev
- libzn-poly-0.9 (0.9.1-1)
- Library for polynomial arithmetic in Z/nZ[x]
- libzn-poly-dev (0.9.1-1)
- development files for libzn-poly
- libzonemaster-perl (1.0.16-2)
- tool to check the quality of a DNS zone
- libzookeeper-dev
- virtueel pakket geboden door libzookeeper-mt-dev, libzookeeper-st-dev
- libzookeeper-java (3.4.13-2+deb10u1) [security]
- Core Java libraries for zookeeper
- libzookeeper-java-doc (3.4.13-2+deb10u1) [security]
- API Documentation for zookeeper
- libzookeeper-mt-dev (3.4.13-2+deb10u1) [security]
- Development files for multi threaded zookeeper C bindings
- libzookeeper-mt2 (3.4.13-2+deb10u1) [security]
- Multi threaded C bindings for zookeeper
- libzookeeper-st-dev (3.4.13-2+deb10u1) [security]
- Development files for single threaded zookeeper C bindings
- libzookeeper-st2 (3.4.13-2+deb10u1) [security]
- Single threaded C bindings for zookeeper
- libzopfli-dev (1.0.2-1)
- Header and static library files for libzopfli1
- libzopfli1 (1.0.2-1)
- zlib (gzip, deflate) compatible compressor - shared library
- libzorpll-7.0-1 (7.0.1.0~alpha1-1.1)
- Zorp low-level functions
- libzorpll-7.0-1-dev (7.0.1.0~alpha1-1.1)
- Zorp low-level functions - development files for version 6.0-10
- libzorpll-dev (7.0.1.0~alpha1-1.1)
- Zorp low-level functions - development files
- libzpool2linux (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS pool library for Linux
- libzscanner2 (2.7.6-2)
- DNS zone-parsing library from Knot
- libzstd-dev (1.3.8+dfsg-3+deb10u2)
- fast lossless compression algorithm -- development files
- libzstd1 (1.3.8+dfsg-3+deb10u2)
- fast lossless compression algorithm
- libzstd1-udeb (1.3.8+dfsg-3+deb10u2)
- fast lossless compression algorithm library for debian-installer
- libzthread-2.3-2 (2.3.2-8)
- Object-oriented synchronization library for C++
- libzthread-dev (2.3.2-8)
- Object-oriented synchronization library for C++
- libzulucrypt-dev (5.4.0-3)
- development files for libzulucrypt-1.2.0
- libzulucrypt-exe-dev (5.4.0-3)
- development files for the libzulucrypt-exe
- libzulucrypt-exe1.2.0 (5.4.0-3)
- provide the main functions of zulucrypt
- libzulucrypt-plugins (5.4.0-3)
- collection of plugins for zulucrypt
- libzulucrypt1.2.0 (5.4.0-3)
- provide the functions of zulumount
- libzulucryptpluginmanager-dev (5.4.0-3)
- development files for libzulucryptpluginmanager
- libzulucryptpluginmanager1.0.0 (5.4.0-3)
- provides support for plugins
- libzvbi-common (0.2.35-16)
- Vertical Blanking Interval decoder (VBI) - common files
- libzvbi-dev (0.2.35-16)
- Vertical Blanking Interval decoder (VBI) - development files
- libzvbi-doc (0.2.35-16)
- Vertical Blanking Interval decoder (VBI) - documentation files
- libzvbi0 (0.2.35-16)
- Vertical Blanking Interval decoder (VBI) - runtime files
- libzxcvbn-dev (2.4+dfsg-2)
- password strength estimation library - development files
- libzxcvbn0 (2.4+dfsg-2)
- password strength estimation library
- libzypp-bin (17.7.0-1+b1)
- openSUSE/SLES package management system library (library tools)
- libzypp-common (17.7.0-1)
- openSUSE/SLES package management system library (common files)
- libzypp-config (17.7.0-1)
- openSUSE/SLES package management system library (configuration)
- libzypp-dev (17.7.0-1+b1)
- openSUSE/SLES package management system library (development files)
- libzypp-doc (17.7.0-1)
- openSUSE/SLES package management system library (documentation)
- libzypp1702 (17.7.0-1+b1)
- openSUSE/SLES package management system (library)
- libzzip-0-13 (0.13.62-3.2+deb10u1)
- library providing read access on ZIP-archives - library
- libzzip-dev (0.13.62-3.2+deb10u1)
- library providing read access on ZIP-archives - development
- license-reconcile (0.16)
- tool to reconcile copyright file and source
- licensecheck (3.0.31-3)
- simple license checker for source files
- licenseutils (0.0.9-3)
- Put copyright and license notices at the head of source code files
- lie (2.2.2+dfsg-3)
- Computer algebra package for Lie group computations
- liece (2.0+0.20030527cvs-12)
- IRC (Internet Relay Chat) client for Emacs
- liece-dcc (2.0+0.20030527cvs-12)
- DCC program for liece
- lierolibre (0.5-3+b1)
- old-school earthworm action game
- lierolibre-data (0.5-3)
- data files for lierolibre
- lifelines (3.0.61-2+b3)
- text-based genealogy software
- lifelines-doc (3.0.61-2)
- documentation for lifelines, a genealogy software system
- lifelines-doc-sv (3.0.61-2)
- swedish documentation for lifelines, a genealogy software system
- lifelines-reports (3.0.61-2)
- reports for lifelines, a genealogy software system
- lifeograph (1.5.1.1-1)
- Private digital diary
- liferea (1.12.6-1+deb10u1)
- feed/news/podcast client with plugin support
- liferea-data (1.12.6-1+deb10u1)
- architecture independent data for liferea
- lift (2.5.0-1)
- Integration and functional testing framework
- liggghts (3.8.0+repack1-4)
- Open Source DEM Particle Simulation Software.
- liggghts-doc (3.8.0+repack1-4)
- Open Source DEM Particle Simulation Software. Documentation and examples
- light-locker (1.8.0-3)
- simple screen locker for lightDM display manager
- lightdm (1.26.0-4)
- simple display manager
- lightdm-autologin-greeter (1.0-3)
- Autologin greeter for LightDM
- lightdm-greeter
- virtueel pakket geboden door lightdm-gtk-greeter, lightdm-autologin-greeter, arctica-greeter, slick-greeter, ukui-greeter
- lightdm-gtk-greeter (2.0.6-1)
- simple display manager (GTK+ greeter)
- lightdm-gtk-greeter-settings (1.2.2-2)
- settings editor for the LightDM GTK+ Greeter
- lightdm-remote-session-freerdp2 (2.0.0-1)
- LightDM Remote Logon Support for RDP sessions
- lightdm-remote-session-x2go (0.0.2.0-2)
- LightDM Remote Logon Support for X2Go sessions
- lightdm-vala (1.26.0-4)
- simple display manager (Vala files)
- lightify-util (0~git20160911-1)
- Commandline utility to control OSRAM Lightify
- lightning (1:78.14.0-1~deb10u1)
- Calendar Extension for Thunderbird - Transitional package
- lightning (= 1:78.8.0-1~deb10u1)
- virtueel pakket geboden door thunderbird
- lightning-l10n-ar (1:78.14.0-1~deb10u1)
- Arabic language package for lightning - Transitional package
- lightning-l10n-ar
- virtueel pakket geboden door thunderbird-l10n-ar
- lightning-l10n-ast (1:78.14.0-1~deb10u1)
- Asturian language package for lightning - Transitional package
- lightning-l10n-ast
- virtueel pakket geboden door thunderbird-l10n-ast
- lightning-l10n-be (1:78.14.0-1~deb10u1)
- Belarusian language package for lightning - Transitional package
- lightning-l10n-be
- virtueel pakket geboden door thunderbird-l10n-be
- lightning-l10n-bg (1:78.14.0-1~deb10u1)
- Bulgarian language package for lightning - Transitional package
- lightning-l10n-bg
- virtueel pakket geboden door thunderbird-l10n-bg
- lightning-l10n-br (1:78.14.0-1~deb10u1)
- Breton language package for lightning - Transitional package
- lightning-l10n-br
- virtueel pakket geboden door thunderbird-l10n-br
- lightning-l10n-ca (1:78.14.0-1~deb10u1)
- Catalan/Valencian language package for lightning - Transitional package
- lightning-l10n-ca
- virtueel pakket geboden door thunderbird-l10n-ca
- lightning-l10n-cs (1:78.14.0-1~deb10u1)
- Czech language package for lightning - Transitional package
- lightning-l10n-cs
- virtueel pakket geboden door thunderbird-l10n-cs
- lightning-l10n-cy (1:78.14.0-1~deb10u1)
- Welsh language package for lightning - Transitional package
- lightning-l10n-cy
- virtueel pakket geboden door thunderbird-l10n-cy
- lightning-l10n-da (1:78.14.0-1~deb10u1)
- Danish language package for lightning - Transitional package
- lightning-l10n-da
- virtueel pakket geboden door thunderbird-l10n-da
- lightning-l10n-de (1:78.14.0-1~deb10u1)
- German language package for lightning - Transitional package
- lightning-l10n-de
- virtueel pakket geboden door thunderbird-l10n-de
- lightning-l10n-dsb (1:78.14.0-1~deb10u1)
- Lower Sorbian language package for lightning - Transitional package
- lightning-l10n-dsb
- virtueel pakket geboden door thunderbird-l10n-dsb
- lightning-l10n-el (1:78.14.0-1~deb10u1)
- Greek language package for lightning - Transitional package
- lightning-l10n-el
- virtueel pakket geboden door thunderbird-l10n-el
- lightning-l10n-en-gb (1:78.14.0-1~deb10u1)
- British English language package for lightning - Transitional package
- lightning-l10n-en-gb
- virtueel pakket geboden door thunderbird-l10n-en-gb
- lightning-l10n-es-ar (1:78.14.0-1~deb10u1)
- Spanish (Argentina) language package for lightning - Transitional package
- lightning-l10n-es-ar
- virtueel pakket geboden door thunderbird-l10n-es-ar
- lightning-l10n-es-es (1:78.14.0-1~deb10u1)
- Spanish (Spain) language package for lightning - Transitional package
- lightning-l10n-es-es
- virtueel pakket geboden door thunderbird-l10n-es-es
- lightning-l10n-et (1:78.14.0-1~deb10u1)
- Estonian language package for lightning - Transitional package
- lightning-l10n-et
- virtueel pakket geboden door thunderbird-l10n-et
- lightning-l10n-eu (1:78.14.0-1~deb10u1)
- Basque language package for lightning - Transitional package
- lightning-l10n-eu
- virtueel pakket geboden door thunderbird-l10n-eu
- lightning-l10n-fi (1:78.14.0-1~deb10u1)
- Finnish language package for lightning - Transitional package
- lightning-l10n-fi
- virtueel pakket geboden door thunderbird-l10n-fi
- lightning-l10n-fr (1:78.14.0-1~deb10u1)
- French language package for lightning - Transitional package
- lightning-l10n-fr
- virtueel pakket geboden door thunderbird-l10n-fr
- lightning-l10n-fy-nl (1:78.14.0-1~deb10u1)
- Western Frisian language package for lightning - Transitional package
- lightning-l10n-fy-nl
- virtueel pakket geboden door thunderbird-l10n-fy-nl
- lightning-l10n-ga-ie (1:78.14.0-1~deb10u1)
- Irish (Ireland) language package for lightning - Transitional package
- lightning-l10n-ga-ie
- virtueel pakket geboden door thunderbird-l10n-ga-ie
- lightning-l10n-gd (1:78.14.0-1~deb10u1)
- Scottish Gaelic language package for lightning - Transitional package
- lightning-l10n-gd
- virtueel pakket geboden door thunderbird-l10n-gd
- lightning-l10n-gl (1:78.14.0-1~deb10u1)
- Galician language package for lightning - Transitional package
- lightning-l10n-gl
- virtueel pakket geboden door thunderbird-l10n-gl
- lightning-l10n-he (1:78.14.0-1~deb10u1)
- Hebrew language package for lightning - Transitional package
- lightning-l10n-he
- virtueel pakket geboden door thunderbird-l10n-he
- lightning-l10n-hr (1:78.14.0-1~deb10u1)
- Croatian language package for lightning - Transitional package
- lightning-l10n-hr
- virtueel pakket geboden door thunderbird-l10n-hr
- lightning-l10n-hsb (1:78.14.0-1~deb10u1)
- Upper Sorbian language package for lightning - Transitional package
- lightning-l10n-hsb
- virtueel pakket geboden door thunderbird-l10n-hsb
- lightning-l10n-hu (1:78.14.0-1~deb10u1)
- Hungarian language package for lightning - Transitional package
- lightning-l10n-hu
- virtueel pakket geboden door thunderbird-l10n-hu
- lightning-l10n-hy-am (1:78.14.0-1~deb10u1)
- Armenian language package for lightning - Transitional package
- lightning-l10n-hy-am
- virtueel pakket geboden door thunderbird-l10n-hy-am
- lightning-l10n-id (1:78.14.0-1~deb10u1)
- Indonesian language package for lightning - Transitional package
- lightning-l10n-id
- virtueel pakket geboden door thunderbird-l10n-id
- lightning-l10n-is (1:78.14.0-1~deb10u1)
- Icelandic language package for lightning - Transitional package
- lightning-l10n-is
- virtueel pakket geboden door thunderbird-l10n-is
- lightning-l10n-it (1:78.14.0-1~deb10u1)
- Italian language package for lightning - Transitional package
- lightning-l10n-it
- virtueel pakket geboden door thunderbird-l10n-it
- lightning-l10n-ja (1:78.14.0-1~deb10u1)
- Japanese language package for lightning - Transitional package
- lightning-l10n-ja
- virtueel pakket geboden door thunderbird-l10n-ja
- lightning-l10n-kab (1:78.14.0-1~deb10u1)
- Kabyle language package for lightning - Transitional package
- lightning-l10n-kab
- virtueel pakket geboden door thunderbird-l10n-kab
- lightning-l10n-kk (1:78.14.0-1~deb10u1)
- Kazakh language package for lightning - Transitional package
- lightning-l10n-kk
- virtueel pakket geboden door thunderbird-l10n-kk
- lightning-l10n-ko (1:78.14.0-1~deb10u1)
- Korean language package for lightning - Transitional package
- lightning-l10n-ko
- virtueel pakket geboden door thunderbird-l10n-ko
- lightning-l10n-lt (1:78.14.0-1~deb10u1)
- Lithuanian language package for lightning - Transitional package
- lightning-l10n-lt
- virtueel pakket geboden door thunderbird-l10n-lt
- lightning-l10n-ms (1:78.14.0-1~deb10u1)
- Malay language package for lightning - Transitional package
- lightning-l10n-ms
- virtueel pakket geboden door thunderbird-l10n-ms
- lightning-l10n-nb-no (1:78.14.0-1~deb10u1)
- Bokmaal (Norway) language package for lightning - Transitional package
- lightning-l10n-nb-no
- virtueel pakket geboden door thunderbird-l10n-nb-no
- lightning-l10n-nl (1:78.14.0-1~deb10u1)
- Dutch language package for lightning - Transitional package
- lightning-l10n-nl
- virtueel pakket geboden door thunderbird-l10n-nl
- lightning-l10n-nn-no (1:78.14.0-1~deb10u1)
- Nynorsk (Norway) language package for lightning - Transitional package
- lightning-l10n-nn-no
- virtueel pakket geboden door thunderbird-l10n-nn-no
- lightning-l10n-pl (1:78.14.0-1~deb10u1)
- Polish language package for lightning - Transitional package
- lightning-l10n-pl
- virtueel pakket geboden door thunderbird-l10n-pl
- lightning-l10n-pt-br (1:78.14.0-1~deb10u1)
- Portuguese (Brazil) language package for lightning - Transitional package
- lightning-l10n-pt-br
- virtueel pakket geboden door thunderbird-l10n-pt-br
- lightning-l10n-pt-pt (1:78.14.0-1~deb10u1)
- Portuguese (Portugal) language package for lightning - Transitional package
- lightning-l10n-pt-pt
- virtueel pakket geboden door thunderbird-l10n-pt-pt
- lightning-l10n-rm (1:78.14.0-1~deb10u1)
- Romansh language package for lightning - Transitional package
- lightning-l10n-rm
- virtueel pakket geboden door thunderbird-l10n-rm
- lightning-l10n-ro (1:78.14.0-1~deb10u1)
- Romanian language package for lightning - Transitional package
- lightning-l10n-ro
- virtueel pakket geboden door thunderbird-l10n-ro
- lightning-l10n-ru (1:78.14.0-1~deb10u1)
- Russian language package for lightning - Transitional package
- lightning-l10n-ru
- virtueel pakket geboden door thunderbird-l10n-ru
- lightning-l10n-si (1:78.14.0-1~deb10u1)
- Sinhala language package for lightning - Transitional package
- lightning-l10n-si
- virtueel pakket geboden door thunderbird-l10n-si
- lightning-l10n-sk (1:78.14.0-1~deb10u1)
- Slovak language package for lightning - Transitional package
- lightning-l10n-sk
- virtueel pakket geboden door thunderbird-l10n-sk
- lightning-l10n-sl (1:78.14.0-1~deb10u1)
- Slovenian language package for lightning - Transitional package
- lightning-l10n-sl
- virtueel pakket geboden door thunderbird-l10n-sl
- lightning-l10n-sq (1:78.14.0-1~deb10u1)
- Albanian language package for lightning - Transitional package
- lightning-l10n-sq
- virtueel pakket geboden door thunderbird-l10n-sq
- lightning-l10n-sr (1:78.14.0-1~deb10u1)
- Serbian language package for lightning - Transitional package
- lightning-l10n-sr
- virtueel pakket geboden door thunderbird-l10n-sr
- lightning-l10n-sv-se (1:78.14.0-1~deb10u1)
- Swedish language package for lightning - Transitional package
- lightning-l10n-sv-se
- virtueel pakket geboden door thunderbird-l10n-sv-se
- lightning-l10n-tr (1:78.14.0-1~deb10u1)
- Turkish language package for lightning - Transitional package
- lightning-l10n-tr
- virtueel pakket geboden door thunderbird-l10n-tr
- lightning-l10n-uk (1:78.14.0-1~deb10u1)
- Ukrainian language package for lightning - Transitional package
- lightning-l10n-uk
- virtueel pakket geboden door thunderbird-l10n-uk
- lightning-l10n-vi (1:78.14.0-1~deb10u1)
- Vietnamese language package for lightning - Transitional package
- lightning-l10n-vi
- virtueel pakket geboden door thunderbird-l10n-vi
- lightning-l10n-zh-cn (1:78.14.0-1~deb10u1)
- Chinese (China) language package for lightning - Transitional package
- lightning-l10n-zh-cn
- virtueel pakket geboden door thunderbird-l10n-zh-cn
- lightning-l10n-zh-tw (1:78.14.0-1~deb10u1)
- Chinese (Taiwan) language package for lightning - Transitional package
- lightning-l10n-zh-tw
- virtueel pakket geboden door thunderbird-l10n-zh-tw
- lightsoff (1:3.30.0-2)
- Light puzzle game
- lightspeed (1.2a.debian.1-2)
- Shows how objects moving at relativistic speeds look like
- lightsquid (1.8-6)
- Lite and fast log analizer for squid proxy
- lighttpd (1.4.53-4+deb10u3) [security]
- fast webserver with minimal memory footprint
- lighttpd-doc (1.4.53-4+deb10u3) [security]
- documentation for lighttpd
- lighttpd-mod-access
- virtueel pakket geboden door lighttpd
- lighttpd-mod-accesslog
- virtueel pakket geboden door lighttpd
- lighttpd-mod-alias
- virtueel pakket geboden door lighttpd
- lighttpd-mod-auth
- virtueel pakket geboden door lighttpd
- lighttpd-mod-authn-file
- virtueel pakket geboden door lighttpd
- lighttpd-mod-authn-gssapi (1.4.53-4+deb10u3) [security]
- GSSAPI authentication for lighttpd
- lighttpd-mod-authn-ldap (1.4.53-4+deb10u3) [security]
- Transitional dummy package for LDAP authentication for lighttpd
- lighttpd-mod-authn-ldap
- virtueel pakket geboden door lighttpd-modules-ldap
- lighttpd-mod-authn-mysql (1.4.53-4+deb10u3) [security]
- Transitional dummy package for MySQL authentication for lighttpd
- lighttpd-mod-authn-mysql
- virtueel pakket geboden door lighttpd-modules-mysql
- lighttpd-mod-authn-pam (1.4.53-4+deb10u3) [security]
- PAM authentication for lighttpd
- lighttpd-mod-authn-sasl (1.4.53-4+deb10u3) [security]
- SASL authentication for lighttpd
- lighttpd-mod-cgi
- virtueel pakket geboden door lighttpd
- lighttpd-mod-cml (1.4.53-4+deb10u3) [security]
- cache meta language module for lighttpd
- lighttpd-mod-compress
- virtueel pakket geboden door lighttpd
- lighttpd-mod-deflate
- virtueel pakket geboden door lighttpd
- lighttpd-mod-dirlisting
- virtueel pakket geboden door lighttpd
- lighttpd-mod-evasive
- virtueel pakket geboden door lighttpd
- lighttpd-mod-evhost
- virtueel pakket geboden door lighttpd
- lighttpd-mod-expire
- virtueel pakket geboden door lighttpd
- lighttpd-mod-extforward
- virtueel pakket geboden door lighttpd
- lighttpd-mod-fastcgi
- virtueel pakket geboden door lighttpd
- lighttpd-mod-flv-streaming
- virtueel pakket geboden door lighttpd
- lighttpd-mod-geoip (1.4.53-4+deb10u3) [security]
- GeoIP restrictions for lighttpd
- lighttpd-mod-indexfile
- virtueel pakket geboden door lighttpd
- lighttpd-mod-magnet (1.4.53-4+deb10u3) [security]
- control the request handling module for lighttpd
- lighttpd-mod-mysql-vhost (1.4.53-4+deb10u3) [security]
- Transitional dummy package for lighttpd MySQL-based vhost config
- lighttpd-mod-mysql-vhost
- virtueel pakket geboden door lighttpd-modules-mysql
- lighttpd-mod-openssl
- virtueel pakket geboden door lighttpd
- lighttpd-mod-proxy
- virtueel pakket geboden door lighttpd
- lighttpd-mod-redirect
- virtueel pakket geboden door lighttpd
- lighttpd-mod-rewrite
- virtueel pakket geboden door lighttpd
- lighttpd-mod-rrdtool
- virtueel pakket geboden door lighttpd
- lighttpd-mod-scgi
- virtueel pakket geboden door lighttpd
- lighttpd-mod-secdownload
- virtueel pakket geboden door lighttpd
- lighttpd-mod-setenv
- virtueel pakket geboden door lighttpd
- lighttpd-mod-simple-vhost
- virtueel pakket geboden door lighttpd
- lighttpd-mod-sockproxy
- virtueel pakket geboden door lighttpd
- lighttpd-mod-ssi
- virtueel pakket geboden door lighttpd
- lighttpd-mod-staticfile
- virtueel pakket geboden door lighttpd
- lighttpd-mod-status
- virtueel pakket geboden door lighttpd
- lighttpd-mod-trigger-b4-dl (1.4.53-4+deb10u3) [security]
- anti-deep-linking module for lighttpd
- lighttpd-mod-uploadprogress
- virtueel pakket geboden door lighttpd
- lighttpd-mod-userdir
- virtueel pakket geboden door lighttpd
- lighttpd-mod-usertrack
- virtueel pakket geboden door lighttpd
- lighttpd-mod-vhostdb
- virtueel pakket geboden door lighttpd
- lighttpd-mod-vhostdb-dbi (1.4.53-4+deb10u3) [security]
- DBI-based virtual host configuration for lighttpd
- lighttpd-mod-vhostdb-ldap
- virtueel pakket geboden door lighttpd-modules-ldap
- lighttpd-mod-vhostdb-mysql
- virtueel pakket geboden door lighttpd-modules-mysql
- lighttpd-mod-vhostdb-pgsql (1.4.53-4+deb10u3) [security]
- PostgreSQL-based virtual host configuration for lighttpd
- lighttpd-mod-webdav (1.4.53-4+deb10u3) [security]
- WebDAV module for lighttpd
- lighttpd-mod-wstunnel
- virtueel pakket geboden door lighttpd
- lighttpd-modules-ldap (1.4.53-4+deb10u3) [security]
- LDAP-based modules for lighttpd
- lighttpd-modules-mysql (1.4.53-4+deb10u3) [security]
- MySQL-based modules for lighttpd
- lightyears (1.4-2)
- single player real-time strategy game with steampunk sci-fi
- likwid (4.3.3+dfsg1-1)
- toolsuite for performance oriented programmers
- lilo (1:24.2-4)
- LInux LOader - the classic OS boot loader
- lilo-doc (1:24.2-4)
- LInux LOader - Documentation for the classic OS boot loader
- lilo-installer (1.60)
- Install LILO on a hard disk
- lilv-utils (0.24.2~dfsg0-2)
- library for simple use of LV2 plugins (runtime files)
- lilypond (2.19.81+really-2.18.2-13+deb10u1)
- program for typesetting sheet music
- lilypond-data (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond music typesetter (data files)
- lilypond-doc (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond Documentation in info format (and metapackage)
- lilypond-doc-html (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation
- lilypond-doc-html-cs (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Czech
- lilypond-doc-html-de (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in German
- lilypond-doc-html-es (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Spanish
- lilypond-doc-html-fr (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in French
- lilypond-doc-html-hu (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Hungarian
- lilypond-doc-html-it (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Italian
- lilypond-doc-html-ja (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Japanese
- lilypond-doc-html-nl (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Dutch
- lilypond-doc-html-zh (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond HTML Documentation in Chinese
- lilypond-doc-pdf (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation
- lilypond-doc-pdf-de (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in German
- lilypond-doc-pdf-es (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in Spanish
- lilypond-doc-pdf-fr (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in French
- lilypond-doc-pdf-hu (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in Hungarian
- lilypond-doc-pdf-it (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in Italian
- lilypond-doc-pdf-nl (2.19.81+really-2.18.2-13+deb10u1)
- LilyPond PDF Documentation in Dutch
- lilyterm (0.9.9.4+git20150208.f600c0-5)
- Light and eazy-to-use terminal emulator for X
- lime-forensics
- virtueel pakket geboden door lime-forensics-dkms
- lime-forensics-dkms (1.8.1-1)
- kernel module to memory dump (DKMS)
- limereg (1.4.1-4+b1)
- Lightweight Image Registration
- limesuite (18.06.0+dfsg-1+b1)
- tools to test, control and update LMS7 transceiver based hardware
- limesuite-udev (18.06.0+dfsg-1)
- Lime Suite - USB rules for udev
- limnoria (2019.02.23-1+deb10u1)
- robust and user-friendly Python IRC bot
- linaro-image-tools (2016.05-1.1)
- collection of tools to work with Linaro images
- lincity (1.13.1-13+b1)
- build & maintain a city/country
- lincity-ng (2.9~git20150314-3)
- City simulator game with polished graphics
- lincity-ng-data (2.9~git20150314-3)
- Media files for the city simulator game LinCity-NG
- lincredits (0.7+nmu1)
- Generate nicely-formatted versions of the Linux CREDITS file
- lingot (1.0.1-1)
- accurate and easy to use musical instrument tuner
- linguider (4.1.1-1)
- Astronomical autoguiding program for Linux
- link-grammar (5.5.1-6)
- Carnegie Mellon University's link grammar parser
- link-grammar-dictionaries-all (5.5.1-6)
- Carnegie Mellon University's link grammar parser (all dictionaries)
- link-grammar-dictionaries-en (5.5.1-6)
- Carnegie Mellon University's link grammar parser (English dictionary)
- linkchecker (9.4.0-2)
- check websites and HTML documents for broken links
- linkchecker-web (9.4.0-2)
- check websites and HTML documents for broken links (web client)
- linklint (2.3.5-5.1)
- A fast link checker and web site maintenance tool
- links (2.18-2)
- Web browser running in text mode
- links2 (2.18-2)
- Web browser running in both graphics and text mode
- linpac (0.24-3+b1)
- terminal for packet radio with mail client
- linphone (3.12.0-3)
- SIP softphone - graphical client
- linphone-common (3.12.0-3)
- Shared components of the linphone SIP softphone
- linphone-nogtk (3.12.0-3)
- SIP softphone - console-only client
- linpsk (1.3.5-1.1)
- program for operating PSK31/RTTY modes with X GUI
- linssid (3.6-3)
- graphical wireless scanner
- lintex (1.14-1+b1)
- automatic cleanup of old TeX-related files
- lintian (2.15.0)
- Debian package checker
- lintian-brush (0.13.1)
- automatically fix lintian problems
- linux-base (4.6)
- Linux image base package
- linux-compiler-gcc-8-arm (4.19.304-1) [security]
- Compiler for Linux on ARM (meta-package)
- linux-compiler-gcc-8-x86 (4.19.304-1) [security]
- Compiler for Linux on x86 (meta-package)
- linux-config-4.19 (4.19.304-1) [security]
- Debian kernel configurations for Linux 4.19
- linux-config-5.10 (5.10.216-1~deb10u1) [security]
- Debian kernel configurations for Linux 5.10
- linux-cpupower (4.19.304-1) [security]
- CPU power management tools for Linux
- linux-doc (4.19+105+deb10u21) [security]
- Linux kernel specific documentation (meta-package)
- linux-doc-4.19 (4.19.304-1) [security]
- Linux kernel specific documentation for version 4.19
- linux-doc-5.10 (5.10.216-1~deb10u1) [security]
- Linux kernel specific documentation for version 5.10
- (4.19.235-1)
- Header files for Linux 4.19.0-20-686
- (4.19.235-1)
- Header files for Linux 4.19.0-20-686-pae
- (4.19.235-1)
- All header files for Linux 4.19 (meta-package)
- (4.19.235-1)
- All header files for Linux 4.19 (meta-package)
- (4.19.235-1)
- All header files for Linux 4.19 (meta-package)
- (4.19.235-1)
- All header files for Linux 4.19 (meta-package)
- (4.19.235-1)
- All header files for Linux 4.19 (meta-package)
- (4.19.235-1)
- Header files for Linux 4.19.0-20-amd64
- (4.19.235-1)
- Header files for Linux 4.19.0-20-arm64
- (4.19.235-1)
- Header files for Linux 4.19.0-20-armmp
- (4.19.235-1)
- Header files for Linux 4.19.0-20-armmp-lpae
- (4.19.235-1)
- Header files for Linux 4.19.0-20-cloud-amd64
- (4.19.235-1)
- Common header files for Linux 4.19.0-20
- (4.19.235-1)
- Common header files for Linux 4.19.0-20-rt
- (4.19.235-1)
- Header files for Linux 4.19.0-20-rt-686-pae
- (4.19.235-1)
- Header files for Linux 4.19.0-20-rt-amd64
- (4.19.235-1)
- Header files for Linux 4.19.0-20-rt-arm64
- (4.19.235-1)
- Header files for Linux 4.19.0-20-rt-armmp
- (4.19.249-2)
- Header files for Linux 4.19.0-21-686
- (4.19.249-2)
- Header files for Linux 4.19.0-21-686-pae
- (4.19.249-2)
- All header files for Linux 4.19 (meta-package)
- (4.19.249-2)
- All header files for Linux 4.19 (meta-package)
- (4.19.249-2)
- All header files for Linux 4.19 (meta-package)
- (4.19.249-2)
- All header files for Linux 4.19 (meta-package)
- (4.19.249-2)
- All header files for Linux 4.19 (meta-package)
- (4.19.249-2)
- Header files for Linux 4.19.0-21-amd64
- (4.19.249-2)
- Header files for Linux 4.19.0-21-arm64
- (4.19.249-2)
- Header files for Linux 4.19.0-21-armmp
- (4.19.249-2)
- Header files for Linux 4.19.0-21-armmp-lpae
- (4.19.249-2)
- Header files for Linux 4.19.0-21-cloud-amd64
- (4.19.249-2)
- Common header files for Linux 4.19.0-21
- (4.19.249-2)
- Common header files for Linux 4.19.0-21-rt
- (4.19.249-2)
- Header files for Linux 4.19.0-21-rt-686-pae
- (4.19.249-2)
- Header files for Linux 4.19.0-21-rt-amd64
- (4.19.249-2)
- Header files for Linux 4.19.0-21-rt-arm64
- (4.19.249-2)
- Header files for Linux 4.19.0-21-rt-armmp
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-686
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-686-pae
- (4.19.304-1) [security]
- All header files for Linux 4.19 (meta-package)
- (4.19.304-1) [security]
- All header files for Linux 4.19 (meta-package)
- (4.19.304-1) [security]
- All header files for Linux 4.19 (meta-package)
- (4.19.304-1) [security]
- All header files for Linux 4.19 (meta-package)
- (4.19.304-1) [security]
- All header files for Linux 4.19 (meta-package)
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-amd64
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-arm64
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-armmp
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-armmp-lpae
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-cloud-amd64
- (4.19.304-1) [security]
- Common header files for Linux 4.19.0-26
- (4.19.304-1) [security]
- Common header files for Linux 4.19.0-26-rt
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-rt-686-pae
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-rt-amd64
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-rt-arm64
- (4.19.304-1) [security]
- Header files for Linux 4.19.0-26-rt-armmp
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 686 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 686-pae configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux amd64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux arm64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux armmp configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux armmp-lpae configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux cloud-amd64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux cloud-arm64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux rt-686-pae configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux rt-amd64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux rt-arm64 configuration (meta-package)
- (5.10.216-1~deb10u1) [security]
- Header files for Linux rt-armmp configuration (meta-package)
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-686
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-686-pae
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-amd64
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-arm64
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-armmp
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-armmp-lpae
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-cloud-amd64
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-cloud-arm64
- (5.10.179-5~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.24
- (5.10.179-5~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.24-rt
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-rt-686-pae
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-rt-amd64
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-rt-arm64
- (5.10.179-5~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.24-rt-armmp
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-686
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-686-pae
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-amd64
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-arm64
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-armmp
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-armmp-lpae
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-cloud-amd64
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-cloud-arm64
- (5.10.197-1~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.26
- (5.10.197-1~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.26-rt
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-rt-686-pae
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-rt-amd64
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-rt-arm64
- (5.10.197-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.26-rt-armmp
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-686
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-686-pae
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-amd64
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-arm64
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-armmp
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-armmp-lpae
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-cloud-amd64
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-cloud-arm64
- (5.10.205-2~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.27
- (5.10.205-2~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.27-rt
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-rt-686-pae
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-rt-amd64
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-rt-arm64
- (5.10.205-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.27-rt-armmp
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-686
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-686-pae
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-amd64
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-arm64
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-armmp
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-armmp-lpae
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-cloud-amd64
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-cloud-arm64
- (5.10.209-2~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.28
- (5.10.209-2~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.28-rt
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-rt-686-pae
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-rt-amd64
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-rt-arm64
- (5.10.209-2~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.28-rt-armmp
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-686
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-686-pae
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-amd64
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-arm64
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-armmp
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-armmp-lpae
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-cloud-amd64
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-cloud-arm64
- (5.10.216-1~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.29
- (5.10.216-1~deb10u1) [security]
- Common header files for Linux 5.10.0-0.deb10.29-rt
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-rt-686-pae
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-rt-amd64
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-rt-arm64
- (5.10.216-1~deb10u1) [security]
- Header files for Linux 5.10.0-0.deb10.29-rt-armmp
- (4.19+105+deb10u21) [security]
- Header files for Linux 686 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux 686-pae configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux amd64 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux arm64 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux armmp configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux armmp-lpae configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux cloud-amd64 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux rt-686-pae configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux rt-amd64 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux rt-arm64 configuration (meta-package)
- (4.19+105+deb10u21) [security]
- Header files for Linux rt-armmp configuration (meta-package)
- linux-image-4.19.0-20-686 (4.19.235-1)
- Linux 4.19 for older PCs (signed)
- linux-image-4.19.0-20-686-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-686
- linux-image-4.19.0-20-686-pae (4.19.235-1)
- Linux 4.19 for modern PCs (signed)
- linux-image-4.19.0-20-686-pae-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-686-pae
- linux-image-4.19.0-20-686-pae-unsigned (4.19.235-1)
- Linux 4.19 for modern PCs
- linux-image-4.19.0-20-686-unsigned (4.19.235-1)
- Linux 4.19 for older PCs
- linux-image-4.19.0-20-amd64 (4.19.235-1)
- Linux 4.19 for 64-bit PCs (signed)
- linux-image-4.19.0-20-amd64-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-amd64
- linux-image-4.19.0-20-amd64-unsigned (4.19.235-1)
- Linux 4.19 for 64-bit PCs
- linux-image-4.19.0-20-arm64 (4.19.235-1)
- Linux 4.19 for 64-bit ARMv8 machines (signed)
- linux-image-4.19.0-20-arm64-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-arm64
- linux-image-4.19.0-20-arm64-unsigned (4.19.235-1)
- Linux 4.19 for 64-bit ARMv8 machines
- linux-image-4.19.0-20-armmp (4.19.235-1)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs
- linux-image-4.19.0-20-armmp-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-armmp
- linux-image-4.19.0-20-armmp-lpae (4.19.235-1)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-4.19.0-20-armmp-lpae-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-armmp-lpae
- linux-image-4.19.0-20-cloud-amd64 (4.19.235-1)
- Linux 4.19 for x86-64 cloud (signed)
- linux-image-4.19.0-20-cloud-amd64-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-cloud-amd64
- linux-image-4.19.0-20-cloud-amd64-unsigned (4.19.235-1)
- Linux 4.19 for x86-64 cloud
- linux-image-4.19.0-20-rt-686-pae (4.19.235-1)
- Linux 4.19 for modern PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-20-rt-686-pae-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-rt-686-pae
- linux-image-4.19.0-20-rt-686-pae-unsigned (4.19.235-1)
- Linux 4.19 for modern PCs, PREEMPT_RT
- linux-image-4.19.0-20-rt-amd64 (4.19.235-1)
- Linux 4.19 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-20-rt-amd64-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-rt-amd64
- linux-image-4.19.0-20-rt-amd64-unsigned (4.19.235-1)
- Linux 4.19 for 64-bit PCs, PREEMPT_RT
- linux-image-4.19.0-20-rt-arm64 (4.19.235-1)
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-4.19.0-20-rt-arm64-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-rt-arm64
- linux-image-4.19.0-20-rt-arm64-unsigned (4.19.235-1)
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-4.19.0-20-rt-armmp (4.19.235-1)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-4.19.0-20-rt-armmp-dbg (4.19.235-1)
- Debug symbols for linux-image-4.19.0-20-rt-armmp
- linux-image-4.19.0-21-686 (4.19.249-2)
- Linux 4.19 for older PCs (signed)
- linux-image-4.19.0-21-686-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-686
- linux-image-4.19.0-21-686-pae (4.19.249-2)
- Linux 4.19 for modern PCs (signed)
- linux-image-4.19.0-21-686-pae-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-686-pae
- linux-image-4.19.0-21-686-pae-unsigned (4.19.249-2)
- Linux 4.19 for modern PCs
- linux-image-4.19.0-21-686-unsigned (4.19.249-2)
- Linux 4.19 for older PCs
- linux-image-4.19.0-21-amd64 (4.19.249-2)
- Linux 4.19 for 64-bit PCs (signed)
- linux-image-4.19.0-21-amd64-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-amd64
- linux-image-4.19.0-21-amd64-unsigned (4.19.249-2)
- Linux 4.19 for 64-bit PCs
- linux-image-4.19.0-21-arm64 (4.19.249-2)
- Linux 4.19 for 64-bit ARMv8 machines (signed)
- linux-image-4.19.0-21-arm64-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-arm64
- linux-image-4.19.0-21-arm64-unsigned (4.19.249-2)
- Linux 4.19 for 64-bit ARMv8 machines
- linux-image-4.19.0-21-armmp (4.19.249-2)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs
- linux-image-4.19.0-21-armmp-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-armmp
- linux-image-4.19.0-21-armmp-lpae (4.19.249-2)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-4.19.0-21-armmp-lpae-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-armmp-lpae
- linux-image-4.19.0-21-cloud-amd64 (4.19.249-2)
- Linux 4.19 for x86-64 cloud (signed)
- linux-image-4.19.0-21-cloud-amd64-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-cloud-amd64
- linux-image-4.19.0-21-cloud-amd64-unsigned (4.19.249-2)
- Linux 4.19 for x86-64 cloud
- linux-image-4.19.0-21-rt-686-pae (4.19.249-2)
- Linux 4.19 for modern PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-21-rt-686-pae-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-rt-686-pae
- linux-image-4.19.0-21-rt-686-pae-unsigned (4.19.249-2)
- Linux 4.19 for modern PCs, PREEMPT_RT
- linux-image-4.19.0-21-rt-amd64 (4.19.249-2)
- Linux 4.19 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-21-rt-amd64-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-rt-amd64
- linux-image-4.19.0-21-rt-amd64-unsigned (4.19.249-2)
- Linux 4.19 for 64-bit PCs, PREEMPT_RT
- linux-image-4.19.0-21-rt-arm64 (4.19.249-2)
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-4.19.0-21-rt-arm64-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-rt-arm64
- linux-image-4.19.0-21-rt-arm64-unsigned (4.19.249-2)
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-4.19.0-21-rt-armmp (4.19.249-2)
- Linux 4.19 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-4.19.0-21-rt-armmp-dbg (4.19.249-2)
- Debug symbols for linux-image-4.19.0-21-rt-armmp
- linux-image-4.19.0-25-686 (4.19.289-2) [security]
- Linux 4.19 for older PCs (signed)
- linux-image-4.19.0-25-686-pae (4.19.289-2) [security]
- Linux 4.19 for modern PCs (signed)
- linux-image-4.19.0-25-amd64 (4.19.289-2) [security]
- Linux 4.19 for 64-bit PCs (signed)
- linux-image-4.19.0-25-arm64 (4.19.289-2) [security]
- Linux 4.19 for 64-bit ARMv8 machines (signed)
- linux-image-4.19.0-25-cloud-amd64 (4.19.289-2) [security]
- Linux 4.19 for x86-64 cloud (signed)
- linux-image-4.19.0-25-rt-686-pae (4.19.289-2) [security]
- Linux 4.19 for modern PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-25-rt-amd64 (4.19.289-2) [security]
- Linux 4.19 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-25-rt-arm64 (4.19.289-2) [security]
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-4.19.0-26-686 (4.19.304-1) [security]
- Linux 4.19 for older PCs (signed)
- linux-image-4.19.0-26-686-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-686
- linux-image-4.19.0-26-686-pae (4.19.304-1) [security]
- Linux 4.19 for modern PCs (signed)
- linux-image-4.19.0-26-686-pae-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-686-pae
- linux-image-4.19.0-26-686-pae-unsigned (4.19.304-1) [security]
- Linux 4.19 for modern PCs
- linux-image-4.19.0-26-686-unsigned (4.19.304-1) [security]
- Linux 4.19 for older PCs
- linux-image-4.19.0-26-amd64 (4.19.304-1) [security]
- Linux 4.19 for 64-bit PCs (signed)
- linux-image-4.19.0-26-amd64-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-amd64
- linux-image-4.19.0-26-amd64-unsigned (4.19.304-1) [security]
- Linux 4.19 for 64-bit PCs
- linux-image-4.19.0-26-arm64 (4.19.304-1) [security]
- Linux 4.19 for 64-bit ARMv8 machines (signed)
- linux-image-4.19.0-26-arm64-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-arm64
- linux-image-4.19.0-26-arm64-unsigned (4.19.304-1) [security]
- Linux 4.19 for 64-bit ARMv8 machines
- linux-image-4.19.0-26-armmp (4.19.304-1) [security]
- Linux 4.19 for ARMv7 multiplatform compatible SoCs
- linux-image-4.19.0-26-armmp-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-armmp
- linux-image-4.19.0-26-armmp-lpae (4.19.304-1) [security]
- Linux 4.19 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-4.19.0-26-armmp-lpae-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-armmp-lpae
- linux-image-4.19.0-26-cloud-amd64 (4.19.304-1) [security]
- Linux 4.19 for x86-64 cloud (signed)
- linux-image-4.19.0-26-cloud-amd64-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-cloud-amd64
- linux-image-4.19.0-26-cloud-amd64-unsigned (4.19.304-1) [security]
- Linux 4.19 for x86-64 cloud
- linux-image-4.19.0-26-rt-686-pae (4.19.304-1) [security]
- Linux 4.19 for modern PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-26-rt-686-pae-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-rt-686-pae
- linux-image-4.19.0-26-rt-686-pae-unsigned (4.19.304-1) [security]
- Linux 4.19 for modern PCs, PREEMPT_RT
- linux-image-4.19.0-26-rt-amd64 (4.19.304-1) [security]
- Linux 4.19 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-4.19.0-26-rt-amd64-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-rt-amd64
- linux-image-4.19.0-26-rt-amd64-unsigned (4.19.304-1) [security]
- Linux 4.19 for 64-bit PCs, PREEMPT_RT
- linux-image-4.19.0-26-rt-arm64 (4.19.304-1) [security]
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-4.19.0-26-rt-arm64-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-rt-arm64
- linux-image-4.19.0-26-rt-arm64-unsigned (4.19.304-1) [security]
- Linux 4.19 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-4.19.0-26-rt-armmp (4.19.304-1) [security]
- Linux 4.19 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-4.19.0-26-rt-armmp-dbg (4.19.304-1) [security]
- Debug symbols for linux-image-4.19.0-26-rt-armmp
- linux-image-5.10-686 (5.10.216-1~deb10u1) [security]
- Linux for older PCs (meta-package)
- linux-image-5.10-686-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux 686 configuration (meta-package)
- linux-image-5.10-686-pae (5.10.216-1~deb10u1) [security]
- Linux for modern PCs (meta-package)
- linux-image-5.10-686-pae-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux 686-pae configuration (meta-package)
- linux-image-5.10-amd64 (5.10.216-1~deb10u1) [security]
- Linux for 64-bit PCs (meta-package)
- linux-image-5.10-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux amd64 configuration (meta-package)
- linux-image-5.10-amd64-signed-template (5.10.216-1~deb10u1) [security]
- Template for signed linux-image packages for amd64
- linux-image-5.10-arm64 (5.10.216-1~deb10u1) [security]
- Linux for 64-bit ARMv8 machines (meta-package)
- linux-image-5.10-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux arm64 configuration (meta-package)
- linux-image-5.10-arm64-signed-template (5.10.216-1~deb10u1) [security]
- Template for signed linux-image packages for arm64
- linux-image-5.10-armmp (5.10.216-1~deb10u1) [security]
- Linux for ARMv7 multiplatform compatible SoCs (meta-package)
- linux-image-5.10-armmp-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux armmp configuration (meta-package)
- linux-image-5.10-armmp-lpae (5.10.216-1~deb10u1) [security]
- Linux for ARMv7 multiplatform compatible SoCs supporting LPAE (meta-package)
- linux-image-5.10-armmp-lpae-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux armmp-lpae configuration (meta-package)
- linux-image-5.10-cloud-amd64 (5.10.216-1~deb10u1) [security]
- Linux for x86-64 cloud (meta-package)
- linux-image-5.10-cloud-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux cloud-amd64 configuration (meta-package)
- linux-image-5.10-cloud-arm64 (5.10.216-1~deb10u1) [security]
- Linux for arm64 cloud (meta-package)
- linux-image-5.10-cloud-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux cloud-arm64 configuration (meta-package)
- linux-image-5.10-i386-signed-template (5.10.216-1~deb10u1) [security]
- Template for signed linux-image packages for i386
- linux-image-5.10-rt-686-pae (5.10.216-1~deb10u1) [security]
- Linux for modern PCs (meta-package)
- linux-image-5.10-rt-686-pae-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux rt-686-pae configuration (meta-package)
- linux-image-5.10-rt-amd64 (5.10.216-1~deb10u1) [security]
- Linux for 64-bit PCs (meta-package)
- linux-image-5.10-rt-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux rt-amd64 configuration (meta-package)
- linux-image-5.10-rt-arm64 (5.10.216-1~deb10u1) [security]
- Linux for 64-bit ARMv8 machines (meta-package)
- linux-image-5.10-rt-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux rt-arm64 configuration (meta-package)
- linux-image-5.10-rt-armmp (5.10.216-1~deb10u1) [security]
- Linux for ARMv7 multiplatform compatible SoCs (meta-package)
- linux-image-5.10-rt-armmp-dbg (5.10.216-1~deb10u1) [security]
- Debugging symbols for Linux rt-armmp configuration (meta-package)
- linux-image-5.10.0-0.deb10.24-686 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for older PCs (signed)
- linux-image-5.10.0-0.deb10.24-686-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-686
- linux-image-5.10.0-0.deb10.24-686-pae (5.10.179-5~deb10u1) [security]
- Linux 5.10 for modern PCs (signed)
- linux-image-5.10.0-0.deb10.24-686-pae-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-686-pae
- linux-image-5.10.0-0.deb10.24-686-pae-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for modern PCs
- linux-image-5.10.0-0.deb10.24-686-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for older PCs
- linux-image-5.10.0-0.deb10.24-amd64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit PCs (signed)
- linux-image-5.10.0-0.deb10.24-amd64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-amd64
- linux-image-5.10.0-0.deb10.24-amd64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit PCs
- linux-image-5.10.0-0.deb10.24-arm64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines (signed)
- linux-image-5.10.0-0.deb10.24-arm64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-arm64
- linux-image-5.10.0-0.deb10.24-arm64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines
- linux-image-5.10.0-0.deb10.24-armmp (5.10.179-5~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs
- linux-image-5.10.0-0.deb10.24-armmp-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-armmp
- linux-image-5.10.0-0.deb10.24-armmp-lpae (5.10.179-5~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-5.10.0-0.deb10.24-armmp-lpae-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-armmp-lpae
- linux-image-5.10.0-0.deb10.24-cloud-amd64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for x86-64 cloud (signed)
- linux-image-5.10.0-0.deb10.24-cloud-amd64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-cloud-amd64
- linux-image-5.10.0-0.deb10.24-cloud-amd64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for x86-64 cloud
- linux-image-5.10.0-0.deb10.24-cloud-arm64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for arm64 cloud (signed)
- linux-image-5.10.0-0.deb10.24-cloud-arm64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-cloud-arm64
- linux-image-5.10.0-0.deb10.24-cloud-arm64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for arm64 cloud
- linux-image-5.10.0-0.deb10.24-rt-686-pae (5.10.179-5~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.24-rt-686-pae-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-rt-686-pae
- linux-image-5.10.0-0.deb10.24-rt-686-pae-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.24-rt-amd64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.24-rt-amd64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-rt-amd64
- linux-image-5.10.0-0.deb10.24-rt-amd64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.24-rt-arm64 (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.24-rt-arm64-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-rt-arm64
- linux-image-5.10.0-0.deb10.24-rt-arm64-unsigned (5.10.179-5~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-5.10.0-0.deb10.24-rt-armmp (5.10.179-5~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.24-rt-armmp-dbg (5.10.179-5~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.24-rt-armmp
- linux-image-5.10.0-0.deb10.26-686 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for older PCs (signed)
- linux-image-5.10.0-0.deb10.26-686-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-686
- linux-image-5.10.0-0.deb10.26-686-pae (5.10.197-1~deb10u1) [security]
- Linux 5.10 for modern PCs (signed)
- linux-image-5.10.0-0.deb10.26-686-pae-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-686-pae
- linux-image-5.10.0-0.deb10.26-686-pae-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for modern PCs
- linux-image-5.10.0-0.deb10.26-686-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for older PCs
- linux-image-5.10.0-0.deb10.26-amd64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs (signed)
- linux-image-5.10.0-0.deb10.26-amd64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-amd64
- linux-image-5.10.0-0.deb10.26-amd64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs
- linux-image-5.10.0-0.deb10.26-arm64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines (signed)
- linux-image-5.10.0-0.deb10.26-arm64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-arm64
- linux-image-5.10.0-0.deb10.26-arm64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines
- linux-image-5.10.0-0.deb10.26-armmp (5.10.197-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs
- linux-image-5.10.0-0.deb10.26-armmp-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-armmp
- linux-image-5.10.0-0.deb10.26-armmp-lpae (5.10.197-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-5.10.0-0.deb10.26-armmp-lpae-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-armmp-lpae
- linux-image-5.10.0-0.deb10.26-cloud-amd64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for x86-64 cloud (signed)
- linux-image-5.10.0-0.deb10.26-cloud-amd64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-cloud-amd64
- linux-image-5.10.0-0.deb10.26-cloud-amd64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for x86-64 cloud
- linux-image-5.10.0-0.deb10.26-cloud-arm64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for arm64 cloud (signed)
- linux-image-5.10.0-0.deb10.26-cloud-arm64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-cloud-arm64
- linux-image-5.10.0-0.deb10.26-cloud-arm64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for arm64 cloud
- linux-image-5.10.0-0.deb10.26-rt-686-pae (5.10.197-1~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.26-rt-686-pae-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-rt-686-pae
- linux-image-5.10.0-0.deb10.26-rt-686-pae-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.26-rt-amd64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.26-rt-amd64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-rt-amd64
- linux-image-5.10.0-0.deb10.26-rt-amd64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.26-rt-arm64 (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.26-rt-arm64-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-rt-arm64
- linux-image-5.10.0-0.deb10.26-rt-arm64-unsigned (5.10.197-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-5.10.0-0.deb10.26-rt-armmp (5.10.197-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.26-rt-armmp-dbg (5.10.197-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.26-rt-armmp
- linux-image-5.10.0-0.deb10.27-686 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for older PCs (signed)
- linux-image-5.10.0-0.deb10.27-686-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-686
- linux-image-5.10.0-0.deb10.27-686-pae (5.10.205-2~deb10u1) [security]
- Linux 5.10 for modern PCs (signed)
- linux-image-5.10.0-0.deb10.27-686-pae-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-686-pae
- linux-image-5.10.0-0.deb10.27-686-pae-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for modern PCs
- linux-image-5.10.0-0.deb10.27-686-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for older PCs
- linux-image-5.10.0-0.deb10.27-amd64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs (signed)
- linux-image-5.10.0-0.deb10.27-amd64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-amd64
- linux-image-5.10.0-0.deb10.27-amd64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs
- linux-image-5.10.0-0.deb10.27-arm64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines (signed)
- linux-image-5.10.0-0.deb10.27-arm64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-arm64
- linux-image-5.10.0-0.deb10.27-arm64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines
- linux-image-5.10.0-0.deb10.27-armmp (5.10.205-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs
- linux-image-5.10.0-0.deb10.27-armmp-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-armmp
- linux-image-5.10.0-0.deb10.27-armmp-lpae (5.10.205-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-5.10.0-0.deb10.27-armmp-lpae-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-armmp-lpae
- linux-image-5.10.0-0.deb10.27-cloud-amd64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for x86-64 cloud (signed)
- linux-image-5.10.0-0.deb10.27-cloud-amd64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-cloud-amd64
- linux-image-5.10.0-0.deb10.27-cloud-amd64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for x86-64 cloud
- linux-image-5.10.0-0.deb10.27-cloud-arm64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for arm64 cloud (signed)
- linux-image-5.10.0-0.deb10.27-cloud-arm64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-cloud-arm64
- linux-image-5.10.0-0.deb10.27-cloud-arm64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for arm64 cloud
- linux-image-5.10.0-0.deb10.27-rt-686-pae (5.10.205-2~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.27-rt-686-pae-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-rt-686-pae
- linux-image-5.10.0-0.deb10.27-rt-686-pae-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.27-rt-amd64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.27-rt-amd64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-rt-amd64
- linux-image-5.10.0-0.deb10.27-rt-amd64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.27-rt-arm64 (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.27-rt-arm64-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-rt-arm64
- linux-image-5.10.0-0.deb10.27-rt-arm64-unsigned (5.10.205-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-5.10.0-0.deb10.27-rt-armmp (5.10.205-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.27-rt-armmp-dbg (5.10.205-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.27-rt-armmp
- linux-image-5.10.0-0.deb10.28-686 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for older PCs (signed)
- linux-image-5.10.0-0.deb10.28-686-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-686
- linux-image-5.10.0-0.deb10.28-686-pae (5.10.209-2~deb10u1) [security]
- Linux 5.10 for modern PCs (signed)
- linux-image-5.10.0-0.deb10.28-686-pae-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-686-pae
- linux-image-5.10.0-0.deb10.28-686-pae-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for modern PCs
- linux-image-5.10.0-0.deb10.28-686-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for older PCs
- linux-image-5.10.0-0.deb10.28-amd64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs (signed)
- linux-image-5.10.0-0.deb10.28-amd64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-amd64
- linux-image-5.10.0-0.deb10.28-amd64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs
- linux-image-5.10.0-0.deb10.28-arm64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines (signed)
- linux-image-5.10.0-0.deb10.28-arm64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-arm64
- linux-image-5.10.0-0.deb10.28-arm64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines
- linux-image-5.10.0-0.deb10.28-armmp (5.10.209-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs
- linux-image-5.10.0-0.deb10.28-armmp-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-armmp
- linux-image-5.10.0-0.deb10.28-armmp-lpae (5.10.209-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-5.10.0-0.deb10.28-armmp-lpae-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-armmp-lpae
- linux-image-5.10.0-0.deb10.28-cloud-amd64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for x86-64 cloud (signed)
- linux-image-5.10.0-0.deb10.28-cloud-amd64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-cloud-amd64
- linux-image-5.10.0-0.deb10.28-cloud-amd64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for x86-64 cloud
- linux-image-5.10.0-0.deb10.28-cloud-arm64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for arm64 cloud (signed)
- linux-image-5.10.0-0.deb10.28-cloud-arm64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-cloud-arm64
- linux-image-5.10.0-0.deb10.28-cloud-arm64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for arm64 cloud
- linux-image-5.10.0-0.deb10.28-rt-686-pae (5.10.209-2~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.28-rt-686-pae-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-rt-686-pae
- linux-image-5.10.0-0.deb10.28-rt-686-pae-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.28-rt-amd64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.28-rt-amd64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-rt-amd64
- linux-image-5.10.0-0.deb10.28-rt-amd64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.28-rt-arm64 (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.28-rt-arm64-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-rt-arm64
- linux-image-5.10.0-0.deb10.28-rt-arm64-unsigned (5.10.209-2~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-5.10.0-0.deb10.28-rt-armmp (5.10.209-2~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.28-rt-armmp-dbg (5.10.209-2~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.28-rt-armmp
- linux-image-5.10.0-0.deb10.29-686 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for older PCs (signed)
- linux-image-5.10.0-0.deb10.29-686-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-686
- linux-image-5.10.0-0.deb10.29-686-pae (5.10.216-1~deb10u1) [security]
- Linux 5.10 for modern PCs (signed)
- linux-image-5.10.0-0.deb10.29-686-pae-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-686-pae
- linux-image-5.10.0-0.deb10.29-686-pae-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for modern PCs
- linux-image-5.10.0-0.deb10.29-686-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for older PCs
- linux-image-5.10.0-0.deb10.29-amd64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs (signed)
- linux-image-5.10.0-0.deb10.29-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-amd64
- linux-image-5.10.0-0.deb10.29-amd64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs
- linux-image-5.10.0-0.deb10.29-arm64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines (signed)
- linux-image-5.10.0-0.deb10.29-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-arm64
- linux-image-5.10.0-0.deb10.29-arm64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines
- linux-image-5.10.0-0.deb10.29-armmp (5.10.216-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs
- linux-image-5.10.0-0.deb10.29-armmp-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-armmp
- linux-image-5.10.0-0.deb10.29-armmp-lpae (5.10.216-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
- linux-image-5.10.0-0.deb10.29-armmp-lpae-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-armmp-lpae
- linux-image-5.10.0-0.deb10.29-cloud-amd64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for x86-64 cloud (signed)
- linux-image-5.10.0-0.deb10.29-cloud-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-cloud-amd64
- linux-image-5.10.0-0.deb10.29-cloud-amd64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for x86-64 cloud
- linux-image-5.10.0-0.deb10.29-cloud-arm64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for arm64 cloud (signed)
- linux-image-5.10.0-0.deb10.29-cloud-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-cloud-arm64
- linux-image-5.10.0-0.deb10.29-cloud-arm64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for arm64 cloud
- linux-image-5.10.0-0.deb10.29-rt-686-pae (5.10.216-1~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.29-rt-686-pae-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-rt-686-pae
- linux-image-5.10.0-0.deb10.29-rt-686-pae-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for modern PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.29-rt-amd64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.29-rt-amd64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-rt-amd64
- linux-image-5.10.0-0.deb10.29-rt-amd64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit PCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.29-rt-arm64 (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
- linux-image-5.10.0-0.deb10.29-rt-arm64-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-rt-arm64
- linux-image-5.10.0-0.deb10.29-rt-arm64-unsigned (5.10.216-1~deb10u1) [security]
- Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
- linux-image-5.10.0-0.deb10.29-rt-armmp (5.10.216-1~deb10u1) [security]
- Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
- linux-image-5.10.0-0.deb10.29-rt-armmp-dbg (5.10.216-1~deb10u1) [security]
- Debug symbols for linux-image-5.10.0-0.deb10.29-rt-armmp
- linux-image-686 (4.19+105+deb10u21) [security]
- Linux for older PCs (meta-package)
- linux-image-686-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux 686 configuration (meta-package)
- linux-image-686-pae (4.19+105+deb10u21) [security]
- Linux for modern PCs (meta-package)
- linux-image-686-pae-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux 686-pae configuration (meta-package)
- linux-image-amd64 (4.19+105+deb10u21) [security]
- Linux for 64-bit PCs (meta-package)
- linux-image-amd64-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux amd64 configuration (meta-package)
- linux-image-amd64-signed-template (4.19.304-1) [security]
- Template for signed linux-image packages for amd64
- linux-image-arm64 (4.19+105+deb10u21) [security]
- Linux for 64-bit ARMv8 machines (meta-package)
- linux-image-arm64-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux arm64 configuration (meta-package)
- linux-image-arm64-signed-template (4.19.304-1) [security]
- Template for signed linux-image packages for arm64
- linux-image-armmp (4.19+105+deb10u21) [security]
- Linux for ARMv7 multiplatform compatible SoCs (meta-package)
- linux-image-armmp-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux armmp configuration (meta-package)
- linux-image-armmp-lpae (4.19+105+deb10u21) [security]
- Linux for ARMv7 multiplatform compatible SoCs supporting LPAE (meta-package)
- linux-image-armmp-lpae-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux armmp-lpae configuration (meta-package)
- linux-image-cloud-amd64 (4.19+105+deb10u21) [security]
- Linux for x86-64 cloud (meta-package)
- linux-image-cloud-amd64-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux cloud-amd64 configuration (meta-package)
- linux-image-i386-signed-template (4.19.304-1) [security]
- Template for signed linux-image packages for i386
- linux-image-rt-686-pae (4.19+105+deb10u21) [security]
- Linux for modern PCs (meta-package), PREEMPT_RT
- linux-image-rt-686-pae-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux rt-686-pae configuration (meta-package)
- linux-image-rt-amd64 (4.19+105+deb10u21) [security]
- Linux for 64-bit PCs (meta-package), PREEMPT_RT
- linux-image-rt-amd64-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux rt-amd64 configuration (meta-package)
- linux-image-rt-arm64 (4.19+105+deb10u21) [security]
- Linux for 64-bit ARMv8 machines (meta-package), PREEMPT_RT
- linux-image-rt-arm64-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux rt-arm64 configuration (meta-package)
- linux-image-rt-armmp (4.19+105+deb10u21) [security]
- Linux for ARMv7 multiplatform compatible SoCs (meta-package), PREEMPT_RT
- linux-image-rt-armmp-dbg (4.19+105+deb10u21) [security]
- Debugging symbols for Linux rt-armmp configuration (meta-package)
- linux-initramfs-tool
- virtueel pakket geboden door initramfs-tools, tiny-initramfs, dracut
- linux-kbuild-4.19 (4.19.304-1) [security]
- Kbuild infrastructure for Linux 4.19
- linux-kbuild-5.10 (5.10.216-1~deb10u1) [security]
- Kbuild infrastructure for Linux 5.10
- virtueel pakket geboden door linux-libc-dev
- virtueel pakket geboden door linux-libc-dev-alpha-cross
- virtueel pakket geboden door linux-libc-dev-amd64-cross
- virtueel pakket geboden door linux-libc-dev-arm64-cross
- virtueel pakket geboden door linux-libc-dev-armel-cross
- virtueel pakket geboden door linux-libc-dev-armhf-cross
- virtueel pakket geboden door linux-libc-dev-hppa-cross
- virtueel pakket geboden door linux-libc-dev-i386-cross
- virtueel pakket geboden door linux-libc-dev-m68k-cross
- virtueel pakket geboden door linux-libc-dev-mips-cross
- virtueel pakket geboden door linux-libc-dev-mips64-cross
- virtueel pakket geboden door linux-libc-dev-mips64el-cross
- virtueel pakket geboden door linux-libc-dev-mips64r6-cross
- virtueel pakket geboden door linux-libc-dev-mips64r6el-cross
- virtueel pakket geboden door linux-libc-dev-mipsel-cross
- virtueel pakket geboden door linux-libc-dev-mipsn32-cross
- virtueel pakket geboden door linux-libc-dev-mipsn32el-cross
- virtueel pakket geboden door linux-libc-dev-mipsn32r6-cross
- virtueel pakket geboden door linux-libc-dev-mipsn32r6el-cross
- virtueel pakket geboden door linux-libc-dev-mipsr6-cross
- virtueel pakket geboden door linux-libc-dev-mipsr6el-cross
- virtueel pakket geboden door linux-libc-dev-powerpc-cross
- virtueel pakket geboden door linux-libc-dev-powerpcspe-cross
- virtueel pakket geboden door linux-libc-dev-ppc64-cross
- virtueel pakket geboden door linux-libc-dev-ppc64el-cross
- virtueel pakket geboden door linux-libc-dev-riscv64-cross
- virtueel pakket geboden door linux-libc-dev-s390x-cross
- virtueel pakket geboden door linux-libc-dev-sh4-cross
- virtueel pakket geboden door linux-libc-dev-sparc64-cross
- virtueel pakket geboden door linux-libc-dev-x32-cross
- linux-kernel-log-daemon
- virtueel pakket geboden door busybox-syslogd, syslog-ng-core, rsyslog, inetutils-syslogd
- linux-latest-image-dbg
- virtueel pakket geboden door linux-image-5.10-rt-686-pae-dbg, linux-image-5.10-arm64-dbg, linux-image-armmp-dbg, linux-image-armmp-lpae-dbg, linux-image-5.10-686-dbg, linux-image-5.10-rt-armmp-dbg, linux-image-5.10-cloud-amd64-dbg, linux-image-amd64-dbg, linux-image-arm64-dbg, linux-image-rt-amd64-dbg, linux-image-cloud-amd64-dbg, linux-image-5.10-rt-arm64-dbg, linux-image-5.10-cloud-arm64-dbg, linux-image-5.10-armmp-lpae-dbg, linux-image-686-dbg, linux-image-rt-686-pae-dbg, linux-image-rt-armmp-dbg, linux-image-5.10-686-pae-dbg, linux-image-686-pae-dbg, linux-image-5.10-amd64-dbg, linux-image-rt-arm64-dbg, linux-image-5.10-rt-amd64-dbg, linux-image-5.10-armmp-dbg
- linux-latest-modules-4.19.0-21-686
- virtueel pakket geboden door linux-image-686
- linux-latest-modules-4.19.0-21-686-pae
- virtueel pakket geboden door linux-image-686-pae
- linux-latest-modules-4.19.0-21-amd64
- virtueel pakket geboden door linux-image-amd64
- linux-latest-modules-4.19.0-21-arm64
- virtueel pakket geboden door linux-image-arm64
- linux-latest-modules-4.19.0-21-armmp
- virtueel pakket geboden door linux-image-armmp
- linux-latest-modules-4.19.0-21-armmp-lpae
- virtueel pakket geboden door linux-image-armmp-lpae
- linux-latest-modules-4.19.0-21-cloud-amd64
- virtueel pakket geboden door linux-image-cloud-amd64
- linux-latest-modules-4.19.0-21-rt-686-pae
- virtueel pakket geboden door linux-image-rt-686-pae
- linux-latest-modules-4.19.0-21-rt-amd64
- virtueel pakket geboden door linux-image-rt-amd64
- linux-latest-modules-4.19.0-21-rt-arm64
- virtueel pakket geboden door linux-image-rt-arm64
- linux-latest-modules-4.19.0-21-rt-armmp
- virtueel pakket geboden door linux-image-rt-armmp
- linux-latest-modules-4.19.0-26-686
- virtueel pakket geboden door linux-image-686
- linux-latest-modules-4.19.0-26-686-pae
- virtueel pakket geboden door linux-image-686-pae
- linux-latest-modules-4.19.0-26-amd64
- virtueel pakket geboden door linux-image-amd64
- linux-latest-modules-4.19.0-26-arm64
- virtueel pakket geboden door linux-image-arm64
- linux-latest-modules-4.19.0-26-armmp
- virtueel pakket geboden door linux-image-armmp
- linux-latest-modules-4.19.0-26-armmp-lpae
- virtueel pakket geboden door linux-image-armmp-lpae
- linux-latest-modules-4.19.0-26-cloud-amd64
- virtueel pakket geboden door linux-image-cloud-amd64
- linux-latest-modules-4.19.0-26-rt-686-pae
- virtueel pakket geboden door linux-image-rt-686-pae
- linux-latest-modules-4.19.0-26-rt-amd64
- virtueel pakket geboden door linux-image-rt-amd64
- linux-latest-modules-4.19.0-26-rt-arm64
- virtueel pakket geboden door linux-image-rt-arm64
- linux-latest-modules-4.19.0-26-rt-armmp
- virtueel pakket geboden door linux-image-rt-armmp
- linux-latest-modules-5.10.0-0.deb10.29-686
- virtueel pakket geboden door linux-image-5.10-686
- linux-latest-modules-5.10.0-0.deb10.29-686-pae
- virtueel pakket geboden door linux-image-5.10-686-pae
- linux-latest-modules-5.10.0-0.deb10.29-amd64
- virtueel pakket geboden door linux-image-5.10-amd64
- linux-latest-modules-5.10.0-0.deb10.29-arm64
- virtueel pakket geboden door linux-image-5.10-arm64
- linux-latest-modules-5.10.0-0.deb10.29-armmp
- virtueel pakket geboden door linux-image-5.10-armmp
- linux-latest-modules-5.10.0-0.deb10.29-armmp-lpae
- virtueel pakket geboden door linux-image-5.10-armmp-lpae
- linux-latest-modules-5.10.0-0.deb10.29-cloud-amd64
- virtueel pakket geboden door linux-image-5.10-cloud-amd64
- linux-latest-modules-5.10.0-0.deb10.29-cloud-arm64
- virtueel pakket geboden door linux-image-5.10-cloud-arm64
- linux-latest-modules-5.10.0-0.deb10.29-rt-686-pae
- virtueel pakket geboden door linux-image-5.10-rt-686-pae
- linux-latest-modules-5.10.0-0.deb10.29-rt-amd64
- virtueel pakket geboden door linux-image-5.10-rt-amd64
- linux-latest-modules-5.10.0-0.deb10.29-rt-arm64
- virtueel pakket geboden door linux-image-5.10-rt-arm64
- linux-latest-modules-5.10.0-0.deb10.29-rt-armmp
- virtueel pakket geboden door linux-image-5.10-rt-armmp
- linux-libc-dev (4.19.304-1) [security]
- Linux support headers for userspace development
- linux-libc-dev-alpha-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-alpha-dcv1
- virtueel pakket geboden door linux-libc-dev-alpha-cross
- linux-libc-dev-amd64-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-amd64-dcv1
- virtueel pakket geboden door linux-libc-dev-amd64-cross
- linux-libc-dev-arm64-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-arm64-dcv1
- virtueel pakket geboden door linux-libc-dev-arm64-cross
- linux-libc-dev-armel-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-armel-dcv1
- virtueel pakket geboden door linux-libc-dev-armel-cross
- linux-libc-dev-armhf-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-armhf-dcv1
- virtueel pakket geboden door linux-libc-dev-armhf-cross
- linux-libc-dev-hppa-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-hppa-dcv1
- virtueel pakket geboden door linux-libc-dev-hppa-cross
- linux-libc-dev-i386-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-i386-dcv1
- virtueel pakket geboden door linux-libc-dev-i386-cross
- linux-libc-dev-m68k-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-m68k-dcv1
- virtueel pakket geboden door linux-libc-dev-m68k-cross
- linux-libc-dev-mips-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mips-dcv1
- virtueel pakket geboden door linux-libc-dev-mips-cross
- linux-libc-dev-mips64-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mips64-dcv1
- virtueel pakket geboden door linux-libc-dev-mips64-cross
- linux-libc-dev-mips64el-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mips64el-dcv1
- virtueel pakket geboden door linux-libc-dev-mips64el-cross
- linux-libc-dev-mips64r6-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mips64r6-dcv1
- virtueel pakket geboden door linux-libc-dev-mips64r6-cross
- linux-libc-dev-mips64r6el-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mips64r6el-dcv1
- virtueel pakket geboden door linux-libc-dev-mips64r6el-cross
- linux-libc-dev-mipsel-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsel-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsel-cross
- linux-libc-dev-mipsn32-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsn32-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsn32-cross
- linux-libc-dev-mipsn32el-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsn32el-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsn32el-cross
- linux-libc-dev-mipsn32r6-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsn32r6-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsn32r6-cross
- linux-libc-dev-mipsn32r6el-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsn32r6el-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsn32r6el-cross
- linux-libc-dev-mipsr6-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsr6-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsr6-cross
- linux-libc-dev-mipsr6el-cross (4.19.16-1cross2)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-mipsr6el-dcv1
- virtueel pakket geboden door linux-libc-dev-mipsr6el-cross
- linux-libc-dev-powerpc-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-powerpc-dcv1
- virtueel pakket geboden door linux-libc-dev-powerpc-cross
- linux-libc-dev-powerpcspe-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-powerpcspe-dcv1
- virtueel pakket geboden door linux-libc-dev-powerpcspe-cross
- linux-libc-dev-ppc64-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-ppc64-dcv1
- virtueel pakket geboden door linux-libc-dev-ppc64-cross
- linux-libc-dev-ppc64el-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-ppc64el-dcv1
- virtueel pakket geboden door linux-libc-dev-ppc64el-cross
- linux-libc-dev-riscv64-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-riscv64-dcv1
- virtueel pakket geboden door linux-libc-dev-riscv64-cross
- linux-libc-dev-s390x-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-s390x-dcv1
- virtueel pakket geboden door linux-libc-dev-s390x-cross
- linux-libc-dev-sh4-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-sh4-dcv1
- virtueel pakket geboden door linux-libc-dev-sh4-cross
- linux-libc-dev-sparc64-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-sparc64-dcv1
- virtueel pakket geboden door linux-libc-dev-sparc64-cross
- linux-libc-dev-x32-cross (4.19.20-1cross1)
- Linux Kernel Headers for development (for cross-compiling)
- linux-libc-dev-x32-dcv1
- virtueel pakket geboden door linux-libc-dev-x32-cross
- linux-perf (4.19+105+deb10u21) [security]
- Performance analysis tools for Linux (meta-package)
- linux-perf-4.19 (4.19.304-1) [security]
- Performance analysis tools for Linux 4.19
- linux-perf-5.10 (5.10.216-1~deb10u1) [security]
- Performance analysis tools for Linux 5.10
- linux-show-player (0.5.1-2)
- Free cue player designed for sound-playback in stage productions
- linux-source (4.19+105+deb10u21) [security]
- Linux kernel source (meta-package)
- linux-source-4.19 (4.19.304-1) [security]
- Linux kernel source for version 4.19 with Debian patches
- linux-source-5.10 (5.10.216-1~deb10u1) [security]
- Linux kernel source for version 5.10 with Debian patches
- linux-support-4.19.0-20 (4.19.235-1)
- Support files for Linux 4.19
- linux-support-4.19.0-21 (4.19.249-2)
- Support files for Linux 4.19
- linux-support-4.19.0-26 (4.19.304-1) [security]
- Support files for Linux 4.19
- linux-support-5.10.0-0.deb10.24 (5.10.179-5~deb10u1) [security]
- Support files for Linux 5.10
- linux-support-5.10.0-0.deb10.26 (5.10.197-1~deb10u1) [security]
- Support files for Linux 5.10
- linux-support-5.10.0-0.deb10.27 (5.10.205-2~deb10u1) [security]
- Support files for Linux 5.10
- linux-support-5.10.0-0.deb10.28 (5.10.209-2~deb10u1) [security]
- Support files for Linux 5.10
- linux-support-5.10.0-0.deb10.29 (5.10.216-1~deb10u1) [security]
- Support files for Linux 5.10
- linux-tools-4.19
- virtueel pakket geboden door linux-perf-4.19
- linux-tools-5.10
- virtueel pakket geboden door linux-perf-5.10
- linux-user-chroot (2013.1-2+b1)
- setuid helper for making bind mounts and chrooting
- linux-user-chroot-dbg (2013.1-2+b1)
- setuid helper for making bind mounts and chrooting (debug files)
- linuxbrew-wrapper (20180923-1) [contrib]
- Homebrew package manager for Linux
- linuxdoc-sgml
- virtueel pakket geboden door linuxdoc-tools
- linuxdoc-tools (0.9.73-2)
- convert LinuxDoc SGML source into other formats
- linuxdoc-tools-info (0.9.73-2)
- Info output facility of LinuxDoc-Tools
- linuxdoc-tools-latex (0.9.73-2)
- LaTeX/PS/PDF output facility of LinuxDoc-Tools
- linuxdoc-tools-text (0.9.73-2)
- Text output facility of LinuxDoc-Tools
- linuxinfo (3.1.2-1)
- Displays extended system information
- linuxlogo (5.11-9+b1)
- Color ANSI System Logo
- linuxptp (1.9.2-1+deb10u1)
- Precision Time Protocol (PTP, IEEE1588) implementation for Linux
- lios (2.7-3)
- Linux intelligent OCR solution
- liquidprompt (1.11-3)
- adaptative prompt for bash & zsh
- liquidsoap (1.3.3-2)
- audio streaming language
- liquidsoap-mode (1.3.3-2)
- Emacs mode for editing Liquidsoap code
- liquidwar (5.6.4-6)
- truly original multiplayer wargame
- liquidwar-data (5.6.4-6)
- data files for Liquid War
- liquidwar-server (5.6.4-6)
- Liquid War server
- lirc (0.10.1-6.3~deb10u1)
- Infra-red remote control support - daemons and utils
- lirc-compat-remotes (0.9.0-1.1)
- Compatibility remote definitions for lirc
- lirc-doc (0.10.1-6.3~deb10u1)
- Infra-red remote control support - website and manual docs
- lirc-drv-irman (0.5.2-2)
- LIRC plugin providing irman compatible devices support
- lirc-x (0.10.1-6.3~deb10u1)
- infra-red remote control support - X utilities
- lisaac (1:0.39~rc1-3+b1)
- Object-oriented language based on prototype
- lisaac-common (1:0.39~rc1-3)
- Arch-independent part for lisaac
- lisaac-doc (1:0.39~rc1-3)
- Documentation for lisaac
- lisaac-mode (1:0.39~rc1-3)
- Emacs mode for editing Lisaac programs
- lisp-compiler
- virtueel pakket geboden door cmucl, ecl, clisp, sbcl
- lisp-core
- virtueel pakket geboden door cmucl
- listadmin (2.42-1.3)
- command line mailman moderator queue manipulation
- listserialportsc (1.4.0-1)
- list serial ports
- litl-doc (0.1.9-4)
- Lightweight Trace Library - documentation
- litl-tools (0.1.9-4)
- Lightweight Trace Library - tools
- litmus (0.13-2)
- WebDAV server test suite
- littler (0.3.6-1)
- GNU R scripting and command-line front-end -- transition package
- littler
- virtueel pakket geboden door r-cran-littler
- littlewizard (1.2.2-4+b1)
- development environment for children
- littlewizard-data (1.2.2-4)
- littlewizard data files
- live-boot (1:20190614)
- Live System Boot Components
- live-boot-backend
- virtueel pakket geboden door live-boot-initramfs-tools
- live-boot-doc (1:20190614)
- Live System Boot Components (documentation)
- live-boot-initramfs-tools (1:20190614)
- Live System Boot Components (initramfs-tools backend)
- live-build (1:20190311)
- Live System Build Components
- live-config (5.20190519)
- Live System Configuration Components
- live-config-backend
- virtueel pakket geboden door live-config-sysvinit, live-config-systemd
- live-config-doc (5.20190519)
- Live System Configuration Components (documentation)
- live-config-systemd (5.20190519)
- Live System Configuration Components (systemd backend)
- live-config-sysvinit (5.20190519)
- Live System Configuration Components (sysvinit backend)
- live-installer (57)
- Install the system
- live-manual (2:20151217.1)
- Live Systems Documentation (metapackage)
- live-manual
- virtueel pakket geboden door live-manual-odf, live-manual-epub, live-manual-html, live-manual-pdf, live-manual-txt
- live-manual-epub (2:20151217.1)
- Live Systems Documentation (epub)
- live-manual-html (2:20151217.1)
- Live Systems Documentation (html)
- live-manual-odf (2:20151217.1)
- Live Systems Documentation (odf)
- live-manual-pdf (2:20151217.1)
- Live Systems Documentation (pdf)
- live-manual-txt (2:20151217.1)
- Live Systems Documentation (txt)
- live-task-base (0.7)
- Live base environment support
- live-task-cinnamon (0.7)
- Live environment support for Cinnamon
- (0.7)
- Live extra environment support
- live-task-gnome (0.7)
- Live environment support for GNOME
- live-task-kde (0.7)
- Live environment support for KDE
- live-task-localisation (0.7)
- Live environment localisation support
- live-task-localisation-desktop (0.7)
- Live graphical desktop environment localisation support
- live-task-lxde (0.7)
- Live environment support for Lxde
- live-task-lxqt (0.7)
- Live environment support for LXQt
- live-task-mate (0.7)
- Live environment support for Mate
- live-task-recommended (0.7)
- Live recommended environment support
- live-task-standard (0.7)
- Live environment for standard system utilities
- live-task-xfce (0.7)
- Live environment support for Xfce
- live-tools (1:20171207)
- Live System Extra Components
- live-wrapper (0.8)
- Wrapper for vmdebootstrap for creating live images
- live-wrapper-doc (0.8)
- Wrapper for vmdebootstrap for creating live images (Documentation)
- livemedia-utils (2018.11.26-1.1)
- multimedia RTSP streaming tools
- lives (2.10.0-1)
- Video Editing system allowing users to edit and create video
- lives-data (2.10.0-1)
- Data files for LiVES
- lives-plugins (2.10.0-1)
- LiVES plugins pack
- livescript (1.6.0+dfsg-1)
- language which compiles to JavaScript
- livestreamer (1.12.2+streamlink+1.0.0+dfsg-1)
- transitional package - streamlink
- liwc (1.21-1+b1)
- Tools for manipulating C source code
- lix (0.9.24-1)
- Puzzle game inspired by Lemmings
- lix-data (0.9.24-1)
- Puzzle game inspired by Lemmings
- lizardfs-adm (3.12.0+dfsg-3+b10)
- LizardFS - administration tools
- lizardfs-cgi (3.12.0+dfsg-3)
- LizardFS - CGI monitor
- lizardfs-cgiserv (3.12.0+dfsg-3+b10)
- simple CGI-capable HTTP server to run LizardFS CGI monitor
- lizardfs-chunkserver (3.12.0+dfsg-3+b10)
- LizardFS - data server
- lizardfs-client (3.12.0+dfsg-3+b10)
- LizardFS - client tools and mount utility
- lizardfs-common (3.12.0+dfsg-3)
- LizardFS - common files
- lizardfs-master (3.12.0+dfsg-3+b10)
- LizardFS - master server
- lizardfs-metalogger (3.12.0+dfsg-3+b10)
- LizardFS - metalogger server
- lizzie (= 0.6+dfsg1-4)
- virtueel pakket geboden door liblizzie-java
- lksctp-tools (1.0.18+dfsg-1)
- user-space access to Linux kernel SCTP - commandline tools
- lld (1:7.0-47)
- LLVM-based linker
- lld-11 (1:11.0.1-2~deb10u1)
- LLVM-based linker
- lld-13 (1:13.0.1-6~deb10u4)
- LLVM-based linker
- lld-6.0 (1:6.0.1-10)
- LLVM-based linker
- lld-7 (1:7.0.1-8+deb10u2)
- LLVM-based linker
- lldb (1:7.0-47)
- Next generation, high-performance debugger
- lldb-11 (1:11.0.1-2~deb10u1)
- Next generation, high-performance debugger
- lldb-13 (1:13.0.1-6~deb10u4)
- Next generation, high-performance debugger
- lldb-6.0 (1:6.0.1-10)
- Next generation, high-performance debugger
- lldb-7 (1:7.0.1-8+deb10u2)
- Next generation, high-performance debugger
- lldpad (1.0.1+git20180808.4e642bd-1)
- Link Layer Discovery Protocol Implementation (Runtime)
- lldpad-dev (1.0.1+git20180808.4e642bd-1)
- Link Layer Discovery Protocol Implementation (Development headers)
- lldpd (1.0.3-1+deb10u2) [security]
- implementation of IEEE 802.1ab (LLDP)
- llgal (0.13.19-1)
- Command-line online gallery generator
- llmnrd (0.5-1)
- Link-Local Multicast Resolution (LLMNR) Daemon for Linux
- lloconv (6.1.0-3)
- command line document converter using LibreOfficeKit
- lltag (0.14.6-1)
- Automatic command-line mp3/ogg/flac file tagger and renamer
- llvm (1:7.0-47)
- Low-Level Virtual Machine (LLVM)
- llvm-11 (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies
- llvm-11-dev (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, libraries and headers
- llvm-11-doc (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, documentation
- llvm-11-examples (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, examples
- llvm-11-runtime (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, IR interpreter
- llvm-11-tools (1:11.0.1-2~deb10u1)
- Modular compiler and toolchain technologies, tools
- llvm-13 (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies
- llvm-13-dev (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, libraries and headers
- llvm-13-doc (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, documentation
- llvm-13-examples (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, examples
- llvm-13-linker-tools (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies - Plugins
- llvm-13-runtime (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, IR interpreter
- llvm-13-tools (1:13.0.1-6~deb10u4)
- Modular compiler and toolchain technologies, tools
- llvm-6.0 (1:6.0.1-10)
- Modular compiler and toolchain technologies
- llvm-6.0-dev (1:6.0.1-10)
- Modular compiler and toolchain technologies, libraries and headers
- llvm-6.0-doc (1:6.0.1-10)
- Modular compiler and toolchain technologies, documentation
- llvm-6.0-examples (1:6.0.1-10)
- Modular compiler and toolchain technologies, examples
- llvm-6.0-runtime (1:6.0.1-10)
- Modular compiler and toolchain technologies, IR interpreter
- llvm-6.0-tools (1:6.0.1-10)
- Modular compiler and toolchain technologies, tools
- llvm-7 (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies
- llvm-7-dev (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, libraries and headers
- llvm-7-doc (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, documentation
- llvm-7-examples (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, examples
- llvm-7-runtime (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, IR interpreter
- llvm-7-tools (1:7.0.1-8+deb10u2)
- Modular compiler and toolchain technologies, tools
- llvm-dev (1:7.0-47)
- Low-Level Virtual Machine (LLVM), libraries and headers
- llvm-runtime (1:7.0-47)
- Low-Level Virtual Machine (LLVM), bytecode interpreter
- llvmlite-doc (0.27.0-2)
- LLVM Python binding for writing JIT compilers (docs)
- lm-sensors (1:3.5.0-3)
- utilities to read temperature/voltage/fan sensors
- lm4flash (3:0.1.3-1)
- Command-line firmware flashing tool to communicate with the Stellaris Launchpad
- lmarbles (1.0.8-0.2)
- game where you build figures out of colored marbles
- lmbench (3.0-a9+debian.1-2) [non-free]
- Utilities to benchmark UNIX systems
- lmbench-doc (3.0-a9+debian.1-2) [non-free]
- Documentation for the lmbench benchmark suite
- lmdb-doc (0.9.22-1)
- Lightning Memory-Mapped Database doxygen documentation
- lmdb-go-tools (1.8.0+git20170215.a14b5a3-2)
- utilities for command-line lmdb usage
- lmdb-utils (0.9.22-1)
- Lightning Memory-Mapped Database Utilities
- lmemory (0.6c-9)
- Children's game based on the "memory" card game
- lmicdiusb (3:0.1.3-1)
- Remote GDB socket over USB for Stellaris Launchpad ICDI
- lmms (1.1.3-8.1)
- Linux Multimedia Studio
- lmms-common (1.1.3-8.1)
- Linux Multimedia Studio - common files
- lmms-vst-server (1.1.3-8.1)
- Linux Multimedia Studio - VST server
- lmod (6.6-0.3+deb10u1)
- Lua based environment modules
- lmodern (2.004.5-6)
- scalable PostScript and OpenType fonts based on Computer Modern
- lnav (0.8.4-5)
- ncurses-based log file viewer
- lnpd (0.9.0-11+b2)
- daemon for LNP communication with BrickOS
- load-cdrom (1.45)
- Load installer components from CD
- load-iso (1.75)
- Load installer components from an installer ISO
- load-media (1.50)
- Load installer components from removable media
- loadlin (1.6f-6)
- loader (running under DOS) for LINUX kernel images
- loadmeter (1.20-6+b2)
- Attractive X11 load meter
- loadwatch (1.0+1.1alpha1-6+b1)
- Run a program using only idle cycles
- local-apt-repository (0.6)
- Ready to use local apt repository
- localechooser (2.84)
- choose language/country/locale
- localehelper (0.1.4-3)
- locale helper tool
- localepurge (0.7.3.5)
- reclaim disk space by removing unneeded localizations
- locales (2.28-10+deb10u3) [security]
- GNU C Library: National Language (locale) data [support]
- locales-all (2.28-10+deb10u3) [security]
- GNU C Library: Precompiled locale data
- localslackirc (1.2-1)
- IRC gateway for slack, running on localhost for one user
- locate (4.6.0+git+20190209-2)
- maintain and query an index of a directory tree
- lockdown (0.2)
- make it harder for attackers to compromise your system
- lockfile-progs (0.1.18)
- Programs for locking and unlocking files and mailboxes
- lockout (0.2.3-5)
- Self-imposed discipline and productivity enforcer
- loganalyzer (4.1.5+dfsg-2)
- web interface to syslog and event data
- logapp (0.15-1+b2)
- supervise execution of applications producing heavy output
- logcentral (2.7-1.1+b2)
- Logging service for distributed applications
- logcentral-tools (2.7-1.1+b2)
- Logging service for distributed applications
- logcheck (1.3.20)
- mails anomalies in the system logfiles to the administrator
- logcheck-database (1.3.20)
- database of system log rules for the use of log checkers
- logdata-anomaly-miner (1.0.0-1)
- This tool allows one to create log analysis pipelines
- logidee-tools (1.2.18)
- Tools to write courses in XML and export them to various formats
- login (1:4.5-1.1)
- systeemhulpprogramma's voor aanmelden
- login-duo (1.9.21-1.1)
- login wrapper for Duo Security two-factor authentication
- logind (= 239.3+20190131-1+debian1)
- virtueel pakket geboden door libpam-elogind
- logind (= 241-7~deb10u10)
- virtueel pakket geboden door libpam-systemd
- logind (= 241-7~deb10u8)
- virtueel pakket geboden door libpam-systemd
- logol (1.7.9-1)
- Pattern matching tool using Logol language
- logol-bin (1.7.9-1)
- Pattern matching tool using Logol language
- logrotate (3.14.0-4)
- Het log-rotatie programma
- logstalgia (1.1.0-2+b1)
- web server access log visualizer
- logswan (2.0.3-1)
- fast Web log analyzer using probabilistic data structures
- logtail (1.3.20)
- Print log file lines that have not been read
- logtool (1.2.8-10)
- Syslog-style logfile parser with lots of output options
- logtools (0.13e)
- Russell's misc tools for managing log files.
- logtop (0.4.3-1+b3)
- real time log line rate analyzer
- logwatch (7.5.0-1)
- log analyser with nice output written in Perl
- lojban-common (1.5+dfsg.1-3)
- commonly-used wordlists for the Lojban language
- lokalize (4:18.08.1-1+b1)
- computer-aided translation system
- loki (2.4.7.4-8)
- MCMC linkage analysis on general pedigrees
- loki-doc (2.4.7.4-8)
- MCMC linkage analysis on general pedigrees (PS manual)
- lolcat (42.0.99-1)
- colorful `cat`
- lomoco (1.0.0-3)
- Logitech Mouse Control for USB mice
- londiste3
- virtueel pakket geboden door python3-londiste
- londonlaw (0.2.1-20)
- Scotland Yard board game with network support
- longrun (0.9-22)
- Transmeta Crusoe LongRun control utility
- looking-glass-client (0+a12-2)
- Low latency KVM FrameRelay implementation for VGA Passthrough
- lookup (1.08b-12)
- interactive utility to search text files quickly
- lookup-el (1.4.1-18)
- emacsen interface to electronic dictionaries
- loook (0.8.6-1)
- Search strings in ODF and OOXML documents
- loop-modules
- virtueel pakket geboden door loop-modules-4.19.0-21-arm64-di, loop-modules-4.19.0-20-armmp-di, loop-modules-4.19.0-21-686-pae-di, loop-modules-4.19.0-20-arm64-di, loop-modules-4.19.0-21-armmp-di, loop-modules-4.19.0-20-amd64-di, loop-modules-4.19.0-21-686-di, loop-modules-4.19.0-20-686-di, loop-modules-4.19.0-20-686-pae-di, loop-modules-4.19.0-21-amd64-di
- loop-modules-4.19.0-20-686-di (4.19.235-1)
- Loopback filesystem support
- loop-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Loopback filesystem support
- loop-modules-4.19.0-20-amd64-di (4.19.235-1)
- Loopback filesystem support
- loop-modules-4.19.0-20-arm64-di (4.19.235-1)
- Loopback filesystem support
- loop-modules-4.19.0-20-armmp-di (4.19.235-1)
- Loopback filesystem support
- loop-modules-4.19.0-21-686-di (4.19.249-2)
- Loopback filesystem support
- loop-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Loopback filesystem support
- loop-modules-4.19.0-21-amd64-di (4.19.249-2)
- Loopback filesystem support
- loop-modules-4.19.0-21-arm64-di (4.19.249-2)
- Loopback filesystem support
- loop-modules-4.19.0-21-armmp-di (4.19.249-2)
- Loopback filesystem support
- looptools (2.8-1+b3)
- Integral Evaluator of One-loop Feynman Diagram
- loqui (0.6.4-3)
- GTK+ based multipane IRC/Chat Client
- lordsawar (0.3.1-4)
- Clone of the popular SSG game Warlords II
- lordsawar-data (0.3.1-4)
- Clone of the popular SSG game Warlords II - data files
- lorene (0.0.0~cvs20161116+dfsg-1)
- framework for numerical relativity
- lorene-codes-src (0.0.0~cvs20161116+dfsg-1)
- source files of LORENE-based codes
- lorene-doc (0.0.0~cvs20161116+dfsg-1)
- documentation for LORENE
- lostirc (0.4.6-4.2)
- simple gtk-based IRC client
- lout (3.39-3+b1)
- Typesetting system, an alternative to (La)TeX
- lout-common (3.39-3)
- Common files for the Lout typesetting system
- lout-doc (3.39-3)
- Documentation on the Lout typesetting system
- love (11.1-2)
- 2D game development framework based on Lua and OpenGL
- lowmem (1.47)
- free memory for lowmem install
- lowmemcheck (1.47)
- detect low-memory systems and enter lowmem mode
- lp-solve (5.5.0.15-4+b1)
- Solve (mixed integer) linear programming problems
- lp-solve-doc (5.5.0.15-4)
- Solve (mixed integer) linear programming problems - documentation
- lpc21isp (1.97-4)
- In-circuit programming (ISP) of ARM and Cortex microcontrollers
- lpctools (1.07-1)
- interface to NXP LPC Microcontrollers ISP serial interface
- lpe (1.2.8-2+b2)
- Lightweight Programmer's Editor
- lphdisk (0.9.1.ds1-3)
- prepares hibernation partition for Phoenix NoteBIOS
- lpr (1:2008.05.17.3)
- BSD lpr/lpd regel printer spool systeem
- lpr
- virtueel pakket geboden door cups-bsd, lprng
- lprng (3.8.B-2.2)
- lpr/lpd printer spooling system
- lprng-doc (3.8.A~rc2-3.1)
- lpr/lpd printer spooling system (documentation)
- lptools (0.2.0-3)
- Tools for working with Launchpad
- lqa (20180702.0-1)
- lava qa tool
- lr (1.4.1-1)
- list files, recursively
- lrcalc (1.2-2+b1)
- programs for calculating Littlewood-Richardson coefficients
- lrslib (0.70-3)
- package to enumerate vertices and extreme rays of a convex polyhedron
- lrzip (0.631+git180528-1+deb10u1)
- compression program with a very high compression ratio
- lrzsz (0.12.21-10)
- Tools for zmodem/xmodem/ymodem file transfer
- lsb-base (10.2019051400)
- Linux Standard Base init script functionality
- lsb-release (10.2019051400)
- Linux Standard Base version reporting utility
- lsdb (0.11-10.1)
- The Lovely Sister Database (email rolodex) for Emacs
- lsdvd (0.17-1+b1)
- read the content info of a DVD
- lsh-client (2.1-12)
- Secure Shell v2 (SSH2) protocol client
- lsh-doc (2.1-12)
- Secure Shell v2 (SSH2) documentation
- lsh-server (2.1-12)
- Secure Shell v2 (SSH2) protocol server
- lsh-utils (2.1-12)
- Secure Shell v2 (SSH2) protocol utilities
- lshw (02.18.85-0.1)
- information about hardware configuration
- lshw-gtk (02.18.85-0.1)
- graphical information about hardware configuration
- lskat (4:18.04.1-1)
- Lieutnant Skat card game
- lsm (1.0.4-1)
- Link connectivity monitor tool
- lsmbox (2.1.3-1+b4)
- List number of total/unread messages for mailboxes
- lsmount (0.2.3-1)
- is a small program for formatting the /proc/mounts output
- lsof (4.91+dfsg-1)
- utility to list open files
- lsscsi (0.30-0.1)
- list all SCSI devices (or hosts) currently on system
- lsw
- virtueel pakket geboden door suckless-tools
- lswm (0.6.00+svn201-4)
- wiimote discover utility
- lsyncd (2.2.3-1)
- daemon to synchronize local directories using rsync
- ltpanel (0.2-5+b1 [armhf], 0.2-5 [amd64, arm64, i386])
- lightweight tasklist panel for minimalist WMs
- ltrace (0.7.3-6.1)
- Tracks runtime library calls in dynamically linked programs
- ltris (1.0.19-3+b1)
- very polished Tetris clone with CPU opponents
- ltrsift (1.0.2-8)
- postprocessing and classification of LTR retrotransposons
- ltsp-client (5.18.12-3)
- complete LTSP client environment
- ltsp-client-builder (5.18.12-3)
- build an LTSP environment in the installer target
- ltsp-client-core (5.18.12-3)
- basic LTSP client environment
- ltsp-server (5.18.12-3)
- basic LTSP server environment
- ltsp-server-standalone (5.18.12-3)
- complete LTSP server environment
- ltspfs (1.5-2)
- Fuse based remote filesystem for LTSP thin clients
- ltspfsd (1.5-2)
- Fuse based remote filesystem hooks for LTSP thin clients
- ltspfsd-core (1.5-2)
- Fuse based remote filesystem daemon for LTSP thin clients
- lttng-modules-dkms (2.10.8-1+deb10u1)
- Linux Trace Toolkit (LTTng) kernel modules (DKMS)
- lttng-tools (2.10.6-1)
- LTTng control and utility programs
- lttoolbox (3.5.0-3)
- Apertium lexical processing modules and tools
- lttoolbox-dev (3.5.0-3)
- Development tools and library for lttoolbox
- lttv (1.5-3+b1)
- Linux Trace Toolkit Viewer
- lua
- virtueel pakket geboden door lua5.1, lua5.2, lua50, lua5.3
- lua-ansicolors (1.0.2-2)
- simple Lua function for printing to the console in color
- lua-any (25)
- helper script for shebang lines in Lua scripts
- lua-apr (0.23.2.dfsg-4)
- Apache Portable Runtime library for the Lua language
- lua-apr-dev (0.23.2.dfsg-4)
- Lua development files for the Apache Portable Runtime library
- lua-apr-doc (0.23.2.dfsg-4)
- Lua documentation files for the Apache Portable Runtime library
- lua-argparse (0.6.0-1)
- feature-rich command line parser for Lua language
- lua-augeas (0.1.1-3)
- Lua binding to the Augeas C API
- lua-basexx (0.3-2)
- baseXX encoding/decoding library for Lua
- lua-bit32 (5.3.0-3)
- Backport of the Lua 5.2 bit32 library to Lua 5.1
- lua-bit32-dev (5.3.0-3)
- Development files for the bit32 library for Lua 5.1
- lua-bitop (1.0.2-5)
- fast bit manipulation library for the Lua language
- lua-bitop-dev (1.0.2-5)
- fast bit manipulation library devel files for the Lua language
- lua-busted (2.0~rc12-1-2)
- Lua unit testing framework focused on ease of use
- lua-cgi (5.2~alpha2-1)
- CGI library for the Lua language
- lua-check (0.23.0-1)
- static analyzer and a linter for the Lua language
- lua-cjson (2.1.0+dfsg-2.1)
- JSON parser/encoder for Lua
- lua-cjson-dev (2.1.0+dfsg-2.1)
- JSON parser/encoder for Lua, development files
- lua-cliargs (3.0-2-1)
- command-line argument parsing module for Lua
- lua-clod (1.0.2-3)
- Configuration Language Organised (by) Dots
- lua-clod-doc (1.0.2-3)
- Documentation for lua-clod
- lua-compat53 (0.3-3)
- Lua-5.3-style APIs for Lua 5.2 and 5.1
- lua-copas (1.2.0-1)
- Copas is a dispatcher of concurrent TCP/IP requests
- lua-cosmo (13.01.30-2)
- Template library for the Lua language
- lua-coxpcall (1.14.0-2)
- Protected function calls across coroutines for Lua
- lua-cqueues (20171014-1)
- event loop for Lua
- lua-cqueues-dev (20171014-1)
- event loop for Lua (development package)
- lua-curl (0.3.0-9.2)
- libcURL bindings for the Lua language
- lua-curl-dev (0.3.0-9.2)
- libcURL development files for the Lua language
- lua-curses (1:9.0.0-2+b1)
- curses library bindings for the Lua language
- lua-curses-dev (1:9.0.0-2+b1)
- Development files for the lua-curses library
- lua-cyrussasl (1.0.0-6.1)
- Cyrus SASL library for the Lua language
- lua-cyrussasl-dev (1.0.0-6.1)
- Cyrus SASL development files for the Lua language
- lua-dbi-common (0.7.1-2)
- DBI library for the Lua language, common files
- lua-dbi-mysql (0.7.1-2)
- DBI library for the Lua language, MySQL backend
- lua-dbi-mysql-dev (0.7.1-2)
- DBI library for the Lua language, MySQL development files
- lua-dbi-postgresql (0.7.1-2)
- DBI library for the Lua language, PostgreSQL backend
- lua-dbi-postgresql-dev (0.7.1-2)
- DBI library for the Lua language, PostgreSQL development files
- lua-dbi-sqlite3 (0.7.1-2)
- DBI library for the Lua language, sqlite3 backend
- lua-dbi-sqlite3-dev (0.7.1-2)
- DBI library for the Lua language, sqlite3 development files
- lua-discount (2.1.8-3)
- Discount library for the Lua language
- lua-discount-dev (2.1.8-3)
- discount development files for the Lua language
- lua-dkjson (2.5-3)
- JSON module written in Lua
- lua-doc (3.0.1+gitdb9e868-1)
- Documentation generator for lua
- lua-event (0.4.6-1)
- asynchronous event notification library for Lua
- lua-event-dev (0.4.6-1)
- libevent development files for the Lua language
- lua-expat (1.3.0-4)
- libexpat bindings for the Lua language
- lua-expat-dev (1.3.0-4)
- libexpat development files for the Lua language
- lua-fifo (0.2-3)
- FIFO implementation for Lua
- lua-filesystem (1.6.3-1)
- luafilesystem library for the Lua language
- lua-filesystem-dev (1.6.3-1)
- luafilesystem development files for the Lua language
- lua-gall (1.3-1+b2)
- Git Abstraction Layer for Lua
- lua-gall-doc (1.3-1)
- Documentation for lua-gall
- lua-geoip (0.1.2+git20160613-3)
- GeoIP library bindings for the Lua language
- lua-geoip-dev (0.1.2+git20160613-3)
- Development files for lua-geoip library
- lua-guestfs (1:1.40.2-2)
- guest disk image management system - Lua bindings
- lua-hamlib2 (3.3-5)
- Run-time Lua library to control radio transceivers and receivers
- lua-http (0.1-3)
- HTTP library for Lua
- lua-iconv (7-3)
- iconv bindings for the Lua programming language
- lua-iconv-dev (7-3)
- iconv bindings for Lua - development files
- lua-inifile (1.0-2)
- simple, complete ini parser for Lua
- lua-inotify (0.4+git20151119-2)
- inotify bindings for Lua
- lua-inotify-dev (0.4+git20151119-2)
- inotify bindings for Lua (development files)
- lua-inspect (3.1.1-1)
- Lua table visualizer, ideal for debugging
- lua-json (1.3.4-2)
- JSON decoder/encoder for Lua
- lua-lace (1.4-1)
- Lua Access Control Engine
- lua-lace-doc (1.4-1)
- Documentation for lua-lace
- lua-ldap (1.2.5-1)
- LDAP library for the Lua language
- lua-ldap-dev (1.2.5-1)
- LDAP development files for the Lua language
- lua-ldoc (1.4.6-1)
- LuaDoc-compatible documentation generation system
- lua-leg (0.1.3-1)
- Lua 5.1 grammar, with parsing and manipulation facilities
- lua-leg-dev (0.1.3-1)
- Leg development files for the Lua language
- lua-lemock (0.6-1)
- LeMock (Lua Easy Mock) for unit test
- lua-lemock-dev (0.6-1)
- LeMock (Lua Easy Mock) for unit test
- lua-lgi (0.9.2-2)
- Lua bridge to GObject based libraries
- lua-lgi-dev (0.9.2-2)
- lgi development files for the Lua language
- lua-ljsyscall (0.12-1)
- Unix system calls for LuaJIT
- lua-logging (1.3.0-1)
- Logging library for the Lua language
- lua-lpeg (1.0.0-2)
- LPeg library for the Lua language
- lua-lpeg-dev (1.0.0-2)
- LPeg development files for the Lua language
- lua-lpeg-patterns (0.4-1)
- collection of LPEG patterns
- lua-lpty (1.0.1-1.1)
- PTY library for the Lua language
- lua-lpty-dev (1.0.1-1.1)
- PTY library for the Lua language - development files
- lua-luaossl (20161214-1)
- OpenSSL bindings for Lua
- lua-luaossl-dev (20161214-1)
- OpenSSL bindings for Lua (development package)
- lua-luassert (1.7.10-1)
- Lua assertions extension
- lua-luv (1.9.1-0-2)
- libuv bindings for lua
- lua-luv-dev (1.9.1-0-2)
- libuv bindings for lua
- lua-luxio (13-1)
- Posix bindings for Lua
- lua-luxio-doc (13-1)
- Documentation for lua-luxio
- lua-luxio0 (13-1)
- Posix bindings for Lua (transitional package)
- lua-luxio0
- virtueel pakket geboden door lua-luxio
- lua-lxc (1:3.0.2-1)
- Linux Containers userspace tools (Lua bindings)
- lua-markdown (0.32-5)
- Pure Lua 5.1 implementation of the Markdown text-to-html markup
- lua-md5 (1.2+git+1+8d87fee-1.1)
- MD5 library for the Lua language
- lua-md5-dev (1.2+git+1+8d87fee-1.1)
- MD5 library for the Lua language
- lua-mediator (1.1.2-0-2)
- Mediator pattern implementation in Lua
- lua-messagepack (0.5.1-1)
- pure Lua implementation of MessagePack
- lua-mmdb (0.1-1)
- IP geolocation library for Lua
- lua-mode (20151025-3)
- Emacs mode for editing Lua programs -- transitional package
- lua-moses (2.1.0-1)
- Utility library for functional programming in Lua
- lua-mpack (1.0.7-2)
- libmpack binding for the Lua language
- lua-nginx-cookie (0.1.0-1)
- Pure Lua cookie parser for the nginx embedded Lua language
- lua-nginx-dns (0.20-1)
- DNS resolver for the nginx embedded Lua language
- lua-nginx-kafka (0.06-1)
- Pure Lua Kafka producer for the nginx embedded Lua language
- lua-nginx-memcached (0.14-1)
- Pure Lua memcached client driver for the nginx embedded Lua language
- lua-nginx-redis (0.27~rc1-1)
- Pure Lua redis client driver for the nginx embedded Lua language
- lua-nginx-redis-connector (0.06-1)
- Connection utilities for lua-nginx-redis
- lua-nginx-string (0.11-1)
- String utilities for the nginx embedded Lua language
- lua-nginx-websocket (0.06-1)
- Lua websocket client driver for the nginx embedded Lua language
- lua-nvim (0.1.0-1-2)
- Lua client for Neovim
- lua-nvim-dev (0.1.0-1-2)
- Lua client for Neovim
- lua-orbit (2.2.1+dfsg-1)
- MVC web framework for Lua
- lua-penlight (1.3.2-2)
- Collection of general purpose libraries for the Lua language
- lua-penlight-dev (1.3.2-2)
- Collection of general purpose libraries for the Lua language
- lua-posix (33.4.0-3)
- posix library for the Lua language
- lua-posix-dev (33.4.0-3)
- posix development files for the Lua language
- lua-redis (2.0.5~git20141117.880dda9-2)
- Redis client database library for the Lua language
- lua-redis-dev (2.0.5~git20141117.880dda9-2)
- Redis client library for the Lua language, examples and tests
- lua-rex-doc (2.7.2-4)
- documentation of the rex library for the Lua language
- lua-rex-gnu (2.7.2-4+b1)
- GNU regular expressions library for the Lua language
- lua-rex-gnu-dev (2.7.2-4+b1)
- GNU development files for the Lua language
- lua-rex-onig (2.7.2-4+b1)
- Onig regular expressions library for the Lua language
- lua-rex-onig-dev (2.7.2-4+b1)
- Onig regex development files for the Lua language
- lua-rex-pcre (2.7.2-4+b1)
- Perl regular expressions library for the Lua language
- lua-rex-pcre-dev (2.7.2-4+b1)
- PCRE development files for the Lua language
- lua-rex-posix (2.7.2-4+b1)
- POSIX regular expressions library for the Lua language
- lua-rex-posix-dev (2.7.2-4+b1)
- POSIX regex development files for the Lua language
- lua-rex-tre (2.7.2-4+b1)
- TRE regular expressions library for the Lua language
- lua-rex-tre-dev (2.7.2-4+b1)
- TRE development files for the Lua language
- lua-rings (1.3.0-3.1)
- Lua state creation and control library for the Lua language
- lua-rings-dev (1.3.0-3.1)
- Development files for the rings library for the Lua language
- lua-rrd (1.7.1-2)
- time-series data storage and display system (Lua interfaces)
- lua-rrd-dev (1.7.1-2)
- time-series data storage and display system (Lua development)
- lua-say (1.3-1-4)
- Lua string hashing/indexing library
- lua-scrypt (1.1-3)
- Lua binding to libscrypt
- lua-scrypt-doc (1.1-3)
- Documentation for lua-scrypt
- lua-sec (0.7-1)
- SSL socket library for the Lua language
- lua-sec-dev (0.7-1)
- SSL socket library devel files for the Lua language
- lua-soap (3.0+git91419a7-1)
- SOAP library for the Lua language
- lua-socket (3.0~rc1+git+ac3201d-4)
- TCP/UDP socket library for the Lua language
- lua-socket-dev (3.0~rc1+git+ac3201d-4)
- luasocket development files for the Lua language
- lua-sql-doc (2.3.4-1)
- luasql documentation
- lua-sql-mysql (2.3.4-1+b1)
- luasql library for the Lua language
- lua-sql-mysql-dev (2.3.4-1+b1)
- luasql development files for the Lua language
- lua-sql-odbc (2.3.4-1+b1)
- luasql library for the Lua language
- lua-sql-odbc-dev (2.3.4-1+b1)
- luasql development files for the Lua language
- lua-sql-postgres (2.3.4-1+b1)
- luasql library for the Lua language
- lua-sql-postgres-dev (2.3.4-1+b1)
- luasql development files for the Lua language
- lua-sql-sqlite3 (2.3.4-1+b1)
- luasql library for the Lua language
- lua-sql-sqlite3-dev (2.3.4-1+b1)
- luasql development files for the Lua language
- lua-supple (1.0.8-1)
- Lua strict sandbox
- lua-supple-doc (1.0.8-1)
- Documentation for lua-supple
- lua-svn (0.4.0-9.1)
- Subversion library for the Lua language
- lua-svn-dev (0.4.0-9.1)
- Development files for the Subversion library for the Lua language
- lua-system (0.2.1-1)
- platform independent system call library for Lua
- lua-system-dev (0.2.1-1)
- development files for lua-system
- lua-systemd (0~git20160517-2)
- Systemd bindings for Lua
- lua-systemd-dev (0~git20160517-2)
- Development files for lua-systemd library
- lua-term (0.07-0.1)
- Lua module for manipulating a terminal
- lua-term-dev (0.07-0.1)
- Lua module for manipulating a terminal
- lua-tongue (0.8-1)
- Lua I18N library 'Tongue'
- lua-tongue-doc (0.8-1)
- Documentation for lua-tongue
- lua-torch-cwrap (0~20160222-gdbd0a62-6)
- CWrap package for Torch Framework
- lua-torch-dok (0~20160131-g1b36900-3)
- Support for the old torch7 dok system
- lua-torch-graph (0~20161121-g37dac07-3)
- Graph Computation Package for Torch Framework
- lua-torch-image (0~20170420-g5aa1881-7)
- Image Load/Save Library for Torch Framework
- lua-torch-nn (0~20171002-g8726825+dfsg-4)
- Neural Network Package for Torch Framework
- lua-torch-nngraph (0~20170208-g3ed3b9b-3)
- Neural Network Graph Package for Torch Framework
- lua-torch-optim (0~20171127-ga5ceed7-1)
- Numeric Optimization Package for Torch Framework
- lua-torch-paths (0~20170226-g4ebe222-2)
- Filename Manipulation Package for Torch Framework
- lua-torch-paths-dev (0~20170226-g4ebe222-2)
- Filename Manipulation Package for Torch Framework (dev)
- lua-torch-sundown (0~20161027-g4324669-2)
- Sundown Library (a Markdown implementation) for Torch Framework
- lua-torch-sys (0~20161027-gf073f05-3)
- System Package for Torch Framework
- lua-torch-torch7 (0~20170926-g89ede3b-6)
- Torch Package for Torch Framework
- lua-torch-torch7-dev (0~20170926-g89ede3b-6)
- Torch Package for Torch Framework (dev)
- lua-torch-trepl (0~20170619-ge5e17e3-7)
- REPL Package for Torch Framework
- lua-torch-xlua (0~20160719-g41308fe-7)
- Lua Extension Package for Torch Framework
- lua-unit (3.3-1)
- Lua unit testing framework
- lua-uri (0.1+20130926+git14fa255d-1)
- pure Lua library to normalize and validate URIs/URLs
- lua-uri-dev (0.1+20130926+git14fa255d-1)
- examples and test files for lua-uri
- lua-wsapi (1.6.1-1)
- Web server API abstraction layer for the Lua language
- lua-wsapi-doc (1.6.1-1)
- wsapi documentation files for the Lua language
- lua-wsapi-fcgi (1.6.1-1+b11)
- Web server API fastcgi backend
- lua-wsapi-fcgi-dev (1.6.1-1+b11)
- wsapi fastcgi development files for the Lua language
- lua-xmlrpc (1.2.1-7)
- xmlrpc library for the Lua language
- lua-yaml (6.1-2)
- LibYAML binding for Lua
- lua-yaml-dev (6.1-2)
- docs and test files for lua-yaml
- lua-zip (1.2.3-12.1)
- zip library for the Lua language
- lua-zip-dev (1.2.3-12.1)
- zip development files for the Lua language
- lua-zlib (0.2+git+1+9622739-2.1)
- zlib library for the Lua language
- lua-zlib-dev (0.2+git+1+9622739-2.1)
- zlib development files for the Lua language
- lua5.1 (5.1.5-8.1+b2)
- Simple, extensible, embeddable programming language
- lua5.1-ansicolors
- virtueel pakket geboden door lua-ansicolors
- lua5.1-apr
- virtueel pakket geboden door lua-apr
- lua5.1-apr-dev
- virtueel pakket geboden door lua-apr-dev
- lua5.1-argparse
- virtueel pakket geboden door lua-argparse
- lua5.1-augeas
- virtueel pakket geboden door lua-augeas
- lua5.1-basexx
- virtueel pakket geboden door lua-basexx
- lua5.1-bit32
- virtueel pakket geboden door lua-bit32
- lua5.1-bit32-dev
- virtueel pakket geboden door lua-bit32-dev
- lua5.1-bitop
- virtueel pakket geboden door lua-bitop
- lua5.1-bitop-dev
- virtueel pakket geboden door lua-bitop-dev
- lua5.1-busted
- virtueel pakket geboden door lua-busted
- lua5.1-cgi
- virtueel pakket geboden door lua-cgi
- lua5.1-check
- virtueel pakket geboden door lua-check
- lua5.1-cjson
- virtueel pakket geboden door lua-cjson
- lua5.1-cjson-dev
- virtueel pakket geboden door lua-cjson-dev
- lua5.1-cliargs
- virtueel pakket geboden door lua-cliargs
- lua5.1-clod
- virtueel pakket geboden door lua-clod
- lua5.1-compat53
- virtueel pakket geboden door lua-compat53
- lua5.1-copas
- virtueel pakket geboden door lua-copas
- lua5.1-cosmo
- virtueel pakket geboden door lua-cosmo
- lua5.1-coxpcall
- virtueel pakket geboden door lua-coxpcall
- lua5.1-cqueues
- virtueel pakket geboden door lua-cqueues
- lua5.1-cqueues-dev
- virtueel pakket geboden door lua-cqueues-dev
- lua5.1-curl
- virtueel pakket geboden door lua-curl
- lua5.1-curl-dev
- virtueel pakket geboden door lua-curl-dev
- lua5.1-curses
- virtueel pakket geboden door lua-curses
- lua5.1-curses-dev
- virtueel pakket geboden door lua-curses-dev
- lua5.1-cyrussasl
- virtueel pakket geboden door lua-cyrussasl
- lua5.1-cyrussasl-dev
- virtueel pakket geboden door lua-cyrussasl-dev
- lua5.1-dbi-common
- virtueel pakket geboden door lua-dbi-common
- lua5.1-dbi-mysql
- virtueel pakket geboden door lua-dbi-mysql
- lua5.1-dbi-mysql-dev
- virtueel pakket geboden door lua-dbi-mysql-dev
- lua5.1-dbi-postgresql
- virtueel pakket geboden door lua-dbi-postgresql
- lua5.1-dbi-postgresql-dev
- virtueel pakket geboden door lua-dbi-postgresql-dev
- lua5.1-dbi-sqlite3
- virtueel pakket geboden door lua-dbi-sqlite3
- lua5.1-dbi-sqlite3-dev
- virtueel pakket geboden door lua-dbi-sqlite3-dev
- lua5.1-discount
- virtueel pakket geboden door lua-discount
- lua5.1-discount-dev
- virtueel pakket geboden door lua-discount-dev
- lua5.1-dkjson
- virtueel pakket geboden door lua-dkjson
- lua5.1-doc (5.1.5-8.1)
- Documentation for the Lua language version 5.1
- lua5.1-doc
- virtueel pakket geboden door lua-doc
- lua5.1-event
- virtueel pakket geboden door lua-event
- lua5.1-event-dev
- virtueel pakket geboden door lua-event-dev
- lua5.1-expat
- virtueel pakket geboden door lua-expat
- lua5.1-expat-dev
- virtueel pakket geboden door lua-expat-dev
- lua5.1-fifo
- virtueel pakket geboden door lua-fifo
- lua5.1-filesystem
- virtueel pakket geboden door lua-filesystem
- lua5.1-filesystem-dev
- virtueel pakket geboden door lua-filesystem-dev
- lua5.1-gall
- virtueel pakket geboden door lua-gall
- lua5.1-geoip
- virtueel pakket geboden door lua-geoip
- lua5.1-geoip-dev
- virtueel pakket geboden door lua-geoip-dev
- lua5.1-http
- virtueel pakket geboden door lua-http
- lua5.1-iconv
- virtueel pakket geboden door lua-iconv
- lua5.1-iconv-dev
- virtueel pakket geboden door lua-iconv-dev
- lua5.1-inifile
- virtueel pakket geboden door lua-inifile
- lua5.1-inotify
- virtueel pakket geboden door lua-inotify
- lua5.1-inotify-dev
- virtueel pakket geboden door lua-inotify-dev
- lua5.1-inspect
- virtueel pakket geboden door lua-inspect
- lua5.1-json
- virtueel pakket geboden door lua-json
- lua5.1-lace
- virtueel pakket geboden door lua-lace
- lua5.1-ldap
- virtueel pakket geboden door lua-ldap
- lua5.1-ldap-dev
- virtueel pakket geboden door lua-ldap-dev
- lua5.1-leg
- virtueel pakket geboden door lua-leg
- lua5.1-leg-dev
- virtueel pakket geboden door lua-leg-dev
- lua5.1-lemock
- virtueel pakket geboden door lua-lemock
- lua5.1-lemock-dev
- virtueel pakket geboden door lua-lemock-dev
- lua5.1-lgi
- virtueel pakket geboden door lua-lgi
- lua5.1-lgi-dev
- virtueel pakket geboden door lua-lgi-dev
- lua5.1-ljsyscall
- virtueel pakket geboden door lua-ljsyscall
- lua5.1-logging
- virtueel pakket geboden door lua-logging
- lua5.1-lpeg
- virtueel pakket geboden door lua-lpeg
- lua5.1-lpeg-dev
- virtueel pakket geboden door lua-lpeg-dev
- lua5.1-lpeg-patterns
- virtueel pakket geboden door lua-lpeg-patterns
- lua5.1-lpty
- virtueel pakket geboden door lua-lpty
- lua5.1-lpty-dev
- virtueel pakket geboden door lua-lpty-dev
- lua5.1-luaossl
- virtueel pakket geboden door lua-luaossl
- lua5.1-luaossl-dev
- virtueel pakket geboden door lua-luaossl-dev
- lua5.1-luassert
- virtueel pakket geboden door lua-luassert
- lua5.1-luv
- virtueel pakket geboden door lua-luv
- lua5.1-luv-dev
- virtueel pakket geboden door lua-luv-dev
- lua5.1-luxio
- virtueel pakket geboden door lua-luxio
- lua5.1-markdown
- virtueel pakket geboden door lua-markdown
- lua5.1-md5
- virtueel pakket geboden door lua-md5
- lua5.1-md5-dev
- virtueel pakket geboden door lua-md5-dev
- lua5.1-mediator
- virtueel pakket geboden door lua-mediator
- lua5.1-messagepack
- virtueel pakket geboden door lua-messagepack
- lua5.1-mmdb
- virtueel pakket geboden door lua-mmdb
- lua5.1-mpack
- virtueel pakket geboden door lua-mpack
- lua5.1-nvim
- virtueel pakket geboden door lua-nvim
- lua5.1-nvim-dev
- virtueel pakket geboden door lua-nvim-dev
- lua5.1-orbit
- virtueel pakket geboden door lua-orbit
- lua5.1-penlight
- virtueel pakket geboden door lua-penlight
- lua5.1-penlight-dev
- virtueel pakket geboden door lua-penlight-dev
- lua5.1-policy (33)
- Lua 5.1 Debian policy
- lua5.1-policy-dev (33)
- Lua 5.1 Debian policy - template and scripts
- lua5.1-posix
- virtueel pakket geboden door lua-posix
- lua5.1-posix-dev
- virtueel pakket geboden door lua-posix-dev
- lua5.1-redis
- virtueel pakket geboden door lua-redis
- lua5.1-redis-dev
- virtueel pakket geboden door lua-redis-dev
- lua5.1-rex-gnu
- virtueel pakket geboden door lua-rex-gnu
- lua5.1-rex-gnu-dev
- virtueel pakket geboden door lua-rex-gnu-dev
- lua5.1-rex-onig
- virtueel pakket geboden door lua-rex-onig
- lua5.1-rex-onig-dev
- virtueel pakket geboden door lua-rex-onig-dev
- lua5.1-rex-pcre
- virtueel pakket geboden door lua-rex-pcre
- lua5.1-rex-pcre-dev
- virtueel pakket geboden door lua-rex-pcre-dev
- lua5.1-rex-posix
- virtueel pakket geboden door lua-rex-posix
- lua5.1-rex-posix-dev
- virtueel pakket geboden door lua-rex-posix-dev
- lua5.1-rex-tre
- virtueel pakket geboden door lua-rex-tre
- lua5.1-rex-tre-dev
- virtueel pakket geboden door lua-rex-tre-dev
- lua5.1-rings
- virtueel pakket geboden door lua-rings
- lua5.1-rings-dev
- virtueel pakket geboden door lua-rings-dev
- lua5.1-rrd
- virtueel pakket geboden door lua-rrd
- lua5.1-rrd-dev
- virtueel pakket geboden door lua-rrd-dev
- lua5.1-say
- virtueel pakket geboden door lua-say
- lua5.1-scrypt
- virtueel pakket geboden door lua-scrypt
- lua5.1-sec
- virtueel pakket geboden door lua-sec
- lua5.1-sec-dev
- virtueel pakket geboden door lua-sec-dev
- lua5.1-soap
- virtueel pakket geboden door lua-soap
- lua5.1-socket
- virtueel pakket geboden door lua-socket
- lua5.1-socket-dev
- virtueel pakket geboden door lua-socket-dev
- lua5.1-sql-mysql
- virtueel pakket geboden door lua-sql-mysql
- lua5.1-sql-mysql-dev
- virtueel pakket geboden door lua-sql-mysql-dev
- lua5.1-sql-odbc
- virtueel pakket geboden door lua-sql-odbc
- lua5.1-sql-odbc-dev
- virtueel pakket geboden door lua-sql-odbc-dev
- lua5.1-sql-postgres
- virtueel pakket geboden door lua-sql-postgres
- lua5.1-sql-postgres-dev
- virtueel pakket geboden door lua-sql-postgres-dev
- lua5.1-sql-sqlite3
- virtueel pakket geboden door lua-sql-sqlite3
- lua5.1-sql-sqlite3-dev
- virtueel pakket geboden door lua-sql-sqlite3-dev
- lua5.1-supple
- virtueel pakket geboden door lua-supple
- lua5.1-svn
- virtueel pakket geboden door lua-svn
- lua5.1-svn-dev
- virtueel pakket geboden door lua-svn-dev
- lua5.1-system
- virtueel pakket geboden door lua-system
- lua5.1-system-dev
- virtueel pakket geboden door lua-system-dev
- lua5.1-systemd
- virtueel pakket geboden door lua-systemd
- lua5.1-systemd-dev
- virtueel pakket geboden door lua-systemd-dev
- lua5.1-term
- virtueel pakket geboden door lua-term
- lua5.1-term-dev
- virtueel pakket geboden door lua-term-dev
- lua5.1-tongue
- virtueel pakket geboden door lua-tongue
- lua5.1-unit
- virtueel pakket geboden door lua-unit
- lua5.1-uri
- virtueel pakket geboden door lua-uri
- lua5.1-uri-dev
- virtueel pakket geboden door lua-uri-dev
- lua5.1-wsapi
- virtueel pakket geboden door lua-wsapi
- lua5.1-wsapi-fcgi
- virtueel pakket geboden door lua-wsapi-fcgi
- lua5.1-wsapi-fcgi-dev
- virtueel pakket geboden door lua-wsapi-fcgi-dev
- lua5.1-xmlrpc
- virtueel pakket geboden door lua-xmlrpc
- lua5.1-yaml
- virtueel pakket geboden door lua-yaml
- lua5.1-yaml-dev
- virtueel pakket geboden door lua-yaml-dev
- lua5.1-zip
- virtueel pakket geboden door lua-zip
- lua5.1-zip-dev
- virtueel pakket geboden door lua-zip-dev
- lua5.1-zlib
- virtueel pakket geboden door lua-zlib
- lua5.1-zlib-dev
- virtueel pakket geboden door lua-zlib-dev
- lua5.2 (5.2.4-1.1+b2)
- Simple, extensible, embeddable programming language
- lua5.2-ansicolors
- virtueel pakket geboden door lua-ansicolors
- lua5.2-argparse
- virtueel pakket geboden door lua-argparse
- lua5.2-basexx
- virtueel pakket geboden door lua-basexx
- lua5.2-bitop
- virtueel pakket geboden door lua-bitop
- lua5.2-bitop-dev
- virtueel pakket geboden door lua-bitop-dev
- lua5.2-busted
- virtueel pakket geboden door lua-busted
- lua5.2-cjson
- virtueel pakket geboden door lua-cjson
- lua5.2-cjson-dev
- virtueel pakket geboden door lua-cjson-dev
- lua5.2-cliargs
- virtueel pakket geboden door lua-cliargs
- lua5.2-clod
- virtueel pakket geboden door lua-clod
- lua5.2-compat53
- virtueel pakket geboden door lua-compat53
- lua5.2-copas
- virtueel pakket geboden door lua-copas
- lua5.2-cosmo
- virtueel pakket geboden door lua-cosmo
- lua5.2-coxpcall
- virtueel pakket geboden door lua-coxpcall
- lua5.2-cqueues
- virtueel pakket geboden door lua-cqueues
- lua5.2-cqueues-dev
- virtueel pakket geboden door lua-cqueues-dev
- lua5.2-curl
- virtueel pakket geboden door lua-curl
- lua5.2-curl-dev
- virtueel pakket geboden door lua-curl-dev
- lua5.2-curses
- virtueel pakket geboden door lua-curses
- lua5.2-curses-dev
- virtueel pakket geboden door lua-curses-dev
- lua5.2-dbi-common
- virtueel pakket geboden door lua-dbi-common
- lua5.2-dbi-mysql
- virtueel pakket geboden door lua-dbi-mysql
- lua5.2-dbi-mysql-dev
- virtueel pakket geboden door lua-dbi-mysql-dev
- lua5.2-dbi-postgresql
- virtueel pakket geboden door lua-dbi-postgresql
- lua5.2-dbi-postgresql-dev
- virtueel pakket geboden door lua-dbi-postgresql-dev
- lua5.2-dbi-sqlite3
- virtueel pakket geboden door lua-dbi-sqlite3
- lua5.2-dbi-sqlite3-dev
- virtueel pakket geboden door lua-dbi-sqlite3-dev
- lua5.2-discount
- virtueel pakket geboden door lua-discount
- lua5.2-discount-dev
- virtueel pakket geboden door lua-discount-dev
- lua5.2-dkjson
- virtueel pakket geboden door lua-dkjson
- lua5.2-doc (5.2.4-1.1)
- Documentation for the Lua language version 5.2
- lua5.2-event
- virtueel pakket geboden door lua-event
- lua5.2-event-dev
- virtueel pakket geboden door lua-event-dev
- lua5.2-expat
- virtueel pakket geboden door lua-expat
- lua5.2-expat-dev
- virtueel pakket geboden door lua-expat-dev
- lua5.2-fifo
- virtueel pakket geboden door lua-fifo
- lua5.2-filesystem
- virtueel pakket geboden door lua-filesystem
- lua5.2-filesystem-dev
- virtueel pakket geboden door lua-filesystem-dev
- lua5.2-gall
- virtueel pakket geboden door lua-gall
- lua5.2-hamlib2
- virtueel pakket geboden door lua-hamlib2
- lua5.2-http
- virtueel pakket geboden door lua-http
- lua5.2-iconv
- virtueel pakket geboden door lua-iconv
- lua5.2-iconv-dev
- virtueel pakket geboden door lua-iconv-dev
- lua5.2-inifile
- virtueel pakket geboden door lua-inifile
- lua5.2-inotify
- virtueel pakket geboden door lua-inotify
- lua5.2-inotify-dev
- virtueel pakket geboden door lua-inotify-dev
- lua5.2-inspect
- virtueel pakket geboden door lua-inspect
- lua5.2-json
- virtueel pakket geboden door lua-json
- lua5.2-lace
- virtueel pakket geboden door lua-lace
- lua5.2-ldap
- virtueel pakket geboden door lua-ldap
- lua5.2-ldap-dev
- virtueel pakket geboden door lua-ldap-dev
- lua5.2-lgi
- virtueel pakket geboden door lua-lgi
- lua5.2-lgi-dev
- virtueel pakket geboden door lua-lgi-dev
- lua5.2-logging
- virtueel pakket geboden door lua-logging
- lua5.2-lpeg
- virtueel pakket geboden door lua-lpeg
- lua5.2-lpeg-dev
- virtueel pakket geboden door lua-lpeg-dev
- lua5.2-lpeg-patterns
- virtueel pakket geboden door lua-lpeg-patterns
- lua5.2-lpty
- virtueel pakket geboden door lua-lpty
- lua5.2-lpty-dev
- virtueel pakket geboden door lua-lpty-dev
- lua5.2-luaossl
- virtueel pakket geboden door lua-luaossl
- lua5.2-luaossl-dev
- virtueel pakket geboden door lua-luaossl-dev
- lua5.2-luassert
- virtueel pakket geboden door lua-luassert
- lua5.2-luv
- virtueel pakket geboden door lua-luv
- lua5.2-luv-dev
- virtueel pakket geboden door lua-luv-dev
- lua5.2-luxio
- virtueel pakket geboden door lua-luxio
- lua5.2-md5
- virtueel pakket geboden door lua-md5
- lua5.2-md5-dev
- virtueel pakket geboden door lua-md5-dev
- lua5.2-mediator
- virtueel pakket geboden door lua-mediator
- lua5.2-messagepack
- virtueel pakket geboden door lua-messagepack
- lua5.2-mmdb
- virtueel pakket geboden door lua-mmdb
- lua5.2-mpack
- virtueel pakket geboden door lua-mpack
- lua5.2-nvim
- virtueel pakket geboden door lua-nvim
- lua5.2-nvim-dev
- virtueel pakket geboden door lua-nvim-dev
- lua5.2-penlight
- virtueel pakket geboden door lua-penlight
- lua5.2-penlight-dev
- virtueel pakket geboden door lua-penlight-dev
- lua5.2-posix
- virtueel pakket geboden door lua-posix
- lua5.2-posix-dev
- virtueel pakket geboden door lua-posix-dev
- lua5.2-redis
- virtueel pakket geboden door lua-redis
- lua5.2-redis-dev
- virtueel pakket geboden door lua-redis-dev
- lua5.2-rex-gnu
- virtueel pakket geboden door lua-rex-gnu
- lua5.2-rex-gnu-dev
- virtueel pakket geboden door lua-rex-gnu-dev
- lua5.2-rex-onig
- virtueel pakket geboden door lua-rex-onig
- lua5.2-rex-onig-dev
- virtueel pakket geboden door lua-rex-onig-dev
- lua5.2-rex-pcre
- virtueel pakket geboden door lua-rex-pcre
- lua5.2-rex-pcre-dev
- virtueel pakket geboden door lua-rex-pcre-dev
- lua5.2-rex-posix
- virtueel pakket geboden door lua-rex-posix
- lua5.2-rex-posix-dev
- virtueel pakket geboden door lua-rex-posix-dev
- lua5.2-rex-tre
- virtueel pakket geboden door lua-rex-tre
- lua5.2-rex-tre-dev
- virtueel pakket geboden door lua-rex-tre-dev
- lua5.2-rings
- virtueel pakket geboden door lua-rings
- lua5.2-rings-dev
- virtueel pakket geboden door lua-rings-dev
- lua5.2-rrd
- virtueel pakket geboden door lua-rrd
- lua5.2-rrd-dev
- virtueel pakket geboden door lua-rrd-dev
- lua5.2-say
- virtueel pakket geboden door lua-say
- lua5.2-scrypt
- virtueel pakket geboden door lua-scrypt
- lua5.2-sec
- virtueel pakket geboden door lua-sec
- lua5.2-sec-dev
- virtueel pakket geboden door lua-sec-dev
- lua5.2-socket
- virtueel pakket geboden door lua-socket
- lua5.2-socket-dev
- virtueel pakket geboden door lua-socket-dev
- lua5.2-sql-mysql
- virtueel pakket geboden door lua-sql-mysql
- lua5.2-sql-mysql-dev
- virtueel pakket geboden door lua-sql-mysql-dev
- lua5.2-sql-odbc
- virtueel pakket geboden door lua-sql-odbc
- lua5.2-sql-odbc-dev
- virtueel pakket geboden door lua-sql-odbc-dev
- lua5.2-sql-postgres
- virtueel pakket geboden door lua-sql-postgres
- lua5.2-sql-postgres-dev
- virtueel pakket geboden door lua-sql-postgres-dev
- lua5.2-sql-sqlite3
- virtueel pakket geboden door lua-sql-sqlite3
- lua5.2-sql-sqlite3-dev
- virtueel pakket geboden door lua-sql-sqlite3-dev
- lua5.2-supple
- virtueel pakket geboden door lua-supple
- lua5.2-system
- virtueel pakket geboden door lua-system
- lua5.2-system-dev
- virtueel pakket geboden door lua-system-dev
- lua5.2-systemd
- virtueel pakket geboden door lua-systemd
- lua5.2-systemd-dev
- virtueel pakket geboden door lua-systemd-dev
- lua5.2-term
- virtueel pakket geboden door lua-term
- lua5.2-term-dev
- virtueel pakket geboden door lua-term-dev
- lua5.2-tongue
- virtueel pakket geboden door lua-tongue
- lua5.2-unit
- virtueel pakket geboden door lua-unit
- lua5.2-wsapi
- virtueel pakket geboden door lua-wsapi
- lua5.2-wsapi-fcgi
- virtueel pakket geboden door lua-wsapi-fcgi
- lua5.2-wsapi-fcgi-dev
- virtueel pakket geboden door lua-wsapi-fcgi-dev
- lua5.2-yaml
- virtueel pakket geboden door lua-yaml
- lua5.2-yaml-dev
- virtueel pakket geboden door lua-yaml-dev
- lua5.2-zlib
- virtueel pakket geboden door lua-zlib
- lua5.2-zlib-dev
- virtueel pakket geboden door lua-zlib-dev
- lua5.3 (5.3.3-1.1+deb10u1) [security]
- Simple, extensible, embeddable programming language
- lua5.3-ansicolors
- virtueel pakket geboden door lua-ansicolors
- lua5.3-argparse
- virtueel pakket geboden door lua-argparse
- lua5.3-busted
- virtueel pakket geboden door lua-busted
- lua5.3-cliargs
- virtueel pakket geboden door lua-cliargs
- lua5.3-cqueues
- virtueel pakket geboden door lua-cqueues
- lua5.3-cqueues-dev
- virtueel pakket geboden door lua-cqueues-dev
- lua5.3-curses
- virtueel pakket geboden door lua-curses
- lua5.3-curses-dev
- virtueel pakket geboden door lua-curses-dev
- lua5.3-dbi-common
- virtueel pakket geboden door lua-dbi-common
- lua5.3-dbi-mysql
- virtueel pakket geboden door lua-dbi-mysql
- lua5.3-dbi-mysql-dev
- virtueel pakket geboden door lua-dbi-mysql-dev
- lua5.3-dbi-postgresql
- virtueel pakket geboden door lua-dbi-postgresql
- lua5.3-dbi-postgresql-dev
- virtueel pakket geboden door lua-dbi-postgresql-dev
- lua5.3-dbi-sqlite3
- virtueel pakket geboden door lua-dbi-sqlite3
- lua5.3-dbi-sqlite3-dev
- virtueel pakket geboden door lua-dbi-sqlite3-dev
- lua5.3-dkjson
- virtueel pakket geboden door lua-dkjson
- lua5.3-event
- virtueel pakket geboden door lua-event
- lua5.3-event-dev
- virtueel pakket geboden door lua-event-dev
- lua5.3-expat
- virtueel pakket geboden door lua-expat
- lua5.3-expat-dev
- virtueel pakket geboden door lua-expat-dev
- lua5.3-filesystem
- virtueel pakket geboden door lua-filesystem
- lua5.3-filesystem-dev
- virtueel pakket geboden door lua-filesystem-dev
- lua5.3-hamlib2
- virtueel pakket geboden door lua-hamlib2
- lua5.3-http
- virtueel pakket geboden door lua-http
- lua5.3-iconv
- virtueel pakket geboden door lua-iconv
- lua5.3-iconv-dev
- virtueel pakket geboden door lua-iconv-dev
- lua5.3-inifile
- virtueel pakket geboden door lua-inifile
- lua5.3-inotify
- virtueel pakket geboden door lua-inotify
- lua5.3-inotify-dev
- virtueel pakket geboden door lua-inotify-dev
- lua5.3-inspect
- virtueel pakket geboden door lua-inspect
- lua5.3-json
- virtueel pakket geboden door lua-json
- lua5.3-lace
- virtueel pakket geboden door lua-lace
- lua5.3-ldap
- virtueel pakket geboden door lua-ldap
- lua5.3-ldap-dev
- virtueel pakket geboden door lua-ldap-dev
- lua5.3-lgi
- virtueel pakket geboden door lua-lgi
- lua5.3-lgi-dev
- virtueel pakket geboden door lua-lgi-dev
- lua5.3-lpeg
- virtueel pakket geboden door lua-lpeg
- lua5.3-lpeg-dev
- virtueel pakket geboden door lua-lpeg-dev
- lua5.3-luaossl
- virtueel pakket geboden door lua-luaossl
- lua5.3-luaossl-dev
- virtueel pakket geboden door lua-luaossl-dev
- lua5.3-luassert
- virtueel pakket geboden door lua-luassert
- lua5.3-luv
- virtueel pakket geboden door lua-luv
- lua5.3-luv-dev
- virtueel pakket geboden door lua-luv-dev
- lua5.3-luxio
- virtueel pakket geboden door lua-luxio
- lua5.3-mediator
- virtueel pakket geboden door lua-mediator
- lua5.3-messagepack
- virtueel pakket geboden door lua-messagepack
- lua5.3-mmdb
- virtueel pakket geboden door lua-mmdb
- lua5.3-mpack
- virtueel pakket geboden door lua-mpack
- lua5.3-penlight
- virtueel pakket geboden door lua-penlight
- lua5.3-penlight-dev
- virtueel pakket geboden door lua-penlight-dev
- lua5.3-posix
- virtueel pakket geboden door lua-posix
- lua5.3-posix-dev
- virtueel pakket geboden door lua-posix-dev
- lua5.3-redis
- virtueel pakket geboden door lua-redis
- lua5.3-redis-dev
- virtueel pakket geboden door lua-redis-dev
- lua5.3-rrd
- virtueel pakket geboden door lua-rrd
- lua5.3-rrd-dev
- virtueel pakket geboden door lua-rrd-dev
- lua5.3-say
- virtueel pakket geboden door lua-say
- lua5.3-sec
- virtueel pakket geboden door lua-sec
- lua5.3-sec-dev
- virtueel pakket geboden door lua-sec-dev
- lua5.3-socket
- virtueel pakket geboden door lua-socket
- lua5.3-socket-dev
- virtueel pakket geboden door lua-socket-dev
- lua5.3-sql-mysql
- virtueel pakket geboden door lua-sql-mysql
- lua5.3-sql-mysql-dev
- virtueel pakket geboden door lua-sql-mysql-dev
- lua5.3-sql-odbc
- virtueel pakket geboden door lua-sql-odbc
- lua5.3-sql-odbc-dev
- virtueel pakket geboden door lua-sql-odbc-dev
- lua5.3-sql-postgres
- virtueel pakket geboden door lua-sql-postgres
- lua5.3-sql-postgres-dev
- virtueel pakket geboden door lua-sql-postgres-dev
- lua5.3-sql-sqlite3
- virtueel pakket geboden door lua-sql-sqlite3
- lua5.3-sql-sqlite3-dev
- virtueel pakket geboden door lua-sql-sqlite3-dev
- lua5.3-system
- virtueel pakket geboden door lua-system
- lua5.3-system-dev
- virtueel pakket geboden door lua-system-dev
- lua5.3-systemd
- virtueel pakket geboden door lua-systemd
- lua5.3-systemd-dev
- virtueel pakket geboden door lua-systemd-dev
- lua5.3-term
- virtueel pakket geboden door lua-term
- lua5.3-term-dev
- virtueel pakket geboden door lua-term-dev
- lua5.3-unit
- virtueel pakket geboden door lua-unit
- lua5.3-yaml
- virtueel pakket geboden door lua-yaml
- lua5.3-yaml-dev
- virtueel pakket geboden door lua-yaml-dev
- lua50 (5.0.3-8+b1)
- Small embeddable language with simple procedural syntax
- lua50-doc (5.0.3-8)
- Documentation for the Lua 5.0 programming language
- luadoc (3.0.1+gitdb9e868-1)
- Documentation generation library for the Lua language
- luajit (2.1.0~beta3+dfsg-5.1)
- Just in time compiler for Lua programming language version 5.1
- luakit (1:2.1-1)
- fast and small web browser extensible by Lua
- luarocks (2.4.2+dfsg-1)
- deployment and management system for Lua modules
- luasseq (2018.20190227-2)
- TeX Live: transitional dummy package
- luatex
- virtueel pakket geboden door texlive-binaries
- luckybackup (0.5.0-2)
- rsync-based GUI data backup utility
- luckybackup-data (0.5.0-2)
- rsync-based GUI data backup utility (data files)
- lucy (1.20-1)
- DNA sequence quality and vector trimming tool
- ludevit (8.1)
- converter from standard Slovak into the L. Štúr version
- lugaru (1.2-4)
- third person ninja rabbit fighting game
- lugaru-data (1.2-4)
- data for the third person ninja rabbit fighting game Lugaru HD
- luksipc (0.04-3)
- LUKS in-place conversion tool
- luksmeta (9-3)
- Utility to access metadata in a LUKSv1 header
- luminance-hdr (2.5.1+dfsg-3+b4)
- graphical user interface providing a workflow for HDR imaging
- lunar (2.2-6+b1)
- Chinese Lunar Calendar conversion utility
- lunch (0.4.0-2)
- Transitional package for python-lunch
- lunzip (1.11-3)
- data compressor based on the LZMA algorithm (decompressor)
- luola (1.3.2-12)
- multiplayer cave-flying game
- luola-data (1.3.2-12)
- data files for luola
- luola-levels (6.0-6)
- level files for luola
- luola-nostalgy (1.2-4)
- nostalgy level files for luola
- lur-command (0.9.905-1)
- Logitech Unifying Receiver control tool
- lure-of-the-temptress (1.1+ds2-3)
- classic 2D point and click fantasy adventure game
- lurker (2.3-6)
- archive tool for mailing lists with search engine
- lusernet.app (0.4.3-1)
- News reader for GNUstep
- lutefisk (1.0.7+dfsg-4+b1)
- de novo interpretation of peptide CID spectra
- lutefisk-doc (1.0.7+dfsg-4)
- De novo interpretation of peptide CID spectra - documentation
- lv (4.51-5+b1)
- Krachtige meertalige bestandbekijker
- lv2-c++-tools (1.0.5-4+b1)
- library and tools for LV2 plugins
- lv2-c++-tools-doc (1.0.5-4)
- lv2-c++-tools documentation
- lv2-dev (1.14.0~dfsg1-2)
- LV2 audio plugin specification
- lv2-examples (1.14.0~dfsg1-2)
- LV2 audio plugin specification (example plugins)
- lv2-host
- virtueel pakket geboden door lilv-utils, lv2file, qtractor, lv2proc
- lv2-plugin
- virtueel pakket geboden door padthv1-lv2, fomp, synthv1-lv2, setbfree, samplv1-lv2, guitarix-lv2, abgate, lvtk-examples, invada-studio-plugins-lv2, foo-yc20, so-synth-lv2, lv2-examples, avw.lv2, vocproc, mda-lv2, swh-lv2, calf-plugins, drumkv1-lv2, eq10q, ir.lv2, drumgizmo, lv2vocoder
- lv2file (0.83-1+b1)
- Command-line program to apply LV2 effects to audio files
- lv2proc (0.5.0-2+b1)
- command line effect processor
- lv2vocoder (1-5)
- LV2 vocoder plugin
- lvm2 (2.03.02-3)
- Linux Logical Volume Manager
- lvm2-dbusd (2.03.02-3)
- LVM2 D-Bus daemon
- lvm2-lockd (2.03.02-3)
- LVM locking daemon
- lvm2-udeb (2.03.02-3)
- Linux Logical Volume Manager
- lvmcfg (1.50)
- Configure the Logical Volume Manager
- lvmcfg-utils (1.50)
- lvmcfg without the main menu item
- lvtk-dev (1.2.0~dfsg0-2+b2)
- LV2 C++ wrappers and utilities
- lvtk-doc (1.2.0~dfsg0-2)
- LV2 Toolkit documentation
- lvtk-examples (1.2.0~dfsg0-2+b2)
- LV2 C++ wrappers and utilities - examples plugins
- lvtk-tools (1.2.0~dfsg0-2+b2)
- LV2 C++ wrappers and utilities - tools
- lwatch (0.6.2-1+b1)
- Simple log colorizer
- lwatch-dbg (0.6.2-1+b1)
- debugging symbols for lwatch
- lwm (1.2.2-6)
- lightweight window manager
- lx-gdb (1.03-16+b2)
- Dump and load databases from HP 100LX/200LX palmtops
- lxappearance (0.6.3-1)
- LXDE GTK+ theme switcher
- lxappearance-dbg (0.6.3-1)
- LXDE GTK+ theme switcher (debug)
- lxappearance-obconf (0.2.3-1)
- LXDE GTK+ theme switcher (plugin)
- lxappearance-obconf-dbg (0.2.3-1)
- LXDE GTK+ theme switcher (plugin - debug)
- lxc (1:3.1.0+really3.0.3-8+deb10u1) [security]
- Linux Containers userspace tools
- lxc
- virtueel pakket geboden door lava-lxc-mocker
- lxc-dev (1:3.1.0+really3.0.3-8+deb10u1) [security]
- Linux Containers userspace tools (development)
- lxc-templates (3.0.4-0+deb10u1)
- Linux Containers userspace tools (templates)
- lxc-tests (1:3.1.0+really3.0.3-8+deb10u1) [security]
- Linux Containers userspace tools (test binaries)
- lxcfs (3.0.3-2+deb10u1)
- FUSE based filesystem for LXC
- lxctl (0.3.1+debian-4)
- Utility to manage LXC
- lxde (10)
- metapackage for LXDE
- lxde-common (0.99.2-3)
- LXDE common configuration files
- lxde-core (10)
- metapackage for the LXDE core
- lxde-icon-theme (0.5.1-2)
- LXDE standard icon theme
- lxde-session
- virtueel pakket geboden door openbox-lxde-session
- lxde-settings-daemon (0.5.4-1)
- xsettings compliant configuration manager for LXDE
- lxde-settings-daemon
- virtueel pakket geboden door lxsession
- lxdm (0.5.3-2.1)
- LXDE display manager
- lxdm-dbg (0.5.3-2.1)
- LXDE display manager (debug symbols)
- lxhotkey-core (0.1.0-1+b1)
- Lightweight global keyboard shortcuts configurator
- lxhotkey-data (0.1.0-1)
- LXHotkey keyboard shortcuts configurator (data files)
- lxhotkey-dev (0.1.0-1+b1)
- LXHotkey keyboard shortcuts configurator (development files)
- lxhotkey-gtk (0.1.0-1+b1)
- LXHotkey keyboard shortcuts configurator (GTK+ GUI plugin)
- lxhotkey-gui
- virtueel pakket geboden door lxhotkey-gtk
- lxhotkey-plugin-openbox (0.1.0-1+b1)
- LXHotkey keyboard shortcuts configurator (Openbox support plugin)
- lxi-tools (1.21-1)
- LAN eXtensions for Instrumentation (LXI) software interface
- lximage-qt (0.14.1-1)
- Image viewer for LXQt
- lximage-qt-l10n (0.14.1-1)
- Language-package for lximage-qt
- lxinput (0.3.5-1)
- LXDE keyboard and mouse configuration
- lxinput-dbg (0.3.5-1)
- LXDE keyboard and mouse configuration (debug)
- lxlauncher (0.2.5-1)
- LXDE launcher for netbooks
- lxlauncher-dbg (0.2.5-1)
- LXDE launcher for netbooks (debug)
- lxlock (0.5.4-1)
- simple locking utility for LXDE
- (0.1.5-2)
- LXDE freedesktop.org menu specification
- lxmms2 (0.1.3-2+b1)
- control XMMS2 with a LIRC compatible remote control
- lxmusic (0.4.7-1)
- LXDE music player
- lxmusic-dbg (0.4.7-1)
- LXDE music player (debug)
- lxpanel (0.10.0-2)
- LXDE panel
- lxpanel-data (0.10.0-2)
- LXDE panel (data files)
- lxpanel-dbg (0.10.0-2)
- LXDE panel (debug)
- lxpanel-dev (0.10.0-2)
- LXDE panel (plugins development files)
- lxpolkit (0.5.4-1)
- LXDE PolicyKit authentication agent
- lxqt (29)
- Metapackage for LXQt
- lxqt-about (0.14.1-1)
- About screen for LXQt
- lxqt-about-l10n (0.14.1-1)
- Language package for lxqt-about
- lxqt-admin (0.14.1-1)
- Admin tools for LXQt
- lxqt-admin-l10n (0.14.1-1)
- Language package for lxqt-admin
- lxqt-branding
- virtueel pakket geboden door lxqt-branding-debian
- lxqt-branding-debian (0.14.0.3)
- Debian branding for LXQt
- lxqt-build-tools (0.6.0-2)
- Build tools for the LXQt desktop environment
- lxqt-config (0.14.1-2)
- LXQt system settings center
- lxqt-config-l10n (0.14.1-2)
- Language package for lxqt-config
- lxqt-core (29)
- Metapackage for the LXQt core
- lxqt-globalkeys (0.14.1-1)
- daemon used to register global keyboard shortcuts (appl.)
- lxqt-globalkeys-l10n (0.14.1-1)
- Language package for lxqt-globalkeys
- lxqt-notificationd (0.14.1-1)
- LXQt notification daemon
- lxqt-notificationd-l10n (0.14.1-1)
- Language package for lxqt-notificationd
- lxqt-openssh-askpass (0.14.1-1)
- OpenSSH user/password GUI dialog for LXQt
- lxqt-openssh-askpass-l10n (0.14.1-1)
- Language package for lxqt-openssh-askpass
- lxqt-panel (0.14.1-1)
- LXQt desktop panel
- lxqt-panel-l10n (0.14.1-1)
- Language package for lxqt-panel
- lxqt-policykit (0.14.1-1)
- LXQt authentication agent for PolicyKit
- lxqt-policykit-l10n (0.14.1-1)
- Language package for lxqt-policykit
- lxqt-powermanagement (0.14.1-1)
- power management module for LXQt
- lxqt-powermanagement-l10n (0.14.1-1)
- Language package for lxqt-powermanagement
- lxqt-qtplugin (0.14.0-3)
- LXQt system integration plugin for Qt
- lxqt-runner (0.14.1-1)
- LXQt program launcher
- lxqt-runner-l10n (0.14.1-1)
- Language package for lxqt-runner
- lxqt-session (0.14.1-2)
- session manager component for LXQt
- lxqt-session-l10n (0.14.1-2)
- Language package for lxqt-session
- lxqt-sudo (0.14.1-2)
- Graphical QT frontend for plain sudo
- lxqt-sudo-l10n (0.14.1-2)
- Language package for lxqt-sudo
- lxqt-system-theme (0.14.0-1)
- System theme for LXQt
- lxqt-theme
- virtueel pakket geboden door lxqt-themes, lxqt-theme-debian
- lxqt-theme-debian (0.14.0.3)
- Debian theme for LXQt
- lxqt-themes (0.14.0-1)
- Themes for LXQt
- lxrandr (0.3.2-1)
- LXDE monitor configuration tool
- lxrandr-dbg (0.3.2-1)
- LXDE monitor configuration tool (debug)
- lxsession (0.5.4-1)
- LXDE default session manager
- lxsession-data (0.5.4-1)
- Common files for lxsession
- lxsession-default-apps (0.5.4-1)
- utility to configure lxsession and its default applications
- lxsession-edit (0.5.4-1)
- configure what application start up automatically in LXDE
- lxsession-logout (0.5.4-1)
- utility to logout from a LXDE or an Openbox session
- lxshortcut
- virtueel pakket geboden door libfm-tools
- lxtask (0.1.9-1)
- LXDE task manager
- lxtask-dbg (0.1.9-1)
- LXDE task manager (debug)
- lxterminal (0.3.2-1)
- LXDE terminal emulator
- lynis (2.6.2-1)
- security auditing tool for Unix based systems
- lynkeos.app (3.1+dfsg1-2)
- GNUstep app for processing planetary astronomical images
- lynkeos.app-common (3.1+dfsg1-2)
- GNUstep app for processing astronomical images (common files)
- lynx (2.8.9rel.1-3+deb10u1)
- classic non-graphical (text-mode) web browser
- lynx-common (2.8.9rel.1-3+deb10u1)
- shared files for lynx package
- lysdr (1.0~git20141206+dfsg1-1+b1)
- Simple software-defined radio
- lyskom-elisp-client (0.48+git.20160707.372be663-1)
- emacs client for LysKOM
- lyskom-server (2.1.2-16)
- Server for the LysKOM conference system
- lyx (2.3.2-1)
- document processor
- lyx-common (2.3.2-1)
- architecture-independent files for LyX
- lz4 (1.8.3-1+deb10u1)
- Fast LZ compression algorithm library - tool
- lz4json (2-1)
- unpack lz4json files, usually generated by Mozilla programs
- lzd (1.1-3)
- Educational, lossless data compressor based on the LZMA algorithm
- lzh-archiver
- virtueel pakket geboden door lhasa, jlha-utils
- lzip (1.21-3)
- lossless data compressor based on the LZMA algorithm
- lzip-alternative
- virtueel pakket geboden door plzip, lzip, lunzip, pdlzip, xlunzip, lzd, minilzip, clzip, lziprecover
- lzip-compressor
- virtueel pakket geboden door plzip, lzip, clzip, lzd, minilzip, pdlzip, lziprecover
- lzip-decompressor
- virtueel pakket geboden door xlunzip, pdlzip, lzd, minilzip, lzip, lunzip, plzip, lziprecover, clzip
- lziprecover (1.21-3)
- lossless data compressor based on the LZMA algorithm (recovery)
- lzma (9.22-2.1)
- Compression and decompression in the LZMA format - command line utility
- lzma
- virtueel pakket geboden door xz-utils
- lzma-alone (9.22-2.1)
- Compression and decompression in the LZMA format - legacy utility
- lzma-dev (9.22-2.1)
- Compression and decompression in the LZMA format - development files
- lzop (1.03-4+b1)
- fast compression program
- m-tx
- virtueel pakket geboden door texlive-music
- m16c-flash (0.1-1.1+b2)
- Flash programmer for Renesas M16C and R8C microcontrollers
- m17n-db (1.8.0-1)
- multilingual text processing library - database
- m17n-docs (1.6.2-2)
- multilingual text processing library - documents
- m17n-im-config (0.9.0-5)
- input method configuration library for m17n-lib - utility
- m17n-lib-bin (1.8.0-2)
- multilingual text processing library - utilities
- m17n-lib-mimx (1.8.0-2)
- multilingual text processing library - binary modules
- m2crypto
- virtueel pakket geboden door python-m2crypto
- m2crypto-doc (0.31.0-4+deb10u2)
- Python wrapper for the OpenSSL library (docs)
- m2vrequantiser (1.1-3+b1)
- MPEG-2 streams requantization
- m4 (1.4.18-2)
- macro processing language
- m4-doc (1.4.18-2)
- Documentation for GNU m4
- mac-fdisk-cross (0.1-18)
- Apple disk partition manipulation tool, cross version
- mac-robber (1.02-7)
- collects data about allocated files in mounted filesystems
- macchanger (1.7.0-5.4)
- utility for manipulating the MAC address of network interfaces
- macfanctld (0.6+repack1-2)
- fan control daemon for Apple MacBook / MacBook Pro computers
- macopix-gtk2 (1.7.4-6+b1)
- Mascot Constructive Pilot for X based on GTK+ 2
- macs (2.1.2.1-1)
- Model-based Analysis of ChIP-Seq on short reads sequencers
- macsyfinder (1.0.5-2)
- detection of macromolecular systems in protein datasets
- mactelnet-client (0.4.4-4)
- Console tools for telneting and pinging via MAC addresses
- mactelnet-server (0.4.4-4)
- Telnet daemon for accepting connections via MAC addresses
- macutils (2.0b3-16+b2)
- Set of tools to deal with specially encoded Macintosh files
- madbomber (0.2.5-8)
- Kaboom! clone
- madbomber-data (0.2.5-8)
- Datafiles for madbomber
- made-filesystems
- virtueel pakket geboden door partman-base
- madfuload (1.2-4.2) [non-free]
- Firmware loader for M-Audio DFU audio devices
- madison-lite (0.24)
- display versions of Debian packages in an archive
- madlib-doc (1.3.0-2.1)
- mesh adaptation library
- madplay (0.15.2b-8.3)
- MPEG audio player in fixed point
- madwimax (0.1.1-1+b2)
- user-space driver for mWiMAX equipment based on Samsung CMC-730
- maelstrom (1.4.3-L3.0.6+main-9)
- Arcade-style game resembling Asteroids
- maffilter (1.3.1+dfsg-1+b1)
- process genome alignment in the Multiple Alignment Format
- maffilter-examples (1.3.1+dfsg-1)
- process genome alignment in the Multiple Alignment Format (example data)
- mafft (7.407-2)
- Multiple alignment program for amino acid or nucleotide sequences
- magic (8.1.223+ds.1-1)
- VLSI layout tool
- magic-haskell-doc
- virtueel pakket geboden door libghc-magic-doc
- magic-wormhole (0.11.2-1)
- Securely and simply transfer data between computers
- magic-wormhole-transit-relay (0.1.2-1)
- Transit Relay server for Magic-Wormhole
- magicfilter (1.2-65)
- automatic printer filter
- magicmaze (1.4.3.6+dfsg-3)
- rescue the maiden while avoiding the monsters
- magicor (1.1-4)
- puzzle game in the spirit of solomon's key
- magicor-data (1.1-4)
- data files for the magicor puzzle game
- magicrescue (1.1.10-2)
- recover files by looking for magic bytes
- magics++ (3.3.1-1)
- Executables for the magics++ library
- magit (2.90.1-2)
- transitional dummy package for elpa-magit
- magit
- virtueel pakket geboden door elpa-magit
- magnum-api (7.1.0-1)
- OpenStack containers as a service
- magnum-common (7.1.0-1)
- OpenStack containers as a service - API server
- magnum-conductor (7.1.0-1)
- OpenStack containers as a service - conductor
- mah-jong (1.11-2+b2)
- Original Mah-Jong game
- mahimahi (0.98-1+b1)
- tools for network emulation and analysis
- mahimahi-traces (0.98-1)
- network traces for the mahimahi toolkit
- mail-expire (0.8)
- Utility to extract outdated messages from mbox files
- mail-reader
- virtueel pakket geboden door gnumail.app, emacs-nox, mew-beta-bin, xemacs21-mule, wl, mew-beta, mutt, edbrowse, wl-beta, xemacs21-nomule, mmh, s-nail, emacs-lucid, emacs-gtk, mew-bin, thunderbird, mailutils-mh, mew, balsa, xemacs21-mule-canna-wnn, sup-mail, vm, kmail, mailutils, claws-mail, sylpheed, evolution, bsd-mailx, im, neomutt, xjed, nmh, jed
- mail-transport-agent
- virtueel pakket geboden door postfix, sendmail-bin, exim4-daemon-heavy, opensmtpd, citadel-server, exim4-daemon-light, qmail-run, courier-mta, esmtp-run, nullmailer, msmtp-mta, dma
- mailagent (1:3.1-81-4+b1)
- automatic mail-processing tool and filter
- mailavenger (0.8.5-1)
- Highly configurable, MTA-independent SMTP filter server
- mailcheck (1.91.2-2+b2)
- Check multiple mailboxes/maildirs for mail
- maildir-filter (1.20-5)
- Simple program to filter messages into Maildir folders from dot-qmail
- maildir-utils (1.0-6+b1)
- Set of utilities to deal with Maildirs (upstream name mu)
- maildirsync (1.2-2.2)
- simple and efficient Maildir synchronisation utility
- maildrop (2.9.3-2+b1)
- mail delivery agent with filtering abilities (set-GID=mail)
- mailfilter (0.8.6-3)
- Program that filters your incoming e-mail to help remove spam
- mailfront (2.12-1)
- mail server network protocol front-ends
- mailgraph (1.14-17)
- RRDtool frontend for Mail statistics
- mailman (1:2.1.29-1+deb10u5)
- Web-based mailing list manager (legacy branch)
- mailman-api (0.2.9-2)
- REST API daemon to interact with Mailman 2
- mailman3 (3.2.1-1)
- Mailing list management system
- mailman3-core (= 3.1.1-5)
- virtueel pakket geboden door mailman3
- mailman3-core-doc (= 3.1.1-5)
- virtueel pakket geboden door mailman3-doc
- mailman3-doc (3.2.1-1)
- Mailing list management system documentation
- mailman3-full (3.2.1-1)
- Full Mailman3 mailing list management suite (metapackage)
- mailman3-web (0+20180916-8)
- Django project integrating Mailman3 Postorius and HyperKitty
- mailnag (1.2.1-1.1)
- extensible mail notification daemon
- mailplate (0.2-1)
- reformat mail drafts according to templates
- mailscripts (0.7-1)
- collection of scripts for manipulating e-mail on Debian
- mailsync (5.2.2-3.1+b2)
- Synchronize IMAP mailboxes
- mailtextbody (0.1.3-2+b2)
- tool to return the body of an email message
- mailto (1.3.2-3+b2)
- WWW Forms to Mail Gateway
- mailtools
- virtueel pakket geboden door libmailtools-perl
- mailutils (1:3.5-4)
- GNU mailutils utilities for handling mail
- mailutils-common (1:3.5-4)
- Common files for GNU mailutils
- mailutils-comsatd (1:3.5-4)
- GNU mailutils-based comsatd daemon
- mailutils-doc (1:3.5-4)
- Documentation files for GNU mailutils
- mailutils-guile (1:3.5-4)
- GNU mailutils Guile interpreter and modules
- mailutils-imap4d (1:3.5-4)
- GNU mailutils-based IMAP4 Daemon
- mailutils-mh (1:3.5-4)
- GNU mailutils-based MH utilities
- mailutils-pop3d (1:3.5-4)
- GNU mailutils-based POP3 Daemon
- mailx
- virtueel pakket geboden door mailutils, bsd-mailx
- maim (5.5.2-1)
- takes screenshots of your desktop
- main-menu (1.57)
- Debian installer main menu
- maint-guide (1.2.43)
- Debian New Maintainers' Guide
- maint-guide-ca (1.2.43)
- Debian New Maintainers' Guide (Catalan)
- maint-guide-de (1.2.43)
- Debian New Maintainers' Guide (German)
- maint-guide-es (1.2.43)
- Debian New Maintainers' Guide (Spanish)
- maint-guide-fr (1.2.43)
- Debian New Maintainers' Guide (French)
- maint-guide-it (1.2.43)
- Debian New Maintainers' Guide (Italian)
- maint-guide-ja (1.2.43)
- Debian New Maintainers' Guide (Japanese)
- maint-guide-ru (1.2.43)
- Debian New Maintainers' Guide (Russian)
- maint-guide-vi (1.2.43)
- Debian New Maintainers' Guide (Vietnamese)
- maint-guide-zh-cn (1.2.43)
- Debian New Maintainers' Guide (Chinese(cn))
- maint-guide-zh-tw (1.2.43)
- Debian New Maintainers' Guide (Chinese(tw))
- mairix (0.24-2)
- indexes and searches locally-stored email
- maitreya (7.0.7-1+b1)
- Software for Vedic and western astrology
- make (4.2.1-1.2)
- utility for directing compilation
- make (= 4.2.1-1.2)
- virtueel pakket geboden door make-guile
- make-doc (4.2.1-1) [non-free]
- Documentation for the GNU version of the "make" utility
- make-guile (4.2.1-1.2)
- utility for directing compilation with guile support
- makebootfat (1.4-5.1)
- Utility to create a bootable FAT filesystem
- makedepf90 (3.0.0-1)
- Fortran-90 dependency processor for Makefiles
- makedev (2.3.1-94)
- creates device files in /dev
- makedic (6.5deb2-12)
- dictionary compiler for KDrill
- makedumpfile (1:1.6.5-1)
- VMcore extraction tool
- makefs (20190105-1)
- create a cd9660 or ffs filesystem image from a directory tree
- makehrtf (1:1.19.1-1)
- HRTF Processing and Composition Utility
- makehuman (1.1.1-1.2)
- Modelling of 3-Dimensional humanoid characters
- makehuman-data (1.1.1-1.2)
- Modelling of 3-Dimensional humanoid characters (application data)
- makehuman-doc (1.1.1-1.2)
- Modelling of 3-Dimensional humanoid characters (documentation)
- makejvf
- virtueel pakket geboden door texlive-binaries
- makepasswd (1.10-12)
- Generate and encrypt passwords
- makepatch (2.03-1.1)
- maak/pas patch bestanden uitgebreider toe uitgebreider
- makepp (2.0.98.5-2)
- GNU make compatible but reliable and simpler build tool
- makeself (2.4.0-1)
- utility to generate self-extractable archives
- makexvpics (1.0.1-3+b1)
- updates .xvpics thumbnails from the command line
- maki (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (daemon)
- maki-plugins (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (daemon plugins)
- malaga-bin (7.12-7+b2)
- System for automatic language analysis
- malaga-doc (7.12-7)
- Documentation for an automatic language analysis system
- malaga-mode (7.12-7)
- System for automatic language analysis - emacs mode
- mali-midgard-dkms (16.0+pristine-4) [contrib]
- Mali kernel driver for midgard hardware in DKMS format.
- mali-t62x-fbdev-driver (0.1-3) [non-free]
- Mali binary framebuffer driver for t62x
- mali-t62x-wayland-driver (0.1-3) [non-free]
- Mali binary wayland driver for t62x
- mali-t62x-x11-driver (0.1-3) [non-free]
- Mali binary x11 driver for t62x
- mali-t76x-fbdev-driver (0.1-3) [non-free]
- Mali binary framebuffer driver for t76x
- mali-t76x-wayland-driver (0.1-3) [non-free]
- Mali binary wayland driver for t76x
- mali-t76x-x11-driver (0.1-3) [non-free]
- Mali binary x11 driver for t76x
- mame (0.206+dfsg.1-1)
- Multiple Arcade Machine Emulator (MAME)
- mame-data (0.206+dfsg.1-1)
- Multiple Arcade Machine Emulator (MAME) -- data files
- mame-doc (0.206+dfsg.1-1)
- Documentation for MAME
- (0.206-1) [non-free]
- Additional files for the Multiple Arcade Machine Emulator (MAME)
- mame-tools (0.206+dfsg.1-1)
- Tools for MAME
- man
- virtueel pakket geboden door man-db
- man-browser
- virtueel pakket geboden door konqueror, gman, jed-extra, man-db
- man-db (2.8.5-2+deb10u1) [security]
- on-line manual pager
- man2html (1.6g-11)
- browse man pages in your web browser
- man2html-base (1.6g-11)
- convert man pages into HTML format
- manaplus (1.8.12.8-1)
- Extended client for Evol Online and The Mana World
- manaplus-data (1.8.12.8-1)
- Extended client for Evol Online and The Mana World (data files)
- mancala (1.0.3-1+b1)
- Implementation of the simple board game called Mancala
- mandelbulber2 (2.13.2-5)
- 3D fractal renderer and animator
- mandelbulber2-data (2.13.2-5)
- 3D fractal renderer and animator - data files
- manderlbot (0.9.3-1)
- IRC bot, written in Erlang
- mandoc (1.14.4-1)
- BSD manpage compiler toolset
- mangler (1.2.5-4.1)
- Ventrilo compatible client for Linux
- manila-api (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - API server
- manila-common (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - common files
- manila-data (1:7.0.0-1+deb10u1)
- Manila storage service - Data service
- manila-doc (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - Doc
- manila-scheduler (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - Scheduler server
- manila-share (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - Share server
- manpages (4.16-2)
- Man-pagina's over het gebruik van een GNU/Linux systeem
- manpages-de (2.12-1)
- German manpages
- manpages-de-dev (2.12-1)
- German development manpages
- manpages-dev (4.16-2)
- Manual pages about using GNU/Linux for development
- manpages-es (1.55-10)
- Spaanse man-pagina's
- (0.8a-19)
- Spanish extra manpages
- manpages-hu (20010119-6)
- Hungarian manpages
- manpages-it (3.73-2)
- Italian version of the manual pages
- manpages-ja (0.5.0.0.20180315+dfsg-1)
- Japanese version of the manual pages (for users)
- manpages-ja-dev (0.5.0.0.20180315+dfsg-1)
- Japanese version of the manual pages (for developers)
- manpages-opencl
- virtueel pakket geboden door opencl-1.2-man-doc
- manpages-opengl
- virtueel pakket geboden door opengl-4-man-doc
- manpages-pl (1:0.7-1)
- Poolse documentatie.
- manpages-pl-dev (1:0.7-1)
- Polish man pages for developers
- manpages-posix (2013a-2) [non-free]
- Manual pages about using POSIX system
- manpages-posix-dev (2013a-2) [non-free]
- Manual pages about using a POSIX system for development
- manpages-pt (20040726-4)
- Portugese versies van de man-pagina's
- manpages-pt-dev (20040726-4)
- Portuguese Versions of the Manual Pages (dev sections)
- manpages-tr (1.0.5.1-3)
- Turkse versie van de man-pagina's
- manpages-zh (1.6.3.3-1)
- Chineese man-pagina's
- manuskript (0.8.0-1)
- open-source tool for writers
- mapcache-cgi (1.6.1-3)
- tile caching server - CGI binary
- mapcache-tools (1.6.1-3)
- tile caching server - tools
- mapcode (2.5.5-3)
- Convert geo coordinates to/from mapcodes
- mapdamage (2.0.9+dfsg-1)
- tracking and quantifying damage patterns in ancient DNA sequences
- mapivi (0.9.7-1.1)
- Photo viewer and organizer with emphasis on IPTC fields
- maple-latex (0.0.1-7) [non-free]
- LaTeX packages, environments and macros distributed by Maple
- mapnik-doc (3.0.22+ds-1)
- C++ toolkit for developing GIS applications (doc)
- mapnik-reference (8.9.2-1)
- Parseable specifications of mapnik - Node.js module
- mapnik-utils (3.0.22+ds-1)
- C++ toolkit for developing GIS applications (utilities)
- mapnik-vector-tile (1.6.1+dfsg-7)
- Vector tiles integration with mapnik - development files
- mapproxy (1.11.0-3+deb10u1)
- open source proxy for geospatial data
- mapproxy-doc (1.11.0-3+deb10u1)
- open source proxy for geospatial data - documentation
- mapsembler2 (2.2.4+dfsg-3+b1)
- bioinformatics targeted assembly software
- mapserver-bin (7.2.2-1)
- MapServer utilities
- mapserver-doc (7.2.2-1)
- documentation for MapServer
- maptool (0.5.3+dfsg.1-1)
- Converts OpenStreetMap maps to Navit
- maptransfer (0.3-2)
- upload/download maps to/from a VALVe game server (Client)
- maptransfer-server (0.3-2)
- upload/download maps to/from a VALVe game server (Server)
- maq (0.7.1-8)
- maps short fixed-length polymorphic DNA sequence reads to reference sequences
- maqview (0.2.5-9)
- graphical read alignment viewer for short gene sequences
- maradns (2.0.13-1.2+deb10u1) [security]
- simple security-focused authoritative Domain Name Service server
- maradns-deadwood (2.0.13-1.2+deb10u1) [security]
- simple security-focused recursive Domain Name Service server
- maradns-docs (2.0.13-1.2+deb10u1) [security]
- upstream documentation for the MaraDNS Domain Name Service server
- maradns-zoneserver (2.0.13-1.2+deb10u1) [security]
- complementary server process to TCP functions for MaraDNS
- marble (4:17.08.3-3.2)
- globe and map widget
- marble-data (4:17.08.3-3.2)
- data files for Marble
- marble-maps (4:17.08.3-3.2)
- globe and map widget for mobile form factors
- marble-plugins (4:17.08.3-3.2)
- plugins for Marble
- marble-qt (4:17.08.3-3.2)
- globe and map widget (no KDE dependencies)
- marble-qt-data (4:17.08.3-3.2)
- Qt-only data files for Marble
- marco (1.20.3-1)
- lightweight GTK+ window manager for MATE
- marco-common (1.20.3-1)
- lightweight GTK+ window manager for MATE (common files)
- maria (1.3.5-4.1+b1)
- reachability analyzer for Algebraic System Nets
- maria-doc (1.3.5-4.1)
- documentation of Maria
- mariadb-backup (1:10.3.39-0+deb10u2) [security]
- Backup tool for MariaDB server
- mariadb-client (1:10.3.39-0+deb10u2) [security]
- MariaDB database client (metapackage depending on the latest version)
- mariadb-client-10.3 (1:10.3.39-0+deb10u2) [security]
- MariaDB database client binaries
- mariadb-client-core-10.3 (1:10.3.39-0+deb10u2) [security]
- MariaDB database core client binaries
- mariadb-common (1:10.3.39-0+deb10u2) [security]
- MariaDB common metapackage
- mariadb-plugin-connect (1:10.3.39-0+deb10u2) [security]
- Connect storage engine for MariaDB
- mariadb-plugin-cracklib-password-check (1:10.3.39-0+deb10u2) [security]
- CrackLib Password Validation Plugin for MariaDB
- mariadb-plugin-gssapi-client (1:10.3.39-0+deb10u2) [security]
- GSSAPI authentication plugin for MariaDB client
- mariadb-plugin-gssapi-server (1:10.3.39-0+deb10u2) [security]
- GSSAPI authentication plugin for MariaDB server
- mariadb-plugin-mroonga (1:10.3.39-0+deb10u2) [security]
- Mroonga storage engine for MariaDB
- mariadb-plugin-oqgraph (1:10.3.39-0+deb10u2) [security]
- OQGraph storage engine for MariaDB
- mariadb-plugin-rocksdb (1:10.3.39-0+deb10u2) [security]
- RocksDB storage engine for MariaDB
- mariadb-plugin-spider (1:10.3.39-0+deb10u2) [security]
- Spider storage engine for MariaDB
- mariadb-plugin-tokudb (1:10.3.39-0+deb10u2) [security]
- TokuDB storage engine for MariaDB
- mariadb-server (1:10.3.39-0+deb10u2) [security]
- MariaDB database server (metapackage depending on the latest version)
- mariadb-server-10.3 (1:10.3.39-0+deb10u2) [security]
- MariaDB database server binaries
- mariadb-server-core-10.3 (1:10.3.39-0+deb10u2) [security]
- MariaDB database core server files
- mariadb-test (1:10.3.39-0+deb10u2) [security]
- MariaDB database regression test suite
- mariadb-test-data (1:10.3.39-0+deb10u2) [security]
- MariaDB database regression test suite - data files
- marionnet (0.90.6+bzr508-1)
- Virtual network laboratory
- marisa (0.2.5-2+b1)
- command line tools for libmarisa
- markdent (0.33-1)
- event-based Markdown parser toolkit - command-line tool
- markdown (1.0.1-10)
- Text-to-HTML conversion tool
- (0.7.6-4)
- ridiculous space shooter governed by the laws of gravity
- (0.7.6-4)
- ridiculous space shooter governed by the laws of gravity -- data
- mash (2.1+dfsg-2)
- fast genome and metagenome distance estimation using MinHash
- mash-doc (2.1+dfsg-2)
- documentation for Mash
- maskprocessor (0.73+git20170609.1708898-1)
- high-performance word generator with a per-position configurable charset
- mason (1.0.0-13)
- Interactively creates a Linux packet filtering firewall
- masscan (2:1.0.5+ds1-2)
- TCP port scanner
- massif-visualizer (0.7.0-1)
- Tool for visualizing memory usage recorded by Valgrind Massif
- mat (0.8.0-3+deb10u1)
- Transitional package to migrate to mat2
- mat2 (0.8.0-3+deb10u1)
- Metadata anonymisation toolkit v2
- matanza (0.13+ds1-6)
- Space ascii war game
- matchbox (1:6)
- base X environment for resource-limited systems
- matchbox-common (0.9.1-7)
- common files for Matchbox Project applications
- matchbox-desktop (2.0-6)
- desktop application launcher for resource-limited systems
- matchbox-keyboard (0.1+svn20080916-12)
- on-screen keyboard
- matchbox-keyboard-im (0.1+svn20080916-12)
- GTK+ input module for on-screen keyboard
- matchbox-keyboard-udeb (0.1+svn20080916-12)
- on-screen keyboard
- matchbox-panel (0.9.3-10)
- desktop panel for resource-limited systems
- matchbox-panel-manager (0.1-8)
- panel manager for matchbox-panel
- (0.3-4)
- extra themes for matchbox-window-manager
- matchbox-window-manager (1.2-osso21-4)
- window manager for resource-limited systems
- matchbox-window-manager-udeb (1.2-osso21-4)
- window manager for resource-limited systems
- mate
- virtueel pakket geboden door mate-desktop-environment
- (0.7.1+dfsg1-1)
- Application Menu plugin for mate-panel
- (0.5.0-9)
- Solus Project's Brisk Menu MATE Panel Applet
- mate-applets (1.20.3-2)
- Various applets for the MATE panel
- mate-applets-common (1.20.3-2)
- Various applets for the MATE panel (common files)
- mate-backgrounds (1.20.0-2)
- Set of backgrounds packaged with the MATE Desktop Environment
- mate-calc (1.20.3-1)
- MATE desktop calculator
- mate-calc-common (1.20.3-1)
- MATE desktop calculator (common files)
- mate-common (1.20.0-2)
- common scripts and macros to develop with MATE
- mate-control-center (1.20.4-2)
- utilities to configure the MATE desktop
- mate-control-center-common (1.20.4-2)
- utilities to configure the MATE desktop (common files)
- mate-core (1.20.0+5)
- MATE Desktop Environment (essential components, dummy package)
- mate-desktop (1.20.4-2)
- Library with common API for various MATE modules
- mate-desktop-common (1.20.4-2)
- Library with common API for various MATE modules (common files)
- mate-desktop-environment (1.20.0+5)
- MATE Desktop Environment (metapackage)
- mate-desktop-environment-core (1.20.0+5)
- MATE Desktop Environment (essential components, metapackage)
- (1.20.0+5)
- MATE Desktop Environment (extra components, dummy package)
- (1.20.0+5)
- MATE Desktop Environment (extra components, metapackage)
- mate-dock-applet (0.88-1)
- MATE Panel dock applet
- mate-equake-applet (1.3.8.2-1)
- Mate panel applet which monitors earthquakes
- virtueel pakket geboden door mate-desktop-environment-extras
- mate-icon-theme (1.20.3-1)
- MATE Desktop icon theme
- mate-icon-theme-faenza (1.20.0+dfsg1-2)
- MATE Faenza Desktop icon theme
- mate-indicator-applet (1.20.1-1)
- MATE panel indicator applet
- mate-indicator-applet-common (1.20.1-1)
- MATE panel indicator applet (common files)
- mate-media (1.20.2-1)
- MATE media utilities
- mate-media-common (1.20.2-1)
- MATE media utilities (common files)
- (18.04.3-3)
- Advanced MATE menu
- (1.20.2-1)
- implementation of the freedesktop menu specification for MATE
- mate-netbook (1.20.1-1)
- MATE utilities for netbooks
- mate-netbook-common (1.20.1-1)
- MATE utilities for netbooks (common files)
- mate-notification-daemon (1.20.2-1)
- daemon to display passive popup notifications
- mate-notification-daemon-common (1.20.2-1)
- daemon to display passive popup notifications (common files)
- mate-optimus (18.04.0-2)
- MATE Desktop applet for controlling NVIDIA Optimus graphics cards
- mate-panel (1.20.5-1)
- launcher and docking facility for MATE
- mate-panel-common (1.20.5-1)
- launcher and docking facility for MATE (common files)
- mate-polkit (1.20.2-1)
- MATE authentication agent for PolicyKit-1
- mate-polkit-bin (1.20.2-1)
- MATE authentication agent for PolicyKit-1 (executable wrapper script)
- mate-polkit-common (1.20.2-1)
- MATE authentication agent for PolicyKit-1 (common files)
- mate-power-manager (1.20.3-2)
- power management tool for the MATE desktop
- mate-power-manager-common (1.20.3-2)
- power management tool for the MATE desktop (common files)
- mate-screensaver (1.20.3-3)
- MATE screen saver and locker
- mate-screensaver-common (1.20.3-3)
- MATE screen saver and locker (common files)
- mate-sensors-applet (1.20.3-1)
- Display readings from hardware sensors in your MATE panel
- mate-sensors-applet-common (1.20.3-1)
- Display readings from hardware sensors in your MATE panel (common files)
- mate-sensors-applet-nvidia (1.20.3-1)
- Display readings from hardware sensors in your MATE panel (NVIDIA sensors)
- mate-session-manager (1.20.2-1)
- Session manager of the MATE desktop environment
- mate-settings-daemon (1.20.4-1)
- daemon handling the MATE session settings
- mate-settings-daemon-common (1.20.4-1)
- daemon handling the MATE session settings (common files)
- mate-settings-daemon-dev (1.20.4-1)
- daemon handling the MATE session settings (development files)
- mate-sntray-plugin (0.4.11-1)
- plugin for xfce4-panel to show StatusNotifierItems - MATE
- mate-system-monitor (1.20.2-1)
- Process viewer and system resource monitor for MATE
- mate-system-monitor-common (1.20.2-1)
- Process viewer and system resource monitor for MATE (common files)
- mate-terminal (1.20.2-2)
- MATE terminal emulator application
- mate-terminal-common (1.20.2-2)
- MATE terminal emulator application (common files)
- mate-text-editor
- virtueel pakket geboden door pluma
- mate-themes (3.22.18-1)
- Official themes for the MATE desktop
- mate-tweak (18.10.2-1)
- MATE desktop tweak tool
- mate-user-guide (1.20.2-1)
- User documentation for MATE Desktop Environment
- mate-user-share (1.20.1-1)
- User level public file sharing via WebDAV or ObexFTP
- mate-user-share-common (1.20.1-1)
- User level public file sharing via WebDAV or ObexFTP (common files)
- mate-utils (1.20.2-3)
- MATE desktop utilities
- mate-utils-common (1.20.2-3)
- MATE desktop utilities (common files)
- mate-window-applets-common (1.5.1-3)
- MATE Window Applets (common files)
- mate-window-buttons-applet (1.5.1-3)
- MATE Window Applets (WindowButtons Applet)
- (1.5.1-3)
- MATE Window Applets (WindowMenu Applet)
- mate-window-title-applet (1.5.1-3)
- MATE Window Applets (WindowTitle Applet)
- materia-gtk-theme (20190201-1)
- Material Design theme for GNOME/GTK+ based desktop environments
- mathgl (2.4.2.1-5)
- library for scientific graphs (utilities and examples)
- mathomatic (16.0.5-1)
- portable Computer Algebra System (CAS)
- mathomatic-primes (16.0.5-1)
- prime number tools for mathomatic
- mathpartir (1.2.0-7)
- LaTeX macros for typesetting inference rules - transitional package
- mathpiper (0.81f+svn4469+dfsg3-3)
- Java Computer Algebra System
- mathtex (1.03-1+b2)
- Generate image from LaTeX command
- matlab-gdf (0.1.2-2.1) [contrib]
- IO library for the GDF -- Matlab interface
- matlab-support (0.0.21) [contrib]
- distro integration for local MATLAB installations
- matlab-support-dev (0.0.21)
- helpers for packages building MATLAB toolboxes
- matlab2tikz (1.1.0-6)
- converter of Octave plots to TikZ figures (for integration into LaTeX)
- matrix-synapse-ldap3 (0.1.3-4)
- LDAP auth provider for the Matrix homeserver
- matroxset (0.4-9+b1)
- switch output modes, including TV out, of Matrox video cards
- maude (2.7-2+b2)
- high-performance logical framework
- mauve (20161030-1)
- free test suite for the Java Class libraries
- mauve-aligner (2.4.0+4736-1)
- multiple genome alignment
- maven (3.6.0-1)
- Java software project management and comprehension tool
- maven-ant-helper (8.5)
- helper scripts for building Maven components with ant
- maven-cache-cleanup (1.0.4-1)
- Utility to purge timestamped snapshots from Maven repositories
- maven-debian-helper (2.3.2)
- Helper tools for building Debian packages with Maven
- maven-repo-helper (1.9.3)
- Helper tools for including Maven metadata in Debian packages
- mawk (1.3.3-17+b3)
- een patroonzoekende en tekstbewerkingstaal
- maxima (5.42.1-1)
- Computer algebra system -- base system
- maxima-doc (5.42.1-1)
- Computer algebra system -- documentation
- maxima-emacs (5.42.1-1)
- Computer algebra system -- emacs interface
- maxima-sage (5.41.0+ds-4)
- Computer algebra system -- base system
- maxima-sage-doc (5.41.0+ds-4)
- Computer algebra system -- documentation
- maxima-sage-share (5.41.0+ds-4)
- Computer algebra system -- extra code
- maxima-share (5.42.1-1)
- Computer algebra system -- extra code
- maxima-src (5.42.1-1)
- Computer algebra system -- source code
- maxima-test (5.42.1-1)
- Computer algebra system -- test suite
- mayavi2 (4.5.0-1)
- scientific visualization package for 2-D and 3-D data
- maybe (0.4.0-4)
- control filesystem modifications before they occur
- mazeofgalious (0.62.dfsg2-4+b1)
- The Maze of Galious
- mazeofgalious-data (0.62.dfsg2-4)
- The Maze of Galious
- mb2md (3.20-9)
- Convert Mbox mailboxes to Maildir format
- mbed-test-wrapper (1.0.0-1)
- utility to wrap the mbed test loader for use by yotta targets
- mblaze (0.5.1-1)
- UNIX utilities to deal with Maildir
- mbmon (2.05-8+b1)
- Hardware monitoring without kernel dependencies (text client)
- mbox-importer (4:18.08.3-1)
- MBox email archive importer
- mboxgrep (0.7.9-4)
- Grep through mailboxes
- mbpfan (2.0.2-1)
- fan control daemon for Apple Macbooks
- mbr (1.2.1)
- Master Boot Record for IBM-PC compatible computers.
- mbr-udeb (1.2.1)
- Master Boot Record for IBM-PC compatible computers
- mbrola (3.02b+dfsg-4) [contrib]
- Multilingual software speech synthesizer
- mbrola-af1 (0.0.20040426+repack2-5) [non-free]
- Afrikaans male voice for Mbrola
- mbrola-ar1 (1.0+repack2-2) [non-free]
- Arabic male voice for Mbrola
- mbrola-ar2 (0.0.20001015+repack2-2) [non-free]
- Arabic male voice for Mbrola
- mbrola-br1 (2.021+repack2-4) [non-free]
- Brazilian Portuguese male voice for Mbrola
- mbrola-br2 (2.021+repack2-4) [non-free]
- Brazilian Portuguese female voice for Mbrola
- mbrola-br3 (2.021+repack2-5) [non-free]
- Brazilian Portuguese male voice for Mbrola
- mbrola-br4 (1.0+repack2-4) [non-free]
- Brazilian Portuguese female voice for Mbrola
- mbrola-bz1 (0.99+repack2-2) [non-free]
- Breton female voice for Mbrola
- mbrola-ca1 (1.00+repack2-2) [non-free]
- Canadian French male voice for Mbrola
- mbrola-ca2 (0.0.20031022+repack2-2) [non-free]
- Canadian French male voice for Mbrola
- mbrola-cn1 (0.0.201111+repack2-2) [non-free]
- Chinese female voice for Mbrola
- mbrola-cr1 (0.0.19981028+repack2-5) [non-free]
- Croatian male voice for Mbrola
- mbrola-cz1 (0.1+repack2-2) [non-free]
- Czech female voice for Mbrola
- mbrola-cz2 (0.2+repack2-5) [non-free]
- Czech male voice for Mbrola
- mbrola-de1 (2.050+repack2-4) [non-free]
- German female voice for Mbrola
- mbrola-de2 (0.0.19990106+repack2-4) [non-free]
- German male voice for Mbrola
- mbrola-de3 (1.0+repack2-4) [non-free]
- German female voice for Mbrola
- mbrola-de4 (0.0.20020812+repack2-4) [non-free]
- German male voice for Mbrola
- mbrola-de5 (1.0+repack2-4) [non-free]
- German female voice for Mbrola
- mbrola-de6 (0.0.20021125+repack2-5) [non-free]
- German male voice for Mbrola
- mbrola-de7 (0.0.20030404+repack2-5) [non-free]
- German female voice for Mbrola
- mbrola-de8 (0.0.20040811+repack2-2) [non-free]
- German-Bavarian male voice for Mbrola
- mbrola-ee1 (0.0.20020407+repack2-4) [non-free]
- Estonian male voice for Mbrola
- mbrola-en1 (19980910+repack2-5) [non-free]
- British English male voice for Mbrola
- mbrola-es1 (0.0.19980610+repack2-5) [non-free]
- Spanish male voice for Mbrola
- mbrola-es2 (2.069+repack2-4) [non-free]
- Spanish male voice for Mbrola
- mbrola-es3 (0.0.20141124+repack2-2) [non-free]
- Spanish female voice for Mbrola
- mbrola-es4 (0.0.20020903+repack2-2) [non-free]
- Spanish male voice for Mbrola
- mbrola-fr1 (2.050+repack2-4) [non-free]
- French male voice for Mbrola
- mbrola-fr2 (2.060+repack2-2) [non-free]
- French female voice for Mbrola
- mbrola-fr3 (2.060+repack2-2) [non-free]
- French male voice for Mbrola
- mbrola-fr4 (0.0.19990521+repack2-5) [non-free]
- French female voice for Mbrola
- mbrola-fr5 (2.060+repack2-2) [non-free]
- French Belgian male voice for Mbrola
- mbrola-fr6 (0.0.20010330+repack2-2) [non-free]
- French male voice for Mbrola
- mbrola-fr7 (2.00b+repack2-2) [non-free]
- French Belgian male voice for Mbrola
- mbrola-gr1 (19990610+repack2-4) [non-free]
- Greek male voice for Mbrola
- mbrola-gr2 (0.0.20010521+repack2-5) [non-free]
- Greek male voice for Mbrola
- mbrola-hb1 (0.0.20000308+repack2-2) [non-free]
- Hebrew male voice for Mbrola
- mbrola-hb2 (0.0.20040902+repack2-2) [non-free]
- Hebrew female voice for Mbrola
- mbrola-hn1 (4+repack2-2) [non-free]
- Korean male voice for Mbrola
- mbrola-hu1 (1.002+repack2-5) [non-free]
- Hungarian male voice for Mbrola
- mbrola-ic1 (0.0.20061202+repack2-4) [non-free]
- Icelandic male voice for Mbrola
- mbrola-id1 (1+repack2-5) [non-free]
- Indonesian male voice for Mbrola
- mbrola-in1 (0.0.20010206+repack2-2) [non-free]
- Hindi male voice for Mbrola
- mbrola-in2 (0.0.20010202+repack2-2) [non-free]
- Hindi female voice for Mbrola
- mbrola-ir1 (0.0.20040310+repack2-4) [non-free]
- Farsi male voice for Mbrola
- mbrola-it1 (0.1+repack2-2) [non-free]
- Italian male voice for Mbrola
- mbrola-it2 (0.1+repack2-2) [non-free]
- Italian female voice for Mbrola
- mbrola-it3 (0.1+repack2-5) [non-free]
- Italian male voice for Mbrola
- mbrola-it4 (0.1+repack2-5) [non-free]
- Italian female voice for Mbrola
- mbrola-jp1 (0.0.20000314+repack2-2) [non-free]
- Japanese male voice for Mbrola
- mbrola-jp2 (0.1+repack2-2) [non-free]
- Japanese female voice for Mbrola
- mbrola-jp3 (0.0.20021022+repack2-2) [non-free]
- Japanese female voice for Mbrola
- mbrola-la1 (0.0.20050615+repack2-5) [non-free]
- Latin male voice for Mbrola
- mbrola-lt1 (1.0+repack2-4) [non-free]
- Lithuanian male voice for Mbrola
- mbrola-lt2 (1.0+repack2-4) [non-free]
- Lithuanian male voice for Mbrola
- mbrola-ma1 (0.0.20040816+repack2-2) [non-free]
- Malay female voice for Mbrola
- mbrola-mx1 (0.1+repack2-4) [non-free]
- Mexican Spanish male voice for Mbrola
- mbrola-mx2 (0.1+repack2-4) [non-free]
- Mexican Spanish male voice for Mbrola
- mbrola-nl1 (0.2+repack2-2) [non-free]
- Dutch male voice for Mbrola
- mbrola-nl2 (0.5+repack2-5) [non-free]
- Dutch male voice for Mbrola
- mbrola-nl3 (0.1+repack2-2) [non-free]
- Dutch female voice for Mbrola
- mbrola-nz1 (0.2+repack2-2) [non-free]
- Maori male voice for Mbrola
- mbrola-pl1 (0.1+repack2-5) [non-free]
- Polish female voice for Mbrola
- mbrola-pt1 (1.0+repack2-5) [non-free]
- European Portuguese female voice for Mbrola
- mbrola-ro1 (1.00+repack2-5) [non-free]
- Romanian male voice for Mbrola
- mbrola-sw1 (1.00+repack2-5) [non-free]
- Swedish male voice for Mbrola
- mbrola-sw2 (1.0+repack2-5) [non-free]
- Swedish female voice for Mbrola
- mbrola-tl1 (0.0.20010627+repack2-2) [non-free]
- Telugu female voice for Mbrola
- mbrola-tr1 (0.1+repack2-4) [non-free]
- Turkish male voice for Mbrola
- mbrola-tr2 (0.1+repack2-4) [non-free]
- Turkish female voice for Mbrola
- mbrola-us1 (0.3+repack2-5) [non-free]
- American English female voice for Mbrola
- mbrola-us2 (0.1+repack2-5) [non-free]
- American English male voice for Mbrola
- mbrola-us3 (0.1+repack2-4) [non-free]
- American English male voice for Mbrola
- mbrola-voice
- virtueel pakket geboden door mbrola-de4, mbrola-it3, mbrola-lt2, mbrola-id1, mbrola-it2, mbrola-cz2, mbrola-de7, mbrola-ca2, mbrola-cr1, mbrola-cn1, mbrola-de6, mbrola-de2, mbrola-ar1, mbrola-in1, mbrola-us1, mbrola-tr1, mbrola-hb2, mbrola-tl1, mbrola-de3, mbrola-ir1, mbrola-de5, mbrola-it4, mbrola-pt1, mbrola-gr2, mbrola-jp1, mbrola-br2, mbrola-fr5, mbrola-ro1, mbrola-nl1, mbrola-mx2, mbrola-es1, mbrola-fr3, mbrola-sw1, mbrola-fr6, mbrola-fr2, mbrola-br3, mbrola-fr7, mbrola-vz1, mbrola-br4, mbrola-ma1, mbrola-bz1, mbrola-fr4, mbrola-de8, mbrola-nz1, mbrola-es4, mbrola-hu1, mbrola-en1, mbrola-es3, mbrola-fr1, mbrola-jp3, mbrola-nl3, mbrola-br1, mbrola-jp2, mbrola-nl2, mbrola-es2, mbrola-mx1, mbrola-sw2, mbrola-hb1, mbrola-tr2, mbrola-us2, mbrola-in2, mbrola-ee1, mbrola-gr1, mbrola-ca1, mbrola-us3, mbrola-de1, mbrola-ar2, mbrola-af1, mbrola-la1, mbrola-cz1, mbrola-it1, mbrola-pl1, mbrola-hn1, mbrola-ic1, mbrola-lt1
- mbrola-voice-af
- virtueel pakket geboden door mbrola-af1
- mbrola-voice-ar
- virtueel pakket geboden door mbrola-ar2, mbrola-ar1
- mbrola-voice-br
- virtueel pakket geboden door mbrola-bz1
- mbrola-voice-cs
- virtueel pakket geboden door mbrola-cz1, mbrola-cz2
- mbrola-voice-de
- virtueel pakket geboden door mbrola-de7, mbrola-de8, mbrola-de4, mbrola-de2, mbrola-de6, mbrola-de3, mbrola-de5, mbrola-de1
- mbrola-voice-de-de
- virtueel pakket geboden door mbrola-de3, mbrola-de2, mbrola-de1
- mbrola-voice-el
- virtueel pakket geboden door mbrola-gr1, mbrola-gr2
- mbrola-voice-en
- virtueel pakket geboden door mbrola-us3, mbrola-en1, mbrola-us2, mbrola-us1
- mbrola-voice-en-uk
- virtueel pakket geboden door mbrola-en1
- mbrola-voice-en-us
- virtueel pakket geboden door mbrola-us1, mbrola-us2, mbrola-us3
- mbrola-voice-es
- virtueel pakket geboden door mbrola-es2, mbrola-mx1, mbrola-es4, mbrola-mx2, mbrola-es1, mbrola-es3, mbrola-vz1
- mbrola-voice-es-mx
- virtueel pakket geboden door mbrola-mx2, mbrola-mx1
- mbrola-voice-es-ve
- virtueel pakket geboden door mbrola-vz1
- mbrola-voice-et
- virtueel pakket geboden door mbrola-ee1
- mbrola-voice-et-ee
- virtueel pakket geboden door mbrola-ee1
- mbrola-voice-fa
- virtueel pakket geboden door mbrola-ir1
- mbrola-voice-fa-ir
- virtueel pakket geboden door mbrola-ir1
- mbrola-voice-fr
- virtueel pakket geboden door mbrola-ca1, mbrola-fr7, mbrola-fr4, mbrola-fr6, mbrola-fr2, mbrola-ca2, mbrola-fr3, mbrola-fr5, mbrola-fr1
- mbrola-voice-fr-be
- virtueel pakket geboden door mbrola-fr5, mbrola-fr7
- mbrola-voice-fr-ca
- virtueel pakket geboden door mbrola-ca1, mbrola-ca2
- mbrola-voice-he
- virtueel pakket geboden door mbrola-hb1, mbrola-hb2
- mbrola-voice-hi
- virtueel pakket geboden door mbrola-in1, mbrola-in2
- mbrola-voice-hr
- virtueel pakket geboden door mbrola-cr1
- mbrola-voice-hu
- virtueel pakket geboden door mbrola-hu1
- mbrola-voice-id
- virtueel pakket geboden door mbrola-id1
- mbrola-voice-is
- virtueel pakket geboden door mbrola-ic1
- mbrola-voice-it
- virtueel pakket geboden door mbrola-it2, mbrola-it1, mbrola-it3, mbrola-it4
- mbrola-voice-ja
- virtueel pakket geboden door mbrola-jp3, mbrola-jp1, mbrola-jp2
- mbrola-voice-ko
- virtueel pakket geboden door mbrola-hn1
- mbrola-voice-la
- virtueel pakket geboden door mbrola-la1
- mbrola-voice-lt
- virtueel pakket geboden door mbrola-lt2, mbrola-lt1
- mbrola-voice-lt-lt
- virtueel pakket geboden door mbrola-lt1, mbrola-lt2
- mbrola-voice-mi
- virtueel pakket geboden door mbrola-nz1
- mbrola-voice-ms
- virtueel pakket geboden door mbrola-ma1
- mbrola-voice-nl
- virtueel pakket geboden door mbrola-nl1, mbrola-nl2, mbrola-nl3
- mbrola-voice-pl
- virtueel pakket geboden door mbrola-pl1
- mbrola-voice-pt
- virtueel pakket geboden door mbrola-br1, mbrola-br2, mbrola-pt1, mbrola-br4, mbrola-br3
- mbrola-voice-pt-br
- virtueel pakket geboden door mbrola-br1, mbrola-br2, mbrola-br4, mbrola-br3
- mbrola-voice-pt-pt
- virtueel pakket geboden door mbrola-pt1
- mbrola-voice-ro
- virtueel pakket geboden door mbrola-ro1
- mbrola-voice-sv
- virtueel pakket geboden door mbrola-sw2, mbrola-sw1
- mbrola-voice-te
- virtueel pakket geboden door mbrola-tl1
- mbrola-voice-tr
- virtueel pakket geboden door mbrola-tr2, mbrola-tr1
- mbrola-voice-zh
- virtueel pakket geboden door mbrola-cn1
- mbrola-vz1 (2+repack3-1) [non-free]
- Venezuelan Spanish male voice for Mbrola
- mbt (3.4-1)
- memory-based tagger-generator and tagger
- mbtserver (0.12-1)
- Server extensions for the MBT tagger
- mbuffer (20190127+ds1-1)
- tool for buffering data streams
- mbw (1.2.2-1+b1)
- memory bandwidth benchmark program
- mc (3:4.8.22-1)
- Midnight Commander - a powerful file manager
- mc-data (3:4.8.22-1)
- Midnight Commander - a powerful file manager -- data files
- mcabber (1.1.0-1.1)
- small Jabber (XMPP) console client
- mccs (1:1.1-8)
- multi-critera CUDF solver
- mcedit
- virtueel pakket geboden door mc
- mcl (1:14-137+ds-3)
- Markov Cluster algorithm
- mcl-doc (1:14-137+ds-3)
- documentation for mcl
- mcollective (2.12.1+dfsg-1)
- Marionette Collective clustering framework - server
- mcollective-client (2.12.1+dfsg-1)
- Marionette Collective clustering framework - clients
- mcollective-common (2.12.1+dfsg-1)
- Marionette Collective clustering framework - common files
- mcollective-doc (2.12.1+dfsg-1)
- Marionette Collective clustering framework - documentation
- mcomix (1.2.1-1.1)
- GTK+ image viewer for comic books
- mcp-plugins (0.4.0-6)
- LADSPA plugins designed for Alsa Modular Synth
- mcpp (2.7.2-4+b2)
- Alternative C/C++ preprocessor
- mcpp-doc (2.7.2-4)
- Alternative C/C++ preprocessor (manual)
- mcrl2 (201409.0-1+b2)
- formal specification language toolset
- mcron (1.0.8-1+b2)
- Guile-based program for running jobs at regular times
- mcrypt (2.6.8-4)
- Replacement for old unix crypt(1)
- mcstrans (2.8-1)
- SELinux core policy utilities (mcstrans utilities)
- mcu8051ide (1.4.9-1)
- Graphical Integrated Development Environment for 8051
- md-modules
- virtueel pakket geboden door md-modules-4.19.0-20-686-di, md-modules-4.19.0-20-686-pae-di, md-modules-4.19.0-21-686-pae-di, md-modules-4.19.0-20-armmp-di, md-modules-4.19.0-21-armmp-di, md-modules-4.19.0-20-amd64-di, md-modules-4.19.0-21-arm64-di, md-modules-4.19.0-20-arm64-di, md-modules-4.19.0-21-amd64-di, md-modules-4.19.0-21-686-di
- md-modules-4.19.0-20-686-di (4.19.235-1)
- RAID and LVM support
- md-modules-4.19.0-20-686-pae-di (4.19.235-1)
- RAID and LVM support
- md-modules-4.19.0-20-amd64-di (4.19.235-1)
- RAID and LVM support
- md-modules-4.19.0-20-arm64-di (4.19.235-1)
- RAID and LVM support
- md-modules-4.19.0-20-armmp-di (4.19.235-1)
- RAID and LVM support
- md-modules-4.19.0-21-686-di (4.19.249-2)
- RAID and LVM support
- md-modules-4.19.0-21-686-pae-di (4.19.249-2)
- RAID and LVM support
- md-modules-4.19.0-21-amd64-di (4.19.249-2)
- RAID and LVM support
- md-modules-4.19.0-21-arm64-di (4.19.249-2)
- RAID and LVM support
- md-modules-4.19.0-21-armmp-di (4.19.249-2)
- RAID and LVM support
- md5deep (4.4-5)
- transitional dummy package for hashdeep
- md5deep
- virtueel pakket geboden door hashdeep
- mda-lv2 (1.2.2~dfsg0-2)
- Paul Kellett's MDA plugins ported to LV2
- mdadm (4.1-1)
- tool to administer Linux MD arrays (software RAID)
- mdadm-udeb (4.1-1)
- tool to administer Linux MD arrays (software RAID)
- mdbtools (0.7.1-6)
- JET / MS Access database (MDB) tools
- mdbtools-dev (0.7.1-6)
- mdbtools development files
- mdbtools-doc (0.7.1-6)
- Documentation for MDB tools
- mdcfg (1.63)
- Configure MD devices
- mdcfg-utils (1.63)
- Configure MD devices
- mdetect (0.5.2.4)
- mouse device autodetection tool
- mdf2iso (0.3.1-2)
- simple utility to convert mdf to iso / cue / toc images
- mdfinder.app (0.9.4-1+b2)
- GNUstep Finder and system-wide search system
- mdk (1.2.10+dfsg-2)
- MIX Development Kit
- mdk-doc (1.2.10-1) [non-free]
- Documentation for the MIX Development Kit
- mdk3 (6.0-6)
- Wireless attack tool for IEEE 802.11 networks
- mdm (0.1.3-2.1+b3)
- Utilities for single-host parallel shell scripting
- mdns-scan (0.5-5)
- Scan for mDNS/DNS-SD services published on the local network
- mdp (1.0.15-1)
- command-line based Markdown presentation tool
- mecab (0.996-6)
- Japanese morphological analysis system
- mecab-ipadic (2.7.0-20070801+main-2.1)
- IPA dictionary compiled for Mecab
- mecab-ipadic-utf8 (2.7.0-20070801+main-2.1)
- IPA dictionary encoded in UTF-8 compiled for Mecab
- mecab-jumandic (7.0-20130310-7)
- Juman dictionary compiled for Mecab (deprecated)
- mecab-jumandic
- virtueel pakket geboden door mecab-jumandic-utf8
- mecab-jumandic-utf8 (7.0-20130310-7)
- Juman dictionary encoded in UTF-8 compiled for Mecab
- mecab-naist-jdic (0.6.3.b-20111013-11)
- free Japanese Dictionaries for mecab (replacement of mecab-ipadic)
- mecab-naist-jdic-eucjp (0.6.3.b-20111013-11)
- free Japanese Dictionaries for mecab (replacement of mecab-ipadic) in EUC-JP
- mecab-utils (0.996-6)
- Support programs of Mecab
- med-all (3.3)
- Default selection of tasks for Debian Med
- med-bio (3.3)
- Debian Med bioinformatics packages
- med-bio-dev (3.3)
- Debian Med packages for development of bioinformatics applications
- med-cloud (3.3)
- Debian Med bioinformatics applications usable in cloud computing
- med-common
- virtueel pakket geboden door med-config
- med-config (3.3)
- Debian Med general config package
- med-data (3.3)
- Debian Med drug databases
- med-dental (3.3)
- Debian Med packages related to dental practice
- med-epi (3.3)
- Debian Med epidemiology related packages
- med-his (3.3)
- Debian Med suggestions for Hospital Information Systems
- med-imaging (3.3)
- Debian Med image processing and visualization packages
- med-imaging-dev (3.3)
- Debian Med image processing and visualization packages development
- med-laboratory (3.3)
- Debian Med suggestions for medical laboratories
- med-oncology (3.3)
- Debian Med packages for oncology
- med-pharmacy (3.3)
- Debian Med packages for pharmaceutical research
- med-physics (3.3)
- Debian Med packages for medical physicists
- med-practice (3.3)
- Debian Med packages for practice management
- med-psychology (3.3)
- Debian Med packages for psychology
- med-research (3.3)
- Debian Med packages for medical research
- med-statistics (3.3)
- Debian Med statistics
- med-tasks (3.3)
- Debian Med tasks for tasksel
- med-tools (3.3)
- Debian Med several tools
- med-typesetting (3.3)
- Debian Med support for typesetting and publishing
- medcon (0.16.1+dfsg-1)
- Medical Image (DICOM, ECAT, ...) conversion tool
- media-player-info (24-2)
- Media player identification files
- media-retriever (1.50)
- Fetches modules from removable media
- mediaconch (18.03.2-1)
- implementation and policy checker, reporter and fixer for media files
- mediaconch-gui (18.03.2-1)
- implementation and policy checker, reporter and fixer for media files
- mediainfo (18.12-2)
- command-line utility for reading information from audio/video files
- mediainfo-gui (18.12-2)
- graphical utility for reading information from audio/video files
- mediathekview (13.2.1-3)
- view streams from German public television stations
- mediawiki (1:1.31.16-1+deb10u8) [security]
- website engine for collaborative work
- mediawiki-classes (1:1.31.16-1+deb10u8) [security]
- website engine for collaborative work - standalone classes
- mediawiki-extensions-confirmedit
- virtueel pakket geboden door mediawiki
- mediawiki-extensions-geshi
- virtueel pakket geboden door mediawiki
- mediawiki2latex (7.33-1)
- Loads pages from MediaWiki and converts to LaTeX and PDF
- mediawiki2latexguipyqt (1.5-1)
- lightweight GUI for mediawiki2latex
- medit (1.2.0-3)
- Useful programming and around-programming text editor
- mednafen (1.22.1+dfsg-2~deb10u1)
- multi-platform emulator, including NES, GB/A, Lynx, PC Engine
- mednaffe (0.8.8-1)
- front-end for the Mednafen multi-system emulator
- medusa (2.2-6)
- fast, parallel, modular, login brute-forcer for network services
- meep (1.7.0-3)
- software package for FDTD simulation
- meep-lam4 (1.7.0-3)
- software package for FDTD simulation, parallel (OpenMPI) version
- meep-mpi-default (1.7.0-3)
- software package for FDTD simulation, parallel (OpenMPI) version
- meep-mpich2 (1.7.0-3)
- software package for FDTD simulation, parallel (OpenMPI) version
- meep-openmpi (1.7.0-3)
- software package for FDTD simulation, parallel (OpenMPI) version
- megadown (0~20180705+git83c53dd-1.1)
- Script for downloading files from mega.nz and megacrypter
- megaglest (3.13.0-2+b3)
- 3D multi-player real time strategy game
- megaglest-data (3.13.0-2)
- data files for MegaGlest
- megatools (1.10.2-1+deb10u1)
- Command-line client for the Mega cloud storage service
- meld (3.20.0-2)
- graphical tool to diff and merge files
- melt (6.12.0-1)
- command line media player and video editor
- melting (5.2.0-1)
- compute the melting temperature of nucleic acid duplex
- members (20080128-5+nmu1+b1)
- Shows the members of a group; by default, all members
- memcached (1.5.6-1.1+deb10u1) [security]
- high-performance memory object caching system
- memdump (1.01-8)
- utility to dump memory contents to standard output
- memlockd (1.2)
- daemon to lock files into RAM
- memstat (1.1)
- Identify what's using up virtual memory
- memtest86 (4.3.7-3)
- thorough real-mode memory tester
- memtest86+ (5.01-3)
- thorough real-mode memory tester
- memtester (4.3.0-4+b1)
- Utility for testing the memory subsystem
- memtool (2018.03.0-1)
- Development tool to modify memory mapped registers
- mencal (3.0-4)
- menstruation calendar
- mencoder (2:1.3.0-8+deb10u1) [security]
- MPlayer's Movie Encoder
- mender-artifact (3.0.0+really2.4.0-1)
- utility to generate .mender artifacts
- mender-cli (1.1.0-1+b10)
- general-purpose CLI for the Mender backend
- mender-client (1.7.0-4+b12)
- Mender over-the-air software updater client.
- mendexk
- virtueel pakket geboden door texlive-binaries
- menhir (20181113-1)
- Parser generator for OCaml
- menhir-doc (20181113-1)
- Documentation of the Menhir parser generator for OCaml
- (2.1.47+b1)
- genereert de menus voor programma's voor alle menu-bewuste applicaties
- (0.20120730)
- localized menu entries for Debian menu.
- (0.6)
- freedesktop.org menu compliant window manager scripts
- (2.2.0-2)
- advanced FreeDesktop.org compliant menu editor
- mercurial (4.8.2-1+deb10u1)
- easy-to-use, scalable distributed version control system
- mercurial-buildpackage (0.10.1+nmu1+b1)
- Suite to maintain Debian packages in Mercurial repository
- mercurial-common (4.8.2-1+deb10u1)
- easy-to-use, scalable distributed version control system (common files)
- mercurial-crecord (0.20151121-1)
- Mercurial crecord extension
- mercurial-extension-utils (1.3.6-1)
- Contains functions for writing Mercurial extensions
- mercurial-git (0.8.12-1+deb10u1)
- Git plugin for Mercurial
- mercurial-keyring (1.2.0-1)
- Mercurial Keyring Extension
- mercurial-server (1.2-2.2)
- shared Mercurial repository service
- mergelog (4.5.1-9+b2)
- utility that merges httpd log files by date
- mergerfs (2.24.2-4)
- another FUSE union filesystem
- meritous (1.5-1)
- action-adventure dungeon crawl game
- meritous-data (1.5-1)
- action-adventure dungeon crawl game (datafiles)
- merkaartor (0.18.3+ds-5+b1)
- map editor for OpenStreetMap.org
- merkleeyes (0.0~git20170130.0.549dd01-1+b23)
- ABCI application serving a merkle-tree data store
- meryl (0~20150903+r2013-6)
- in- and out-of-core kmer counting and utilities
- mesa-common-dev (18.3.6-2+deb10u1)
- Developer documentation for Mesa
- mesa-opencl-icd (18.3.6-2+deb10u1)
- free implementation of the OpenCL API -- ICD runtime
- mesa-utils (8.4.0-1+b1)
- Miscellaneous Mesa GL utilities
- (8.4.0-1+b1)
- Miscellaneous Mesa utilies (opengles, egl)
- mesa-va-drivers (18.3.6-2+deb10u1)
- Mesa VA-API video acceleration drivers
- mesa-vdpau-drivers (18.3.6-2+deb10u1)
- Mesa VDPAU video acceleration drivers
- mesa-vulkan-drivers (18.3.6-2+deb10u1)
- Mesa Vulkan graphics drivers
- mesag-widgets-dev
- virtueel pakket geboden door libglw1-mesa-dev
- mesag3-widgets
- virtueel pakket geboden door libglw1-mesa-dev
- meshio-tools (2.3.3-1)
- command-line tools for meshio
- meshlab (1.3.2+dfsg1-4+b1)
- System for processing and editing triangular meshes
- meshs3d (0.2.2-16)
- OLSR/B.A.T.M.A.N. topology visualizer for s3d
- meson (0.49.2-1)
- high-productivity build system
- mess-desktop-entries (0.2-4)
- Desktop entries for MESS ROMs
- metacam (1.2-11)
- extract EXIF information from digital camera files
- metacity (1:3.30.1-2)
- lichtgewicht GTK+ vensterbeheerder
- metacity-common (1:3.30.1-2)
- shared files for the Metacity window manager
- metacity-themes (1.0.12)
- Themes for the Gtk2 metacity window manager
- metainit (0.0.5)
- Generates init scripts
- metamonger (0.20150503-1.1)
- Save, diff, and restore filesystem metadata
- metaphlan2 (2.7.8-1)
- Metagenomic Phylogenetic Analysis
- metaphlan2-data (2.6.0+ds-4)
- data package for Metagenomic Phylogenetic Analysis
- metar (20061030.1-2.2+b1)
- utility to download/decode METAR reports
- metastore (1.1.2-2)
- Store and restore metadata from a filesystem
- metastudent (2.0.1-6)
- predictor of Gene Ontology terms from protein sequence
- metastudent-data (2.0.1-4)
- predictor of Gene Ontology terms from protein sequence - data files
- metastudent-data-2 (1.0.0-4)
- predictor of Gene Ontology terms from protein sequence - data #2
- meteo-qt (1.0.0-1)
- Application to display weather information
- meteo-qt-l10n (1.0.0-1)
- Application to display weather information (translations)
- meterbridge (0.9.2-13)
- Collection of Audio meters for the JACK audio server
- meterec (0.9.2~ds0-2+b2)
- minimalistic multi track recorder
- metis (5.1.0.dfsg-5+b2)
- Serial Graph Partitioning and Fill-reducing Matrix Ordering. Binaries
- metis-edf (4.1-2-4+b1) [non-free]
- Family of Multilevel Partitioning Algorithms
- metview (5.3.0-2)
- Interactive data visualization and analysis environment,
- metview-data (5.3.0-2)
- Data needed for the Metview data analysis environment
- mew (1:6.8-4+deb10u1)
- mail reader supporting PGP/MIME for Emacs
- mew
- virtueel pakket geboden door mew-beta
- mew-beta (7.0.50~6.8+0.20190228-1+deb10u1)
- mail reader supporting PGP/MIME for Emacs (development version)
- mew-beta-bin (7.0.50~6.8+0.20190228-1+deb10u1)
- external commands for Mew (development version)
- mew-bin (1:6.8-4+deb10u1)
- external commands for Mew
- mew-bin
- virtueel pakket geboden door mew-beta-bin
- mfcuk (0.3.8+git20180720-1)
- MiFare Classic Universal toolKit
- mffm-fftw-dev (1.7-4)
- C++ wrapper for the fftw.org C library (version 3)
- mffm-fftw1 (1.7-4)
- C++ wrapper for the fftw.org C library (version 3)
- mfoc (0.10.7+git20180724-1)
- MIFARE Classic offline cracker
- mftrace (1.2.19-1)
- Converts Metafont fonts into Type1 fonts
- mg (20180927-1)
- microscopic GNU Emacs-style editor
- mgba-common (0.7.0-1)
- Game Boy Advance emulator (common files for mGBA)
- mgba-qt (0.7.0-1)
- Game Boy Advance emulator (Qt frontend for mGBA)
- mgba-sdl (0.7.0-1)
- Game Boy Advance emulator (SDL frontend for mGBA)
- mgdiff (1.0-30+b1)
- xdiff clone
- mgen (5.02.b+dfsg1-2.2)
- packet generator for IP network performance tests
- mgen-doc (5.02.b+dfsg1-2.2)
- mgen user and reference guide
- mgetty (1.2.1-1)
- Smart Modem getty replacement
- mgetty-docs (1.2.1-1)
- Documentation Package for mgetty
- mgetty-fax (1.2.1-1)
- Faxing tools for mgetty
- mgetty-pvftools (1.2.1-1)
- Programs for listening and manipulating pvf and rmd files
- mgetty-viewfax (1.2.1-1)
- Program for displaying Group-3 Fax files under X
- mgetty-voice (1.2.1-1)
- Voicemail handler for mgetty
- mgltools-bhtree (1.5.7-3) [non-free]
- Bhtree library extension module
- mgltools-cadd (1.5.7-4) [non-free]
- Computer Aided Drug Discovery (CADD) Pipeline
- mgltools-cmolkit (1.5.7~rc1+cvs.20140424-2) [non-free]
- Python classes to interpret trajectories of Gromacs
- mgltools-dejavu (1.5.7-3) [non-free]
- visualization of 3D geometry using the OpenGL with Python
- mgltools-geomutils (1.5.7-3) [non-free]
- Python library for geometric analyses
- mgltools-gle (1.5.7-3) [non-free]
- GLE library Python extension
- mgltools-mglutil (1.5.7-4) [non-free]
- Molecular Graphics Laboratory utility collection
- mgltools-molkit (1.5.7-3) [non-free]
- Python classes to read and manipulate molecules
- mgltools-networkeditor (1.5.7-4) [non-free]
- Python GUI library for the editing of networks
- mgltools-opengltk (1.5.7-3) [non-free]
- Opengltk Python extension
- mgltools-pyautodock (1.5.7-3) [non-free]
- Python implementation of autodock
- mgltools-pybabel (1.5.7-3) [non-free]
- molecular structure file access and interpretation
- mgltools-pyglf (1.5.7-3) [non-free]
- GLF library Python extension to write text in OpenGL
- mgltools-scenario2 (1.5.7-2) [non-free]
- Python-based viewer of molecular structures
- mgltools-sff (1.5.6~rc3~cvs.20120206-1) [non-free]
- Simple Force Field for Python
- mgltools-support (1.5.7-3) [non-free]
- Update mechanism of MGLTools
- mgltools-symserv (1.5.7-2) [non-free]
- Symetry server
- mgltools-utpackages (1.5.7-3) [non-free]
- UT Austin software Python extensions
- mgltools-viewerframework (1.5.7-3) [non-free]
- ViewerFramework supports building visualization applications
- mgltools-vision (1.5.7+dfsg-2) [non-free]
- Python-based Visual Programming Environment
- mgltools-visionlibraries (1.5.7-2) [non-free]
- Extensions for Python-based Visual Programming Environment
- mgltools-volume (1.5.7-3) [non-free]
- Volume rendering Python package
- mgltools-webservices (1.5.7-3) [non-free]
- webservices for components of autodocktools
- mgp (1.13a+upstream20090219-11)
- MagicPoint — an X11-based presentation tool
- mgt (2.31-7+b2)
- game record display/editor for the oriental game of go
- mh
- virtueel pakket geboden door mmh, nmh
- mh-book (200605-2)
- MH & nmh: Email for Users & Programmers online book
- mh-e (8.5-2.1)
- Emacs interface to the MH mail system
- mha4mysql-manager (0.58-1)
- Master High Availability Manager and Tools for MySQL, Manager Package
- mha4mysql-node (0.58-1)
- Master High Availability Manager and Tools for MySQL, Node Package
- mhap (2.1.3+dfsg-2)
- locality-sensitive hashing to detect long-read overlaps
- mhc (1.2.1-2)
- schedule management tool for Emacs
- mhc-utils (1.2.1-2)
- utilities for the MHC schedule management system
- mhddfs (0.1.39+nmu1+b1)
- file system for unifying several mount points into one
- mhonarc (2.6.19-2)
- Mail to HTML converter
- mhwaveedit (1.4.23-3)
- Simple and fast GTK2 sound editor
- mia-doctools (2.4.6-4)
- Helper scripts for run-time document creation
- mia-tools (2.4.6-4)
- Command line tools for gray scale image processing
- mia-tools-doc (2.4.6-4)
- Cross-referenced documentation of the MIA command line tools
- mia-viewit (1.0.5-2)
- Viewer program for 3D data sets created by using MIA
- mialmpick (0.2.14-2)
- Tools for landmark picking in 3D volume data sets
- miceamaze (4.2.1-3+b1)
- video game with mice in a maze
- micro-httpd (20051212-15.1)
- really small HTTP server
- microbegps (1.0.0-3)
- explorative taxonomic profiling tool for metagenomic data
- microbiomeutil (20101212+dfsg1-2)
- Microbiome Analysis Utilities
- microbiomeutil-data (20101212+dfsg1-2)
- Reference 16S sequences and NAST-alignments used by microbiomeutil tools
- microcode.ctl (1.18~0+nmu2) [contrib]
- Intel IA32/IA64 CPU Microcode Utility (transitional package)
- microcom (2017.03.0-2)
- minimalistic terminal program
- microdc2 (0.15.6-4+b1)
- command-line based Direct Connect client
- microhope (4.4.4+dfsg-4)
- hardware & software framework to learn microcontrollers
- micropolis (0.0.20071228-9)
- real-time city management simulator
- micropolis-data (0.0.20071228-9)
- real-time city management simulator - data
- midge (0.2.41-2.1)
- A text to MIDI program
- midicsv (1.1+dfsg.1-1+b1)
- translate MIDI file to CSV
- mididings (0~20120419~ds0-6)
- MIDI router and processor based on Python
- mididings-doc (0~20120419~ds0-6)
- mididings Documentation
- midish (1.0.4-1.1+b3)
- shell-like MIDI sequencer/filter
- midisnoop (0.1.2~repack0-7+b1)
- MIDI monitor and prober
- midisport-firmware (1.2-4) [non-free]
- Firmware loader for M-Audio's MidiSport devices
- midori (7.0-2)
- fast, lightweight graphical web browser
- mig (1.8-4)
- GNU Mach Interface Generator
- migemo-el (1:1.2+gh0.20150404-7)
- transitional dummy package: elpa-migemo
- migemo-el
- virtueel pakket geboden door elpa-migemo
- mighttpd2 (3.4.3-2)
- classical web server on WAI/warp
- migrationtools (47-8)
- Migration scripts for LDAP
- mikmod (3.2.8-2)
- Portable tracked music player
- mikutter (3.8.6+dfsg-1)
- plugin-extensible Twitter client
- milkytracker (1.02.00+dfsg-1+deb10u1)
- music creation tool inspired by Fast Tracker 2
- miller (5.4.0-1)
- name-indexed data processing tool
- milou (4:5.14.5-1)
- Dedicated search plasmoid.
- milter-greylist (4.5.11-1.1+b5)
- Greylist milter for sendmail
- mime-construct (1.11+nmu2)
- Construeer/verstuur MIME berichten vanaf de commandoregel
- mime-support (3.62)
- MIME bestanden 'mime.types' & 'mailcap' en support programma's
- mimedefang (2.84-3)
- e-mail filter program for sendmail
- mimefilter (1.7+nmu2)
- Strips some unwanted MIME parts out of a MIME message.
- mimetex (1.76-1)
- LaTeX math expressions to anti-aliased GIF images converter
- mimms (3.2.2-1.1)
- mms (e.g. mms://) stream downloader
- mina (0.3.7-1)
- deployer and server automation tool
- minbif (1:1.0.5+git20150505-3)
- IRC-to-other-IM-networks gateway using Pidgin library
- minbif-common (1:1.0.5+git20150505-3)
- IRC-to-other-IM-networks gateway using Pidgin library (common files)
- minc-tools (2.3.00+dfsg-3+b1)
- MNI medical image format tools
- minetest (0.4.17.1+repack-1+deb10u1)
- Multiplayer infinite-world block sandbox
- minetest-data (0.4.17.1+repack-1+deb10u1)
- Multiplayer infinite-world block sandbox (data files)
- minetest-mod-basic-materials (20181109.2-1)
- Minetest mod providing basic materials and items
- minetest-mod-character-creator (1.0-2)
- Minetest module to customize your skin
- minetest-mod-craftguide (1.2-1)
- Minetest mod providing a crafting guide
- minetest-mod-currency (20181109-1)
- Minetest mod providing shops and currency
- minetest-mod-ethereal (20181016-1)
- Minetest module to add a map generator enhancer
- minetest-mod-homedecor (20181109.2-1)
- Minetest mod pack providing home decor elements
- minetest-mod-infinite-chest (1.0.1-1)
- Minetest module to get chests with an infinite number of slots
- minetest-mod-intllib (20180811-1)
- Minetest module for internationalization of modules
- minetest-mod-lucky-block (20181017-1)
- Minetest module to add a Lucky Block to the game
- minetest-mod-maidroid (0.1.0-1)
- Minetest mod - Maidroid for maid robots
- minetest-mod-mesecons (1:1.2.1-1)
- Digital circuitry blocks for minetest
- minetest-mod-mobs-redo (20181016-1)
- Minetest module to add mobs programming interface
- minetest-mod-moreblocks (1.2.0-1)
- Minetest mod - More Blocks
- minetest-mod-moreores (0~20130828+git0977bbc809-1)
- Minetest mod - More Ores
- minetest-mod-nether (2-4)
- Minetest mod - Nether portals and materials
- minetest-mod-pipeworks (20180913.1-1)
- Pipes and tubes for Minetest
- minetest-mod-player-3d-armor (0.4.12-2)
- Modpack to add armor and wielded weapons for Minetest
- minetest-mod-protector (20181016-1)
- Minetest module to add protector blocks
- minetest-mod-pycraft (0.22-1)
- Minetest mod - (most of) Raspberry PI Minecraft API
- minetest-mod-quartz (1.0.0-2)
- Minetest module to add quartz
- minetest-mod-skyblock (0.2.4-1)
- Minetest module to add a Crusoe-like minigame
- minetest-mod-throwing (1.0-1)
- Minetest mod - Throwing and throwables
- minetest-mod-torches (5-3)
- Minetest mod - A mesh-based torch replacing in-world torches
- minetest-mod-unified-inventory (20180810-1)
- Minetest module to enhance the inventory
- minetest-mod-unifieddyes (20180913.3-1)
- Minetest mod providing a unified dye specification
- minetest-mod-worldedit (0.6-1)
- Minetest mod - ingame world editor
- minetest-server (0.4.17.1+repack-1+deb10u1)
- Multiplayer infinite-world block sandbox (server)
- minetestmapper (20180325-1)
- map generator from minetest worlds
- mingetty (1.08-2+b1)
- Console-only getty
- mingw-w64 (6.0.0-3)
- Development environment targeting 32- and 64-bit Windows
- mingw-w64-common (6.0.0-3)
- Common files for Mingw-w64
- mingw-w64-i686-dev (6.0.0-3)
- Development files for MinGW-w64 targeting Win32
- mingw-w64-tools (6.0.0-3)
- Development tools for 32- and 64-bit Windows
- mingw-w64-x86-64-dev (6.0.0-3)
- Development files for MinGW-w64 targeting Win64
- mini-buildd (1.0.36+deb10u1)
- minimal build daemon - daemon
- mini-buildd-common (1.0.36+deb10u1)
- minimal build daemon - 08x upgrade dummy package
- mini-dinstall (0.6.31)
- daemon for updating Debian packages in a repository
- mini-httpd (1.30-0.2)
- Small HTTP server
- mini-httpd-run (1.0)
- Small HTTP server (Runit integration)
- minia (1.6906-2)
- short-read biological sequence assembler
- miniasm (0.3+dfsg-1)
- ultrafast de novo assembler for long noisy DNA sequencing reads
- minica (1.0-1+b13)
- super micro binary to issue new CAs
- minicom (2.7.1-1+b1)
- Friendly menu driven serial communication program
- minicoredumper (2.0.1-1)
- generate minimal and customized core dump files on Linux
- minicoredumper-utils (2.0.1-1)
- minicoredumper utilities
- minicpan
- virtueel pakket geboden door libcpan-mini-perl
- minidisc-utils (0.9.16-2)
- Command line utilities for MiniDisc access
- minidjvu (0.8.svn.2010.05.06+dfsg-6)
- Monochrome DjVu multipage encoder, single page encoder/decoder
- minidlna (1.2.1+dfsg-2+deb10u4) [security]
- lightweight DLNA/UPnP-AV server targeted at embedded systems
- minify (2.3.8-1+b10)
- CLI implementation of the Go minify library package
- minilzip (1.11-3)
- minified, lossless data compressor based on the LZMA algorithm
- minimac4 (1.0.0-2)
- Fast Imputation Based on State Space Reduction HMM
- minimap (0.2-4)
- tool for approximate mapping of long biosequences such as DNA reads
- minimap2 (2.15+dfsg-1)
- versatile pairwise aligner for genomic and spliced nucleotide sequences
- minimodem (0.24-1+b1)
- general-purpose software audio FSK modem
- mininet (2.2.2-4)
- process-based network emulator
- minisapserver (0.3.6-1.1+b3)
- Mini SAP Server
- minisat (1:2.2.1-5+b3)
- Fast and lightweight SAT solver
- minisat+ (1.0-4)
- solver for pseudo-Boolean constraints
- minisat2 (1:2.2.1-5+b3)
- Transitional package for minisat
- minisat2
- virtueel pakket geboden door minisat
- minissdpd (1.5.20190210-1)
- keep memory of all UPnP devices that announced themselves
- ministat (20150715-1+b1)
- simple tool for statistical comparison of data sets
- minitube (2.9-1)
- Native YouTube client
- miniupnpc (2.1-1+b1)
- UPnP IGD client lightweight library client
- miniupnpd (2.1-6)
- UPnP and NAT-PMP daemon for gateway routers
- minizinc (2.1.7+dfsg1-1)
- constraint modelling language and tool chain
- minizinc-ide (2.1.7-1)
- MiniZinc constraint modelling language IDE
- minizip (1.1-8+deb10u1) [security]
- compression library - minizip tools
- minlog (4.0.99.20100221-6)
- Proof assistant based on first order natural deduction calculus
- minpack-dev (19961126+dfsg1-5)
- nonlinear equations and nonlinear least squares static library
- minuet (17.08.3-2)
- KDE Software for Music Education
- minuet-data (17.08.3-2)
- data files for Minuet
- mipe (1.1-7)
- Tools to store PCR-derived data
- mira-assembler (4.9.6-4+b1)
- Whole Genome Shotgun and EST Sequence Assembler
- mira-doc (4.9.6-4)
- documentation for the mira assembler
- mira-rfam-12s-rrna (4.9.6-4)
- extract of RFAM 12 rRNA database
- mirage (0.9.5.2-1)
- fast and simple GTK+ image viewer
- miri-sdr (0.0.4.59ba37-5+b1)
- Software defined radio support for Mirics hardware (tools)
- mirmon (2.11-5)
- monitor the state of mirrors
- mirrormagic (3.0.0+dfsg2-1)
- Shoot around obstacles to collect energy using your beam.
- mirrormagic-data (3.0.0+dfsg2-1)
- Data files for mirrormagic
- miscfiles (1.5+dfsg-2)
- Dictionaries and other interesting files
- misery (0.2-1.1+b3 [armhf], 0.2-1.1+b2 [amd64, arm64, i386])
- Simple accounting package for groups, clubs, holidays
- missfits (2.8.0-2)
- Basic maintenance and packaging tasks on FITS files
- missidentify (1.0-9)
- find win32 applications
- missingh-doc
- virtueel pakket geboden door libghc-missingh-doc
- mistral-api (7.0.0-2)
- OpenStack Workflow service - API
- mistral-common (7.0.0-2)
- OpenStack Workflow service - common files
- mistral-engine (7.0.0-2)
- OpenStack Workflow service - Engine
- mistral-event-engine (7.0.0-2)
- OpenStack Workflow service - Event Engine
- mistral-executor (7.0.0-2)
- OpenStack Workflow service - Executor
- mit-scheme (10.1.5-1)
- MIT/GNU Scheme development environment
- mit-scheme-dbg (10.1.5-1)
- MIT/GNU Scheme debugging files
- mit-scheme-doc (10.1.5-1)
- MIT/GNU Scheme documentation
- mitmproxy (4.0.4-5)
- SSL-capable man-in-the-middle HTTP proxy
- mitools (2.0.3-1)
- view, convert and perform basic maths with medical image datasets
- miwm (1.1-6)
- minimalist window manager with virtual workspaces
- mixxx (2.2.0~dfsg-1)
- Digital Disc Jockey Interface
- mixxx-data (2.2.0~dfsg-1)
- Digital Disc Jockey Interface -- data files
- mjpegtools (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset
- mjpegtools-gtk (1:2.1.0+debian-5)
- MJPEG capture/editing/replay and MPEG encoding toolset (GTK+ frontend)
- mk-configure (0.29.1-3)
- lightweight replacement for GNU autotools
- mkalias (1.0.10-2)
- Bash alias management to facilitate alias creation
- mkbootimg (1:8.1.0+r23-5)
- Creates Android boot images
- mkcue (1-6)
- Generates a CUE sheet from a CD
- mkdocs (1.0.4+dfsg-1)
- Static site generator geared towards building project documentation
- mkdocs-bootstrap (0.2.0+dfsg-0.1)
- bootstrap themes for MkDocs
- mkdocs-doc (1.0.4+dfsg-1)
- Static site generator (documentation)
- mkdocs-nature (0.3.1+dfsg-1)
- Nature theme for MkDocs
- mkelfimage (2.7-7+b1)
- utility to create ELF boot images from Linux kernel images
- mkgmap (0.0.0+svn4262-1)
- Generate Garmin maps from OpenStreetMap data
- mkgmap-splitter (0.0.0+svn592-1)
- Tile splitter for mkgmap
- mkgmapgui (1.1.ds-10)
- Graphical User Interface for mkgmap
- mklibs (0.1.44)
- Shared library reduction script
- mklibs-copy (0.1.44)
- Shared library reduction script
- mknbi (1.4.4-14)
- Create tagged images for Etherboot or Netboot
- mknfonts.tool (0.5-12+b1)
- Create nfont packages for GNUstep
- mkosi (4-1)
- create legacy-free OS images
- mkreiserfs-udeb (1:3.6.27-3)
- User-level tools for ReiserFS filesystems
- mksh (57-1)
- MirBSD Korn Shell
- mktorrent (1.1-1)
- simple command line utility to create BitTorrent metainfo files
- mkvtoolnix (31.0.0-1+b1)
- Set of command-line tools to work with Matroska files
- mkvtoolnix-gui (31.0.0-1+b1)
- Set of tools to work with Matroska files - GUI frontend
- ml-antlr
- virtueel pakket geboden door ml-lpt
- ml-burg (110.79-4)
- Code generator for Standard ML
- ml-lex (110.79-4)
- Lexical analyzer generator for Standard ML
- ml-lpt (110.79-4)
- SML/NJ language processing tools
- ml-nlffigen (110.79-4)
- ML generator for C glue code
- ml-ulex
- virtueel pakket geboden door ml-lpt
- ml-yacc (110.79-4)
- Parser generator for Standard ML
- mldemos (0.5.1+git.1.ee5d11f-4)
- Machine Learning Demos (MLDemos) with Visualization
- mldonkey-gui (3.1.6-1+b1)
- Graphical frontend for mldonkey based on GTK+
- mldonkey-server (3.1.6-1+b1)
- Door to the 'donkey' network
- mle (1.3-2)
- flexible terminal-based editor
- mlir-13-tools (1:13.0.1-6~deb10u4)
- Multi-Level Intermediate Representation tools
- mlmmj (1.3.0-3)
- mail server independent mailing list manager
- mlmmj-php-web (1.3.0-3)
- web interface for mlmmj, written in php
- mlmmj-php-web-admin (1.3.0-3)
- administrative web interface for mlmmj, written in php
- mlocate (0.26-3)
- quickly find files on the filesystem based on their name
- mlock (8:2007f~dfsg-6)
- mailbox locking program
- mlpack-bin (3.0.4-1)
- intuitive, fast, scalable C++ machine learning library (binaries)
- mlpack-doc (3.0.4-1)
- intuitive, fast, scalable C++ machine learning library (documentation)
- mlpost (0.8.1-8+b2 [armhf], 0.8.1-8+b1 [amd64, arm64, i386])
- OCaml interface to Metapost (command-line tool)
- mlterm (3.8.6-2)
- Multi-Taal TERMinal
- mlterm-common (3.8.6-2)
- MultiLingual TERMinal, common files
- mlterm-im-canna (3.8.6-2)
- MultiLingual TERMinal, Canna input method plugin
- mlterm-im-fcitx (3.8.6-2)
- MultiLingual TERMinal, Flexible Input Method plugin
- mlterm-im-ibus (3.8.6-2)
- MultiLingual TERMinal, IBus input method plugin
- mlterm-im-m17nlib (3.8.6-2)
- MultiLingual TERMinal, m17nlib input method plugin
- mlterm-im-scim (3.8.6-2)
- MultiLingual TERMinal, scim input method plugin
- mlterm-im-skk (3.8.6-2)
- MultiLingual TERMinal, SKK input method plugin
- mlterm-im-uim (3.8.6-2)
- MultiLingual TERMinal, uim input method plugin
- mlterm-im-wnn (3.8.6-2)
- MultiLingual TERMinal, FreeWnn input method plugin
- mlterm-tiny (3.8.6-2)
- MultiLingual TERMinal, tiny version
- mlterm-tools (3.8.6-2)
- MultiLingual TERMinal, additional tools
- mlucas (17.1-3)
- program to perform Lucas-Lehmer test on a Mersenne number
- mlv-smile (1.47-6)
- Find statistically significant patterns in sequences
- mm-common (0.9.12-1)
- Common build files of the GNOME C++ bindings
- mm3d (1.3.11-1)
- OpenGL based 3D model editor
- mma (16.06-2)
- Musical MIDI Accompaniment Generator
- mmake (2.3-7)
- Makefile generator for Java programs
- mmark (1.3.6+dfsg-1+b12)
- Markdown processor in Go geared for the IETF (executable)
- mmass (5.5.0-5)
- Mass spectrometry tool for proteomics
- mmass-modules (5.5.0-5)
- Mass spectrometry tool for proteomics - extension modules
- mmc-core-modules
- virtueel pakket geboden door mmc-core-modules-4.19.0-21-686-pae-di, mmc-core-modules-4.19.0-20-amd64-di, mmc-core-modules-4.19.0-21-amd64-di, mmc-core-modules-4.19.0-21-686-di, kernel-image-4.19.0-20-armmp-di, kernel-image-4.19.0-21-arm64-di, mmc-core-modules-4.19.0-20-686-di, mmc-core-modules-4.19.0-20-686-pae-di, kernel-image-4.19.0-21-armmp-di, kernel-image-4.19.0-20-arm64-di
- mmc-core-modules-4.19.0-20-686-di (4.19.235-1)
- MMC/SD/SDIO core modules
- mmc-core-modules-4.19.0-20-686-pae-di (4.19.235-1)
- MMC/SD/SDIO core modules
- mmc-core-modules-4.19.0-20-amd64-di (4.19.235-1)
- MMC/SD/SDIO core modules
- mmc-core-modules-4.19.0-21-686-di (4.19.249-2)
- MMC/SD/SDIO core modules
- mmc-core-modules-4.19.0-21-686-pae-di (4.19.249-2)
- MMC/SD/SDIO core modules
- mmc-core-modules-4.19.0-21-amd64-di (4.19.249-2)
- MMC/SD/SDIO core modules
- mmc-modules
- virtueel pakket geboden door mmc-modules-4.19.0-20-686-pae-di, mmc-modules-4.19.0-20-686-di, mmc-modules-4.19.0-20-amd64-di, mmc-modules-4.19.0-21-686-di, mmc-modules-4.19.0-21-arm64-di, mmc-modules-4.19.0-20-arm64-di, mmc-modules-4.19.0-21-armmp-di, mmc-modules-4.19.0-20-armmp-di, mmc-modules-4.19.0-21-amd64-di, mmc-modules-4.19.0-21-686-pae-di
- mmc-modules-4.19.0-20-686-di (4.19.235-1)
- MMC/SD card modules
- mmc-modules-4.19.0-20-686-pae-di (4.19.235-1)
- MMC/SD card modules
- mmc-modules-4.19.0-20-amd64-di (4.19.235-1)
- MMC/SD card modules
- mmc-modules-4.19.0-20-arm64-di (4.19.235-1)
- MMC/SD card modules
- mmc-modules-4.19.0-20-armmp-di (4.19.235-1)
- MMC/SD card modules
- mmc-modules-4.19.0-21-686-di (4.19.249-2)
- MMC/SD card modules
- mmc-modules-4.19.0-21-686-pae-di (4.19.249-2)
- MMC/SD card modules
- mmc-modules-4.19.0-21-amd64-di (4.19.249-2)
- MMC/SD card modules
- mmc-modules-4.19.0-21-arm64-di (4.19.249-2)
- MMC/SD card modules
- mmc-modules-4.19.0-21-armmp-di (4.19.249-2)
- MMC/SD card modules
- mmc-utils (0+git20180327.b4fe0c8c-1)
- Userspace tools for MMC/SD devices
- mmdb-bin (1.3.2-1+deb10u1)
- IP geolocation lookup command-line tool
- mmdebstrap (0.4.1-3)
- create a Debian chroot
- mmh (0.4-2)
- set of electronic mail handling programs
- mmllib-tools (0.3.0.post1-1)
- library for handling Music Macro Language (tools)
- mmm-mode (0.5.7-3)
- Multiple Major Mode for Emacs
- mmorph (2.3.4.2-15)
- two-level morphology tool for natural language processing
- mmv (1.01b-19+b1)
- Move/Copy/Append/Link multiple files
- mnemo
- virtueel pakket geboden door php-horde-mnemo
- mnemosyne (2.6.1+ds-1+deb10u1)
- spaced repetition flash-card program
- moap (0.2.7-2)
- Swiss army knife for project maintainers and developers
- moarvm (2018.12+dfsg-3)
- virtual machine for Rakudo Perl 6 and NQP
- moarvm-dev (2018.12+dfsg-3)
- development files for moarvm
- mobile-atlas-creator (2.1.0-1)
- program to create offline atlases for GPS/cell phone applications
- mobile-broadband-provider-info (20170903-1)
- database of mobile broadband service providers
- moblin-cursor-theme (0.3-3)
- moblin cursor theme
- moblin-icon-theme (0.10.0-2)
- icon theme for moblin
- moblin-sound-theme (0.3-1)
- moblin sound theme
- mobyle (1.5.5+dfsg-6)
- Web portal that provides web forms for command-line software
- mobyle-programs (5.1.2-3)
- program descriptions for the mobyle portal
- mobyle-tutorials (1.5.0-4)
- program tutorials for the mobyle portal
- mobyle-utils (1.5.5+dfsg-6)
- binary tools used by Mobyle
- moc (1:2.6.0~svn-r2994-3)
- ncurses based console audio player
- moc-ffmpeg-plugin (1:2.6.0~svn-r2994-3)
- ncurses based console audio player - ffmpeg plugin
- mocassin (2.02.73-1+b1)
- MOnte CArlo SimulationS of Ionised Nebulae
- mocassin-benchmarks (2.02.73-1)
- benchmarks for the photoionisation code MOCASSIN
- mocassin-data (2.02.73-1)
- atomic and optical data for the photoionisation code MOCASSIN
- mocassin-examples (2.02.73-1)
- Examples for the photoionisation code MOCASSIN
- mocha (4.1.0+ds3-5)
- simple, flexible, fun test framework - Node.js module
- mock (1.3.2-2)
- Build rpm packages inside a chroot
- mockgen (1.0.0-1+b11)
- mocking framework for the Go programming language - mockgen
- mod-gearman-doc (1.5.5-1)
- Documentation and examples for Mod-Gearman
- mod-gearman-module (1.5.5-1+b8)
- Nagios/Icinga event broker module for Mod-Gearman
- mod-gearman-tools (1.5.5-1+b8)
- Tools for mod-gearman
- mod-gearman-worker (1.5.5-1+b8)
- Worker agent for Mod-Gearman
- mod-musicindex-common (1.4.1-3)
- Common files for mod-musicindex
- model-builder (0.4.1-6.2)
- graphical ODE simulator
- modem-cmd (1.0.2-3)
- send arbitrary AT commands to your modem
- modem-manager-gui (0.0.19.1-2)
- GUI front-end for ModemManager / Wader / oFono
- modem-manager-gui-help (0.0.19.1-2)
- GUI front-end for ModemManager / Wader / oFono - documentation
- modemmanager (1.10.0-1)
- D-Bus service for managing modems
- modemmanager-dev (1.10.0-1)
- D-Bus service for managing modems - development files
- modemmanager-doc (1.10.0-1)
- D-Bus service for managing modems - documentation files
- modemmanager-qt-dev (5.54.0-1)
- Qt wrapper for ModemManager - devel files
- modestmaps-js-doc (3.3.6+ds2-1)
- display and interaction library for tile-based maps - docs
- modplug-tools (0.5.3-3)
- Modplug playing console tools
- modsecurity-crs (3.2.3-0+deb10u3) [security]
- OWASP ModSecurity Core Rule Set
- module-assistant (0.11.10)
- tool to make module package creation easier
- moka-icon-theme (5.3.6-1)
- Tango-esque desktop icon set called Moka
- mokomaze (0.7.1-1)
- Ball in labyrinth game for the OpenMoko FreeRunner
- mokutil (0.6.0-2~deb10u1)
- tools for manipulating machine owner keys
- molds (0.3.1-1+b7)
- Semi-empirical electronic structure and molecular dynamics
- molly-guard (0.7.1)
- protects machines from accidental shutdowns/reboots
- mom (0.5.12-1)
- Dynamically manage system resources on virtualization hosts
- mon (1.3.3-2)
- monitor hosts/services/whatever and alert about problems
- mon-client (1.2.0-2)
- modules for interfacing with the mon package
- mon-contrib (1.0+dfsg-4)
- contributed tools, monitors and alert for mon
- mona (1.4-17-1+b1)
- theorem prover based on automata
- monajat-applet (4.1-2)
- Islamic supplications tray applet
- monajat-data (4.1-2)
- Islamic supplications database
- monajat-mod (4.1-2)
- Islamic supplications console utility
- monajat-screenlet (4.1-2)
- Islamic supplications screenlet
- mongo-tools (3.4.14-4)
- collection of tools for administering MongoDB servers
- mongodb-dev (2:1.1.3-3)
- MongoDB C++ Driver (transitional package)
- mongoose (1:5.4.0+dfsg-1)
- graph partitioning tool that can quickly compute edge cuts (executable)
- mongrel2-core (1.12.0-2)
- programming language agnostic web server (binaries)
- mongrel2-run (1.12.0-2)
- programming language agnostic web server
- monitoring-plugins (2.2-6)
- Plugins for nagios compatible monitoring systems (metapackage)
- monitoring-plugins-basic (2.2-6)
- Plugins for nagios compatible monitoring systems (basic)
- monitoring-plugins-btrfs (11-2)
- btrfs plugin for nagios compatible monitoring systems
- monitoring-plugins-common (2.2-6)
- Common files for plugins for nagios compatible monitoring
- monitoring-plugins-standard (2.2-6)
- Plugins for nagios compatible monitoring systems (standard)
- monitorix (3.10.1-1)
- lightweight system monitoring tool
- monkeysign (2.2.4)
- OpenPGP key signing and exchange for humans
- monkeysign-doc (2.2.4)
- OpenPGP key signing and exchange for humans (documentation)
- monkeysphere (0.43-3)
- leverage the OpenPGP web of trust for SSH and TLS authentication
- monkeysphere-validation-agent
- virtueel pakket geboden door msva-perl
- mono-4.0-gac (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono GAC tool (for CLI 4.0)
- mono-4.0-service (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono service manager for CLI 4.0
- mono-addins-utils (1.0+git20130406.adcd75b-4)
- Command-line utility for Mono.Addins management
- mono-apache-server (4.2-2.1)
- ASP.NET backend for mod_mono Apache module - default version
- mono-apache-server4 (4.2-2.1)
- ASP.NET 4.5 backend for mod_mono Apache module
- mono-complete (5.18.0.240+dfsg-3+deb10u1) [security]
- complete Mono runtime, development tools and all libraries
- mono-csharp-shell (5.18.0.240+dfsg-3+deb10u1) [security]
- interactive C# shell
- mono-dbg (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono debugging symbols
- mono-devel (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono development tools
- mono-fastcgi-server (4.2-2.1)
- ASP.NET backend for FastCGI webservers - default version
- mono-fastcgi-server4 (4.2-2.1)
- ASP.NET 4.0 backend for FastCGI webservers
- mono-fpm-server (4.2-2.1)
- ASP.NET backend for FastCGI Process Manager
- mono-gac (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono GAC tool
- mono-jay (5.18.0.240+dfsg-3+deb10u1) [security]
- LALR(1) parser generator oriented to Java/CLI
- mono-mcs (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono C# 2.0 / 3.0 / 4.0 / 5.0 compiler for CLI 2.0 / 4.0 / 4.5
- mono-profiler (4.2-3)
- Mono profiler
- mono-runtime (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono runtime - default version
- mono-runtime-boehm (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono runtime - Boehm
- mono-runtime-common (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono runtime - common files
- mono-runtime-dbg (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono runtime, debugging symbols
- mono-runtime-sgen (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono runtime - SGen
- mono-source (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono source code
- mono-tools-devel (4.2-3)
- Various development tools for mono
- mono-tools-gui (4.2-3)
- Various GUI tools for mono
- mono-upnp-bin (0.1.2-2)
- client/server libraries for UPnP -- executables
- mono-utils (5.18.0.240+dfsg-3+deb10u1) [security]
- Mono utilities
- mono-xbuild (5.18.0.240+dfsg-3+deb10u1) [security]
- MSBuild-compatible build system for Mono
- mono-xsp (4.2-2.1)
- simple web server to run ASP.NET applications - default version
- mono-xsp4 (4.2-2.1)
- simple web server to run ASP.NET 4.0 applications
- mono-xsp4-base (4.2-2.1)
- base libraries for XSP 4.0
- monodoc-appindicator3-0.1-manual (12.10.0+git20151221-5)
- compiled XML documentation for Appindicator3#
- monodoc-base (5.18.0.240+dfsg-3+deb10u1) [security]
- shared MonoDoc binaries
- monodoc-db4o-manual (8.0.184.15484+dfsg2-3)
- compiled XML documentation for db4o
- monodoc-gdata-manual (2.2.0.0-2)
- compiled XML documentation for GData
- monodoc-gkeyfile-manual (0.1-5)
- compiled XML documentation for gkeyfile-sharp
- monodoc-gmime2.6-manual (2.6.23+dfsg1-4)
- transitional dummy package for GMime documentation (old 2.6 version)
- monodoc-gtk2.0-manual (2.12.40-2)
- compiled XML documentation for GTK# 2.10
- monodoc-gtk3.0-manual (2.99.3-3)
- compiled XML documentation for GTK# 3.0
- monodoc-gudev-manual (0.1-4)
- compiled XML documentation for gudev-sharp
- monodoc-hexbox-manual (1.5.0-5)
- Hex Edit Control for .NET developers - docs
- monodoc-http (4.2-3)
- MonoDoc http based viewer
- monodoc-hyena-manual (0.5-4)
- compiled XML documentation for Hyena
- monodoc-manual (5.18.0.240+dfsg-3+deb10u1) [security]
- compiled XML documentation from the Mono project
- monodoc-mono-fuse-manual (0.4.2+dfsg-4)
- compiled XML documentation for mono-fuse
- monodoc-mono-upnp-manual (0.1.2-2)
- Compiled XML documentation for mono-upnp
- monodoc-mono-zeroconf-manual (0.9.0-6)
- compiled XML documentation for mono-zeroconf
- monodoc-newtonsoft-json-manual (6.0.8+dfsg-1)
- compiled XML documentation for Json.NET
- monodoc-notify-sharp-manual (0.4.0~r3032-7)
- compiled XML documentation for notify-sharp
- monodoc-notify3.0-manual (3.0.3-3)
- compiled XML documentation for notify-sharp
- monodoc-nunit-manual (2.6.4+dfsg-1)
- compiled XML documentation for NUnit - monodoc manual
- monodoc-opentk-manual (1.1.4c+dfsg-2.1)
- Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Docs
- monodoc-poppler-manual (0.0.3-4)
- compiled XML documentation for Poppler Sharp
- monodoc-soup2.4-manual (2.42.2+git20151219-3)
- compiled XML documentation for Soup# 2.4
- monodoc-taglib-manual (2.1.0.0-4)
- compiled XML documentation for taglib-sharp
- monodoc-taoframework-manual (2.1.svn20090801-14)
- compiled XML documentation for all the Tao libraries
- monodoc-viewer
- virtueel pakket geboden door monodoc-http
- monodoc-webkit2-sharp-4.0-manual (2.10.9+git20160917-1.1)
- compiled XML documentation for WebKit# 4.0
- monodoc-zeitgeist-manual (0.8.0.0-5)
- compiled XML documentation for zeitgeist-sharp
- monopd (0.10.2-3)
- Monopoly game network server
- monster-masher (1.8.1-8)
- GPL'ed mash'em-up action game for GNOME
- monsterz (0.7.1-9+b1)
- arcade puzzle game
- monsterz-data (0.7.1-9)
- graphics and audio data for monsterz
- montage (6.0+dfsg-6)
- Toolkit for assembling FITS images into mosaics
- montage-gridtools (6.0+dfsg-6)
- Create files to run montage on the grid
- montecarlo-base (20061220+dfsg3-3.1)
- [Physics] Common files for CERNLIB Monte Carlo libraries
- montecarlo-data (20061220+dfsg3-3.1)
- [Physics] data for CERNLIB Monte Carlo libraries
- monteverdi (6.6.1+dfsg-1+b1)
- ORFEO Toolbox image processing GUI
- moon-buggy (1:1.0.51-12)
- Drive a car across the moon
- moon-lander (1:1.0-7)
- game based on the classic moon lander
- moon-lander-data (1:1.0-7)
- Data files (sound, images) for moon-lander
- moonshot-gss-eap (1.0.1-6)
- Moonshot Federated Authentication - authentication mechanism
- moonshot-gss-eap-dbg (1.0.1-6)
- Moonshot Federated Authentication - debugging Symbols
- moonshot-ui (1.1.0+libsecret~1)
- Moonshot Identity Selector
- moonshot-ui-dev (1.1.0+libsecret~1)
- Moonshot Identity Development Files
- moosic (1.5.6-1)
- Daemon/client combo to easily queue music files for playing
- mopac7-bin (1.15-6+b3)
- Semi-empirical Quantum Chemistry Library (binaries)
- mopidy (2.2.2-1)
- music server with support for MPD/HTTP clients
- mopidy-alsamixer (1.1.1-1)
- Mopidy extension for extension for ALSA volume control
- mopidy-beets (3.1.0-1)
- Mopidy extension for playing music from Beets' web plugin
- mopidy-dirble (1.3.0-3)
- Mopidy extension for browsing Dirble's radio station directory
- mopidy-dleyna (1.2.2-1)
- Mopidy extension for playing music from Digital Media Servers
- mopidy-doc (2.2.2-1)
- music server with support for MPD/HTTP clients - documentation
- mopidy-internetarchive (2.0.3-1)
- Mopidy extension for playing music from the Internet Archive
- mopidy-local-sqlite (1.0.0-2)
- Mopidy extension for keeping your local library in SQLite
- mopidy-mpris (2.0.0-1)
- Mopidy extension for controlling playback through MPRIS
- mopidy-podcast (2.0.3-1)
- Mopidy extension for searching and browsing podcasts
- mopidy-podcast-itunes (2.0.0-3)
- Mopidy extension for searching and browsing iTunes podcasts
- mopidy-scrobbler (1.2.0-1)
- Mopidy extension for scrobbling music to Last.fm
- mopidy-somafm (1.1.0-1)
- Mopidy extension for playing music from SomaFM
- mopidy-soundcloud (2.1.0-1)
- Mopidy extension for playing music from SoundCloud
- mopidy-tunein (0.4.1-2)
- Mopidy extension for playing music from TuneIn
- morbig (0.9.1-2)
- Parser for POSIX shell scripts
- moreutils (0.62-1)
- additional Unix utilities
- moria (5.7.10+20181022-3)
- Rogue-like game with an infinite dungeon, also known as umoria
- morla (0.16.1-1.2)
- GTK+ RDF editor
- morris (0.2-5+b1)
- Nine men's morris game
- morse (2.5-1+b1)
- training program about morse-code for aspiring radio hams
- morse-simulator (1.4-5)
- Multi-OpenRobot Simulation Engine
- morse-simulator-data (1.4-5)
- Multi-OpenRobot Simulation Engine
- morse-simulator-doc (1.4-5)
- Multi-OpenRobot Simulation Engine - Documentation
- morse2ascii (0.2+dfsg-3)
- tool for decoding the morse codes from a PCM WAV file
- morsegen (0.2.1-1+b1 [armhf], 0.2.1-1 [amd64, arm64, i386])
- convert text file to ASCII morse code
- morty (0.2.0-1+b11)
- Privacy aware web content sanitizer proxy as a service
- mosh (1.3.2-2.1+b1)
- Mobile shell that supports roaming and intelligent local echo
- mosquitto (1.5.7-1+deb10u1)
- MQTT version 3.1/3.1.1 compatible message broker
- mosquitto-clients (1.5.7-1+deb10u1)
- Mosquitto command line MQTT clients
- mosquitto-dev (1.5.7-1+deb10u1)
- Development files for Mosquitto
- most (5.0.0a-4)
- Pager program similar to more and less
- mothur (1.41.21-1)
- sequence analysis suite for research on microbiota
- motif-clients
- virtueel pakket geboden door mwm
- motion (4.1.1-1.1+b2)
- V4L capture program supporting motion detection
- mount (2.33.1-0.1+deb10u1) [security]
- tools for mounting and manipulating filesystems
- mounted-partitions
- virtueel pakket geboden door partman-base
- mountmedia (0.25)
- Mounts media
- mountpy (0.8.1+b2)
- script for quick mounting of removable devices
- mouse-modules
- virtueel pakket geboden door mouse-modules-4.19.0-20-686-di, mouse-modules-4.19.0-20-amd64-di, mouse-modules-4.19.0-21-686-pae-di, mouse-modules-4.19.0-21-amd64-di, mouse-modules-4.19.0-20-686-pae-di, mouse-modules-4.19.0-21-686-di
- mouse-modules-4.19.0-20-686-di (4.19.235-1)
- Mouse support
- mouse-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Mouse support
- mouse-modules-4.19.0-20-amd64-di (4.19.235-1)
- Mouse support
- mouse-modules-4.19.0-21-686-di (4.19.249-2)
- Mouse support
- mouse-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Mouse support
- mouse-modules-4.19.0-21-amd64-di (4.19.249-2)
- Mouse support
- mouseemu (0.15-10+b1)
- Emulate mouse buttons and mouse wheel
- mousepad (0.4.1-2)
- simple Xfce oriented text editor
- mousetrap (1.0c-2)
- Simple game of ball chasing
- mousetweaks (3.12.0-5)
- mouse accessibility enhancements for the GNOME desktop
- movim (0.14.1-5)
- decentralized social network fully based on XMPP
- mozc-data (2.23.2815.102+dfsg-4)
- Mozc input method - data files
- mozc-server (2.23.2815.102+dfsg-4)
- Server of the Mozc input method
- mozc-utils-gui (2.23.2815.102+dfsg-4)
- GUI utilities of the Mozc input method
- mozilla-devscripts (0.53)
- Development scripts used by Mozilla's addons packages
- mozo (1.20.2-1)
- easy MATE menu editing tool
- mp3-decoder
- virtueel pakket geboden door mpg321, opencubicplayer, vlc
- mp3-encoder
- virtueel pakket geboden door opencubicplayer
- mp3blaster (1:3.2.6-1+b1)
- Full-screen console mp3 and Ogg Vorbis player
- mp3cd (1.27.0-3)
- Burns normalized audio CDs from lists of MP3s/WAVs/Oggs/FLACs
- mp3check (0.8.7-3)
- tool to check mp3 files for consistency
- mp3diags (1.2.03-1+b3)
- vindt problemen in MP3-bestanden en helpt ze op te lossen
- mp3fs (0.91-1+b2)
- FUSE filesystem for transcoding FLAC to MP3 on the fly
- mp3info (0.8.5a-1+b3)
- An MP3 technical info viewer and ID3 1.x tag editor
- mp3info-gtk (0.8.5a-1+b3)
- MP3 info viewer and ID3 1.x tag editor -- GTK+ version
- mp3rename (0.6-10)
- Rename mp3 files based on id3tags
- mp3report (1.0.2-4)
- Script to create an HTML report of MP3 files in a directory
- mp3roaster (0.3.0-6)
- Perl hack for burning audio CDs out of MP3/OGG/FLAC/WAV files
- mp3splt (2.6.2+20170630-3)
- split MP3, Ogg Vorbis, or FLAC files without re-encoding
- mp3splt-dbg (2.6.2+20170630-3)
- debugging symbols for mp3splt, mp3splt-gtk and libmp3splt
- mp3splt-gtk (0.9.2-3)
- split MP3, Ogg Vorbis, or FLAC files without re-encoding
- mp3val (0.1.8-4)
- program for MPEG audio stream validation
- mp3wrap (0.5-4)
- Utility for MP3 wrapping (rolling multiple MP3s into one)
- mp4h (1.3.1-17)
- Macro processor for HTML documents
- mpack (1.6-8.2)
- Programma's voor (de)coderen van MIME berichten.
- mpb (1.7.0-5)
- MIT Photonic-Bands
- mpb-dev (1.7.0-5)
- MIT Photonic-Bands development files
- mpb-doc (1.7.0-5)
- MIT Photonic-Bands documentation
- mpb-mpi (1.7.0-5)
- MIT Photonic-Bands, parallel (mpich) version
- mpb-scm (1.7.0-5)
- MIT Photonic-Bands initialisation files
- mpc (0.31-1)
- command-line tool to interface MPD
- mpc-ace (6.4.5+dfsg-1)
- makefile, project, and workspace creator
- mpc123 (0.2.4-5)
- command-line Musepack audio player
- mpd (0.21.5-3)
- Music Player Daemon
- mpd-client
- virtueel pakket geboden door ario, gmpc, sonata, mpc, cantata, ncmpcpp, mpdscribble, mpd-sima, glurp, qmpdclient, ncmpc, mpdris2, mpdcon.app
- mpd-sima (0.14.4-2)
- Automagically add titles to MPD playlist
- mpdas (0.4.5-1)
- AudioScrobbler client for MPD
- mpdcon.app (1.1.99-5+b9)
- MPD controller for GNUstep
- mpdcron (0.3+git20161228-3)
- add scrobbler, rating, play counts and other functionalities to MPD
- mpdris2 (0.8-1)
- media player interface (MPRIS2) bridge for MPD
- mpdscribble (0.22-6)
- Last.fm reporting client for mpd
- mpdtoys (0.25)
- small command line tools and toys for MPD
- mpeg2dec (0.5.1-8)
- Simple libmpeg2 video decoder application
- mpeg3-utils (1.8.dfsg-2.1)
- MPEG streams decoding library
- mpegdemux (0.1.4-4)
- MPEG1/2 system stream demultiplexer
- mpg123 (1.25.10-2)
- MPEG layer 1/2/3 audio player
- mpg123
- virtueel pakket geboden door mpg321
- mpg123-el (1:1.58-1)
- front-end to mpg321/ogg321 media players for Emacs
- mpg123-oss-3dnow
- virtueel pakket geboden door mpg123
- mpg321 (0.3.2-3)
- Simple and lightweight command line MP3 player
- mpgrafic (0.3.18-1)
- MPI version of N-body initial conditions grafic package
- mpgtx (1.3.1-6)
- toolbox to manipulate MPEG files (video, system, and audio)
- mpi
- virtueel pakket geboden door liblam4
- mpi-default-bin (1.13)
- Standard MPI runtime programs (metapackage)
- mpi-default-dev (1.13)
- Standard MPI development files (metapackage)
- mpi-specs (20040719-3) [non-free]
- MPI 1.1 and 2.0 Specifications of MPI Forum
- mpich (3.3-3)
- Implementation of the MPI Message Passing Interface standard
- mpich-doc (3.3-3)
- Documentation for MPICH
- mpikmeans-tools (1.5+dfsg-7)
- Standalone applications for MPIKmeans
- mplayer (2:1.3.0-8+deb10u1) [security]
- movie player for Unix-like systems
- mplayer-doc (2:1.3.0-8+deb10u1) [security]
- documentation for MPlayer
- mplayer-gui (2:1.3.0-8+deb10u1) [security]
- movie player for Unix-like systems (GUI variant)
- mplayer-skin
- virtueel pakket geboden door mplayer-skin-blue
- mplayer-skin-blue (1.11-2)
- Blue skin for MPlayer
- mpop (1.4.3-1)
- POP3 mail retriever
- mpop-gnome (1.4.3-1)
- POP3 mail retriever - with GNOME keyring support
- mppenc (1.16-1.1+b1)
- Musepack lossy audio codec encoder
- mpqc (2.3.1-19)
- Massively Parallel Quantum Chemistry Program
- mpqc-support (2.3.1-19)
- Massively Parallel Quantum Chemistry Program (support tools)
- mpqc3 (0.0~git20170114-4.1)
- Massively Parallel Quantum Chemistry Program
- mpqc3-data (0.0~git20170114-4.1)
- Massively Parallel Quantum Chemistry Program (data files)
- mpris-remote (0.0~1.gpb7c7f5c6-1.1)
- command-line interface for mpris compatible media players
- mpt-status (1.2.0-8+b1)
- get RAID status out of mpt (and other) HW RAID controllers
- mptp (0.2.4-1)
- single-locus species delimitation
- mpv (0.29.1-1+deb10u1) [security]
- video player based on MPlayer/mplayer2
- mr
- virtueel pakket geboden door myrepos
- mrb (0.3)
- Manage incremental data snapshots with make/rsync
- mrbayes (3.2.6+dfsg-2+b1)
- Bayesian Inference of Phylogeny
- mrbayes-doc (3.2.6+dfsg-2)
- Bayesian Inference of Phylogeny - manual
- mrbayes-mpi (3.2.6+dfsg-2+b1)
- Bayesian Inference of Phylogeny - mpi version
- mrboom (4.7-1)
- 8 player Bomberman
- mrd6 (0.9.6-13+b1)
- IPv6 Multicast Routing Daemon
- mrename (1.2-13)
- tool for easy and automatic renaming of many files
- mriconvert (1:2.1.0-3)
- medical image file conversion utility
- mricron (0.20140804.1~dfsg.1-3)
- magnetic resonance image conversion, viewing and analysis
- mricron-data (0.20140804.1~dfsg.1-3)
- data files for MRIcron
- mricron-doc (0.20140804.1~dfsg.1-3)
- data files for MRIcron
- mrmpi-doc (1.0~20140404-2)
- Implements MapReduce operation on top of standard MPI message - doc
- mrpt-apps (1:1.5.6-1+b1)
- Mobile Robot Programming Toolkit - Console and GUI applications
- mrpt-common (1:1.5.6-1)
- Mobile Robot Programming Toolkit - Example datasets and files
- mrpt-doc (1:1.5.6-1)
- Mobile Robot Programming Toolkit - Documentation and examples
- mrrescue (1.02e-1)
- Mr Rescue is an arcade 2d action game
- mrs (6.0.5+dfsg-7+b2)
- Information Retrieval System for Biomedical databanks
- mrtdreader (0.1.6-3)
- Reader for machine-readable travel documents (MRTDs / passports)
- mrtg (2.17.7-1)
- multi router traffic grapher
- mrtg-contrib (2.17.7-1)
- multi router traffic grapher (contributed files)
- mrtg-ping-probe (2.2.0-2)
- Ping module for Multi Router Traffic Grapher
- mrtgutils (0.8.3+b2)
- Utilities to generate statistics for mrtg
- mrtgutils-sensors (0.8.3+b2)
- Utilities to generate statistics for mrtg (from lm-sensors)
- mrtparse (1.6-1)
- read and analyze the MRT format data (utilities)
- mrtrix (0.2.13-2)
- diffusion-weighted MRI white matter tractography
- mrtrix-doc (0.2.13-2)
- documentation for mrtrix
- mrtrix3 (3.0~rc3+git135-g2b8e7d0c2-3)
- diffusion-weighted MRI white matter tractography
- mrtrix3-doc (3.0~rc3+git135-g2b8e7d0c2-3)
- documentation for mrtrix3
- mruby (2.0.0-1)
- lightweight implementation of the Ruby language
- mscgen (0.20-12)
- Message Sequence Chart (MSC) generator
- mscompress (0.4-3+b1)
- Microsoft "compress.exe/expand.exe" compatible (de)compressor
- mscore
- virtueel pakket geboden door musescore
- mscore-common
- virtueel pakket geboden door musescore-common
- mseed2sac (2.2+ds1-4)
- Convert MiniSEED time series data to SAC
- msgp (1.0.2-2+b10)
- Go code generator for MessagePack
- msgpack-python
- virtueel pakket geboden door python-msgpack
- msi-keyboard (1.1-2+b1)
- command line tool to change MSI steelseries keyboards color setup
- msitools (0.98-1)
- Windows Installer file manipulation tool
- msktutil (1.0-1+b1)
- Utility for interoperability with Active Directory
- msmtp (1.8.3-1)
- light SMTP client with support for server profiles
- msmtp-gnome (1.8.3-1)
- light SMTP client with support for server profiles - with GNOME keyring support
- msmtp-mta (1.8.3-1)
- light SMTP client with support for server profiles - the regular MTA
- msort (8.53-2.2+b2)
- utility for sorting records in complex ways
- msort-gui (8.53-2.2)
- tcl/tk gui for msort utility
- msp430mcu (20120406-2)
- Spec files, headers and linker scripts for TI's MSP430 targets
- mspdebug (0.22-2+b2)
- debugging tool for MSP430 microcontrollers
- msr-tools (1.3-3)
- Utilities for modifying MSRs from userspace
- msrtool (1:20141027-1.1)
- Dump chipset-specific MSR contents
- mssh (2.2-5)
- tool to administrate multiple servers at once
- mssstest (3.0-7) [non-free]
- Normalisation of disease scores for patients with Multiple Sclerosis
- mstflint (4.11.0+1-1)
- Mellanox firmware burning application and diagnostics tools
- msttcorefonts
- virtueel pakket geboden door ttf-mscorefonts-installer
- msva-perl (0.9.2-1)
- Cryptographic identity validation agent (Perl implementation)
- mswatch (1.2.0-2.2)
- watch mailstores for changes and initiate mailbox syncs - client tools
- msx-emulator
- virtueel pakket geboden door openmsx
- mt-st (1.3-2)
- Linux SCSI tape driver aware magnetic tape control (aka mt)
- mtail (3.0.0~rc19-2)
- Extract monitoring data from logs for collection in a timeseries database
- mtd-core-modules
- virtueel pakket geboden door mtd-core-modules-4.19.0-21-686-di, kernel-image-4.19.0-21-armmp-di, mtd-core-modules-4.19.0-21-arm64-di, mtd-core-modules-4.19.0-20-686-pae-di, mtd-core-modules-4.19.0-20-arm64-di, mtd-core-modules-4.19.0-21-amd64-di, mtd-core-modules-4.19.0-21-686-pae-di, mtd-core-modules-4.19.0-20-686-di, kernel-image-4.19.0-20-armmp-di, mtd-core-modules-4.19.0-20-amd64-di
- mtd-core-modules-4.19.0-20-686-di (4.19.235-1)
- MTD core
- mtd-core-modules-4.19.0-20-686-pae-di (4.19.235-1)
- MTD core
- mtd-core-modules-4.19.0-20-amd64-di (4.19.235-1)
- MTD core
- mtd-core-modules-4.19.0-20-arm64-di (4.19.235-1)
- MTD core
- mtd-core-modules-4.19.0-21-686-di (4.19.249-2)
- MTD core
- mtd-core-modules-4.19.0-21-686-pae-di (4.19.249-2)
- MTD core
- mtd-core-modules-4.19.0-21-amd64-di (4.19.249-2)
- MTD core
- mtd-core-modules-4.19.0-21-arm64-di (4.19.249-2)
- MTD core
- mtd-modules
- virtueel pakket geboden door mtd-modules-4.19.0-21-armmp-di, mtd-modules-4.19.0-20-armmp-di
- mtd-modules-4.19.0-20-armmp-di (4.19.235-1)
- MTD driver modules
- mtd-modules-4.19.0-21-armmp-di (4.19.249-2)
- MTD driver modules
- mtd-tools
- virtueel pakket geboden door mtd-utils
- mtd-utils (1:2.0.1-1)
- Memory Technology Device Utilities
- mtdev-tools (1.1.5-1+b1)
- Multitouch Protocol Translation Library - test tools
- mtink (1.0.16-10)
- Status monitor tool for Epson inkjet printers
- mtink-doc (1.0.16-10)
- Status monitor tool for Epson inkjet printers - documentation
- mtkbabel (0.8.3.1-1.1)
- Tool for managing i-Blue 747 and compatible GPS data loggers
- mtools (4.0.23-1)
- Tools for manipulating MSDOS files
- mtp-tools (1.1.16-2)
- Media Transfer Protocol (MTP) library tools
- mtpaint (3.40-3+b1 [amd64], 3.40-3 [arm64, armhf, i386])
- painting program to create pixel art and manipulate digital photos
- mtpolicyd (2.03-1)
- modular policy daemon for postfix
- mtr (0.92-2)
- Full screen ncurses and X11 traceroute tool
- mtr-tiny (0.92-2)
- Full screen ncurses traceroute tool
- mtree-netbsd (20180822-4)
- Validates directory tree against specification
- mtx (1.3.12-12)
- controls tape autochangers
- mu-cade (0.11.dfsg1-12+b1)
- physics centipede invasion, smashup waggly shmup
- mu-cade-data (0.11.dfsg1-12)
- physics centipede invasion - game data
- mu-cite (8.1+0.20180823-1)
- message citation utility for emacsen
- mu-editor (1.0.2+dfsg-3)
- simple editor for beginner Python programmers
- mu-editor-doc (1.0.2+dfsg-3)
- simple editor for beginner Python programmers (documentation)
- mu4e (1.0-6)
- e-mail client for Emacs based on mu (maildir-utils)
- muchsync (5-1)
- synchronize maildirs and notmuch databases
- mudita24 (1.0.3+svn13-6)
- ALSA GUI control tool for Envy24 (ice1712) soundcards
- mudlet (1:3.7.1-1.1)
- Graphical MUD client with fast lua scripting support
- mueller7-dict (2002.02.27-10)
- Mueller English/Russian dictionary in dict format
- mueller7accent-dict (2002.02.27-10)
- Mueller English/Russian dictionary with accents in dict format
- mueval (0.9.3-4+b1)
- Safely evaluate pure Haskell expressions - executables
- muffin (3.8.2-1)
- lightweight window and compositing manager
- muffin-common (3.8.2-1)
- lightweight window and compositing manager (data files)
- muffin-doc (3.8.2-1)
- lightweight window and compositing manager (Documentation)
- multex-base (1.0-1.1)
- basic MulTeX library files
- multiarch-support (2.28-10+deb10u3) [security]
- Transitional package to ensure multiarch compatibility
- multiboot (0.6.96+20101113-2)
- The Multiboot specification
- multiboot-doc (0.97-75)
- The Multiboot specification (dummy package)
- multicat (2.3-1)
- Set of tools for multicast and transport stream manipulation
- multimail (0.49-2+b4)
- Offline reader for Blue Wave, QWK, OMEN and SOUP
- multimedia-ambisonics (0.7)
- Packages for working with ambisonics (3D surround sound)
- multimedia-animation (0.7)
- Packages for creating animations
- multimedia-audio-plugins (0.7)
- Audio processing plugins, synths and virtual instruments
- multimedia-audio-utilities (0.7)
- packages for manipulating & cataloging music and audio
- multimedia-broadcasting (0.7)
- Packages for broadcasting media publicly or in the home
- multimedia-csound (0.7)
- Packages for working with Csound
- multimedia-devel (0.7)
- Extra packages for developers and package compiling
- multimedia-djing (0.7)
- Packages for Disk Jockeys
- multimedia-drums (0.7)
- Packages for drums & beats
- multimedia-firewire (0.7)
- Packages for your firewire audiocard / interface
- multimedia-graphics (0.7)
- Packages for making and editing artwork
- multimedia-guitar (0.7)
- Packages for playing with your (virtual) guitar gear
- multimedia-jack (0.7)
- JACK (Jack Audio Connection Kit) and ALSA related packages
- multimedia-ladi (0.7)
- LADI, Linuxaudio session management, packages
- multimedia-looping (0.7)
- Packages for looping music and audio
- multimedia-midi (0.7)
- MIDI related packages
- multimedia-mixing (0.7)
- Packages for mixing and mastering your music
- multimedia-musiciantools (0.7)
- Packages for musicians
- multimedia-photography (0.7)
- Packages for working with digital photography
- multimedia-players (0.7)
- Packages which makes your multimedia tools complete
- multimedia-puredata (0.7)
- Packages for working with Pure Data
- multimedia-recording (0.7)
- Packages for audio recording
- multimedia-samplers (0.7)
- Software samplers
- multimedia-soundsynthesis (0.7)
- Packages for sound synthesis
- multimedia-supercollider (0.7)
- Packages for working with SuperCollider
- multimedia-tasks (0.7)
- Debian Multimedia tasks for tasksel
- multimedia-video (0.7)
- Video packages
- multimon (1.0-7.1+b1)
- Linux Radio Transmission Decoder
- multimon-ng (1.1.7+dfsg-1)
- digital radio transmission decoder
- multipath-modules
- virtueel pakket geboden door multipath-modules-4.19.0-21-686-pae-di, multipath-modules-4.19.0-21-686-di, multipath-modules-4.19.0-20-armmp-di, multipath-modules-4.19.0-21-amd64-di, multipath-modules-4.19.0-20-686-di, multipath-modules-4.19.0-20-amd64-di, multipath-modules-4.19.0-20-arm64-di, multipath-modules-4.19.0-21-arm64-di, multipath-modules-4.19.0-21-armmp-di, multipath-modules-4.19.0-20-686-pae-di
- multipath-modules-4.19.0-20-686-di (4.19.235-1)
- Multipath support
- multipath-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Multipath support
- multipath-modules-4.19.0-20-amd64-di (4.19.235-1)
- Multipath support
- multipath-modules-4.19.0-20-arm64-di (4.19.235-1)
- Multipath support
- multipath-modules-4.19.0-20-armmp-di (4.19.235-1)
- Multipath support
- multipath-modules-4.19.0-21-686-di (4.19.249-2)
- Multipath support
- multipath-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Multipath support
- multipath-modules-4.19.0-21-amd64-di (4.19.249-2)
- Multipath support
- multipath-modules-4.19.0-21-arm64-di (4.19.249-2)
- Multipath support
- multipath-modules-4.19.0-21-armmp-di (4.19.249-2)
- Multipath support
- multipath-tools (0.7.9-3+deb10u2) [security]
- maintain multipath block device access
- multipath-tools-boot (0.7.9-3+deb10u2) [security]
- Support booting from multipath devices
- multipath-udeb (0.7.9-3+deb10u1)
- maintain multipath block device access - udeb package
- multistrap (2.2.10)
- multiple repository bootstrap based on apt
- multitail (6.4.2-3+b1)
- view multiple logfiles windowed on console
- multitee (3.0-6+b1)
- send multiple inputs to multiple outputs
- multitime (1.4-1)
- time-like tool which does multiple runs
- multiwatch (1.0.0-rc1+really1.0.0-1+b1)
- Forks and watches multiple instances of a program
- mumble (1.3.0~git20190125.440b173+dfsg-2+deb10u1)
- Low latency encrypted VoIP client
- mumble-server (1.3.0~git20190125.440b173+dfsg-2+deb10u1)
- Low latency encrypted VoIP server
- mummer (3.23+dfsg-4)
- Efficient sequence alignment of full genomes
- mummer-doc (3.23+dfsg-4)
- Documentation for MUMmer
- mumps
- virtueel pakket geboden door fis-gtm-6.3-007, fis-gtm
- mumps-test (5.1.2-4+b2)
- Example/test binaries using MUMPS
- mumudvb (1.7.1-1+b1)
- multicasts all channels of a DVB transponder on a network
- munge (0.5.13-2)
- authentication service to create and validate credentials
- munin (2.0.49-1)
- network-wide graphing framework (grapher/gatherer)
- munin-async (2.0.49-1)
- network-wide graphing framework (async master/client)
- munin-common (2.0.49-1)
- network-wide graphing framework (common)
- munin-doc (2.0.49-1)
- network-wide graphing framework (documentation)
- munin-libvirt-plugins (0.0.6-1)
- Munin plugins using libvirt
- munin-node (2.0.49-1)
- network-wide graphing framework (node)
- munin-node-c (0.0.13-1)
- C rewrite of Munin node
- munin-plugins
- virtueel pakket geboden door munin-plugins-core
- munin-plugins-btrfs (11-2)
- munin plugin for monitoring btrfs filesystems
- munin-plugins-c (0.0.13-1)
- C rewrite of some Munin plugins
- munin-plugins-core (2.0.49-1)
- network-wide graphing framework (plugins for node)
- (2.0.49-1)
- network-wide graphing framework (user contributed plugins for node)
- munin-plugins-java (2.0.49-1)
- network-wide graphing framework (java plugins for node)
- munipack (0.5.11-2)
- Astronomical photometry software package
- munipack-cli (0.5.11-2)
- Command line interface of Munipack
- munipack-core (0.5.11-2)
- Core routines of Munipack
- munipack-doc (0.5.11-2)
- Documentation of Munipack
- munipack-gui (0.5.11-2)
- Graphical user interface of Munipack
- muon (4:5.8.0-1)
- graphical package manager
- mupdf (1.14.0+ds1-4+deb10u3)
- lightweight PDF viewer
- mupdf-tools (1.14.0+ds1-4+deb10u3)
- command line tools for the MuPDF viewer
- mupen64plus-audio
- virtueel pakket geboden door mupen64plus-audio-sdl
- mupen64plus-audio-abi-2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-audio-all (2.5+4)
- plugin-based Nintendo 64 emulator, audio plugins
- mupen64plus-audio-sdl (2.5-4)
- sdl audio output plugin for mupen64plus
- mupen64plus-config-abi-2.2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-config-abi-2.3
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-data (2.5-5)
- plugin-based Nintendo 64 emulator, data files
- mupen64plus-debug-abi-2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-frontend-abi-2.1.1
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-gfx-abi-2.1
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-gfx-abi-2.2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-input
- virtueel pakket geboden door mupen64plus-input-sdl
- mupen64plus-input-abi-2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-input-all (2.5+4)
- plugin-based Nintendo 64 emulator, input plugins
- mupen64plus-input-sdl (2.5-4)
- sdl input device plugin for mupen64plus
- mupen64plus-qt (1.12-1)
- customizable launcher for Mupen64Plus
- mupen64plus-rsp
- virtueel pakket geboden door mupen64plus-rsp-z64, mupen64plus-rsp-hle
- mupen64plus-rsp-abi-2
- virtueel pakket geboden door libmupen64plus2
- mupen64plus-rsp-all (2.5+4)
- plugin-based Nintendo 64 emulator, rsp plugins
- mupen64plus-rsp-hle (2.5-4)
- high-level rsp emulation for mupen64plus
- mupen64plus-rsp-z64 (2.0.0+12+g5a0a580-4)
- low-level rsp emulation for mupen64plus
- mupen64plus-ui
- virtueel pakket geboden door mupen64plus-ui-console
- mupen64plus-ui-console (2.5-4)
- console frontend for mupen64plus
- mupen64plus-video
- virtueel pakket geboden door mupen64plus-video-arachnoid, mupen64plus-video-z64, mupen64plus-video-glide64mk2, mupen64plus-video-rice, mupen64plus-video-glide64
- mupen64plus-video-all (2.5+4)
- plugin-based Nintendo 64 emulator, video plugins
- mupen64plus-video-arachnoid (2.0.0+13+g911d3b8-4)
- Arachnoid high-level graphics emulation for mupen64plus
- mupen64plus-video-glide64 (2.0.0+33+g764d9fe-5)
- Glide64 high-level graphics emulation for mupen64plus
- mupen64plus-video-glide64mk2 (2.5-6+b1)
- Glide64Mk2 high-level graphics emulation for mupen64plus
- mupen64plus-video-rice (2.5-5)
- Rice's high-level graphics emulation for mupen64plus
- mupen64plus-video-z64 (2.0.0+13+g72af4f0-7)
- low-level rdp emulation for mupen64plus
- mupen64plus-vidext-abi-3
- virtueel pakket geboden door libmupen64plus2
- murano-agent (1:3.5.1-3)
- cloud-ready application catalog - VM agent
- murano-api (1:6.0.0-2)
- cloud-ready application catalog - API server
- murano-cfapi (1:6.0.0-2)
- cloud-ready application catalog - Cloud Foundry broker
- murano-common (1:6.0.0-2)
- cloud-ready application catalog - common files
- murano-doc (1:6.0.0-2)
- cloud-ready application catalog - doc
- murano-engine (1:6.0.0-2)
- cloud-ready application catalog - Engine server
- murasaki (1.68.6-8+b1)
- homology detection tool across multiple large genomes
- murasaki-common (1.68.6-8)
- homology detection tool across multiple large genomes (common files)
- murasaki-mpi (1.68.6-8+b1)
- homology detection tool across multiple large genomes (MPI-version)
- muroar-bin (0.1.13-4)
- minimalist RoarAudio client library (binary package)
- muroard (0.1.14-6)
- minimalist RoarAudio sound daemon
- muroard-dev (0.1.14-6)
- minimalist RoarAudio sound daemon (development files)
- murrine-themes (0.98.11)
- themes for gtk2 murrine engine
- muscle (1:3.8.1551-2)
- Multiple alignment program of protein sequences
- muscle-doc
- virtueel pakket geboden door muscle
- muse (3.0.2+ds1-1)
- Qt-based audio/MIDI sequencer
- muse-el (3.20+dfsg-4)
- Transition Package, muse-el to elpa-muse
- musepack-tools (2:0.1~r495-1+b2)
- MusePack commandline utilities
- musescore (2.3.2+dfsg2-7~deb10u1)
- cross-platform multi-lingual music composition and notation
- musescore-common (2.3.2+dfsg2-7~deb10u1)
- MuseScore (music composition and notation) shared files
- musescore-compatible-soundfont
- virtueel pakket geboden door musescore-general-soundfont, fluidr3mono-gm-soundfont, musescore-general-soundfont-lossless, musescore-general-soundfont-small
- musescore-general-soundfont (0.1.4-1)
- General SoundFont from MuseScore (full version)
- musescore-general-soundfont
- virtueel pakket geboden door musescore-general-soundfont-small
- musescore-general-soundfont-lossless (0.1.4-1)
- General SoundFont from MuseScore (uncompressed)
- musescore-general-soundfont-small (0.1.4-1)
- General SoundFont from MuseScore (light version)
- music-bin (1.0.7-4+b1)
- Multi-Simulation Coordinator for MPI -- Utilities
- music-doc (1.0.7-4)
- Multi-Simulation Coordinator for MPI -- Manual
- music123 (16.4-3+b1)
- Command-line shell for sound-file players
- musiclibrarian (1.6-2.2)
- A simple GUI tool to organize collections of music
- musique (1.1-2.1+b1)
- Simple but sophisticated graphical music player
- musixtex
- virtueel pakket geboden door texlive-music
- musl (1.1.21-2)
- standard C library
- musl-dev (1.1.21-2)
- standard C library development files
- musl-tools (1.1.21-2)
- standard C library tools
- mussh (1.0-1)
- MUltihost SSH Wrapper
- mussort (0.4-3)
- simple tool that sorts a music collection
- mustang (3.2.3-3)
- multiple structural alignment of proteins
- mustang-testdata (3.2.3-3)
- multiple structural alignment of proteins, test data
- mutrace (0.2.0-3)
- mutex and realtime memory allocation profiling tools
- mutt (1.10.1-2.1+deb10u7) [security]
- text-based mailreader supporting MIME, GPG, PGP and threading
- mutt-vc-query (004-1)
- vCard query utility for mutt
- muttdown (0.3.3-1) [contrib]
- Compiles annotated text mail into html using the Markdown standard
- mutter (3.30.2-9~deb10u1)
- lightweight GTK+ window manager
- mutter-common (3.30.2-9~deb10u1)
- shared files for the Mutter window manager
- muttprint (0.73-8)
- Pretty printing of mails
- muttprint-manual (0.73-8)
- Manual for muttprint
- muttprofile (1.0.1-5)
- utility to choose profiles in Mutt
- mvs
- virtueel pakket geboden door libwww-mediawiki-client-perl
- mwaw2epub (0.9.6-2)
- old Mac formats to EPUB converter
- mwaw2odf (0.9.6-2)
- old Mac formats to OpenDocument converter
- mwc (2.0.4-3)
- Powerful website-tracking tool
- mwic (0.7.7-1)
- spell-checker to find misspellings and to show their contexts
- mwm (2.3.8-2)
- Motif Window Manager
- mwrap (0.33-9)
- Octave/MATLAB mex generator
- mxt-app (1.28-2)
- Command line utility for maXTouch devices
- mycli (1.16.0-1)
- CLI for MySQL/MariaDB
- mydumper (0.9.5-1+deb10u1)
- High-performance MySQL backup tool
- mydumper-doc (0.9.5-1+deb10u1)
- High-performance MySQL backup tool - documentation
- mygui-doc (3.2.2+dfsg-2)
- API documentations for MyGUI library
- myhdl-cosimulation (0.10-2)
- MyHDL cosimulation files
- myhdl-doc (0.10-2)
- Hardware description generating framework (common documentation)
- myhungarian
- virtueel pakket geboden door myspell-hu
- mylvmbackup (0.15-1.1)
- quickly creating backups of MySQL server's data files
- (0.6.1-1)
- pager for MySQL/PostgreSQL command line clients
- mypaint (1.2.0-4.1)
- paint program for use with graphics tablets
- mypaint-brushes (1.3.0-1.1)
- brushes for paint apps
- mypaint-data (1.2.0-4.1)
- runtime data files for MyPaint
- (1.2.0-4.1)
- high resolution backgrounds for mypaint
- myproxy (6.2.4-1)
- Credential Management Service Client
- myproxy-admin (6.2.4-1)
- Credential Management Service Administration Tools
- myproxy-server (6.2.4-1)
- Credential Management Service Server
- mypy (0.670-2)
- optional static typing for Python
- mypy-doc (0.670-2)
- documentation for mypy
- myrepos (1.20180726)
- tool to manage all your version control repos
- myrescue (0.9.8-1)
- rescue data from damaged disks
- mysecureshell (2.0-2+b1)
- SFTP Server with ACL
- myspell-an
- virtueel pakket geboden door hunspell-an
- myspell-bg (4.1-7)
- transitional dummy package
- myspell-cs (20040229-5.2)
- Czech dictionary for myspell
- myspell-cs-cz
- virtueel pakket geboden door myspell-cs
- myspell-da (1.6.36-11)
- The Comprehensive Danish Dictionary (DSDO) - myspell
- myspell-de-at (20161207-7)
- transitional dummy package for hunspell-de-at
- myspell-de-ch (20161207-7)
- transitional dummy package for hunspell-de-ch
- myspell-de-de (20161207-7)
- transitional dummy package for hunspell-de-de
- myspell-de-de-1901 (1:2-35)
- Traditional German dictionary for myspell
- myspell-dictionary
- virtueel pakket geboden door myspell-uk, myspell-de-de-1901, myspell-da, myspell-eo, myspell-he, myspell-tl, myspell-et, myspell-sk, myspell-nn, myspell-fo, myspell-hy, myspell-gv, hunspell-kk, myspell-nb, myspell-hu, myspell-sq, myspell-gd, myspell-fa, myspell-cs, myspell-fr-gut, myspell-fr, myspell-en-au, myspell-ga, myspell-es
- myspell-dictionary-cs
- virtueel pakket geboden door myspell-cs
- myspell-dictionary-da
- virtueel pakket geboden door myspell-da
- myspell-dictionary-de
- virtueel pakket geboden door myspell-de-de-1901
- myspell-dictionary-el
- virtueel pakket geboden door myspell-el-gr
- myspell-dictionary-en
- virtueel pakket geboden door myspell-en-au
- myspell-dictionary-eo
- virtueel pakket geboden door myspell-eo
- myspell-dictionary-es
- virtueel pakket geboden door myspell-es
- myspell-dictionary-et
- virtueel pakket geboden door myspell-et
- myspell-dictionary-fa
- virtueel pakket geboden door myspell-fa
- myspell-dictionary-fo
- virtueel pakket geboden door myspell-fo
- myspell-dictionary-fr
- virtueel pakket geboden door myspell-fr-gut, myspell-fr
- myspell-dictionary-ga
- virtueel pakket geboden door myspell-ga
- myspell-dictionary-gd
- virtueel pakket geboden door myspell-gd
- myspell-dictionary-gv
- virtueel pakket geboden door myspell-gv
- myspell-dictionary-he
- virtueel pakket geboden door myspell-he
- myspell-dictionary-hu
- virtueel pakket geboden door myspell-hu
- myspell-dictionary-hy
- virtueel pakket geboden door myspell-hy
- myspell-dictionary-kk
- virtueel pakket geboden door hunspell-kk
- myspell-dictionary-nb
- virtueel pakket geboden door myspell-nb
- myspell-dictionary-nn
- virtueel pakket geboden door myspell-nn
- myspell-dictionary-sk
- virtueel pakket geboden door myspell-sk
- myspell-dictionary-sq
- virtueel pakket geboden door myspell-sq
- myspell-dictionary-tl
- virtueel pakket geboden door myspell-tl
- myspell-dictionary-uk
- virtueel pakket geboden door myspell-uk
- myspell-el-gr (0.9-1)
- Greek (el_GR) dictionary for myspell
- myspell-en-au (2.1-5.4)
- English_australian dictionary for myspell
- myspell-eo (2.1.2000.02.25-57)
- Esperanto woordenboek voor myspell
- myspell-es (1.11-15)
- Spanish dictionary for myspell
- myspell-et (1:20030606-30)
- Estonian dictionary for MySpell
- myspell-eu-es
- virtueel pakket geboden door hunspell-eu
- myspell-fa (0.20070816-3.1)
- Persian (Farsi) dictionary for myspell
- myspell-fo (0.4.2-13)
- Faroese dictionary for myspell
- myspell-fo-fo
- virtueel pakket geboden door myspell-fo
- myspell-fr (1.4-27)
- French dictionary for myspell (Hydro-Quebec version)
- myspell-fr-fr
- virtueel pakket geboden door myspell-fr-gut
- myspell-fr-gut (1:1.0-32)
- French dictionary for myspell (GUTenberg version)
- myspell-ga (2.0-27)
- Irish (Gaeilge) dictionary for OpenOffice and Mozilla
- myspell-gd (0.50-13)
- Scots Gaelic dictionary for myspell
- myspell-gv (0.50-16)
- Manx Gaelic dictionary for myspell
- myspell-he (1.4-3)
- Hebrew dictionary for myspell
- myspell-hr (1:6.2.0-1)
- Croatian dictionary for hunspell - dummy transitional package
- myspell-hu (1.6.1-2)
- Hungarian dictionary for myspell
- myspell-hy (0.20.0-2)
- Armenian dictionary for myspell
- myspell-lv (1.3.0-5)
- transitional package
- myspell-nb (2.2-4)
- Norwegian Bokmål dictionary for myspell
- myspell-nl
- virtueel pakket geboden door hunspell-nl
- myspell-nn (2.2-4)
- Norwegian Nynorsk dictionary for myspell
- myspell-pl (1:6.2.0-1)
- Polish dictionary for hunspell - dummy transitional package
- myspell-pt (20091013-16)
- Portuguese dictionaries for Hunspell
- myspell-pt-br (20131030-12)
- transitional dummy package
- myspell-pt-pt (20091013-16)
- transitional dummy package
- myspell-ru (0.99g5-23)
- transitional dummy package
- myspell-sk (0.5.5a-2.3)
- Slovak dictionary for myspell
- myspell-sq (1.6.4-1)
- Albanian dictionary for myspell
- myspell-sv-se (1:6.2.0-1)
- Swedish dictionary for hunspell - dummy transitional package
- myspell-tl (0.4-0-18)
- Tagalog dictionary for myspell/hunspell
- myspell-tools (1:3.1-24.2+b1)
- tools for myspell
- myspell-uk (1.7.1-2)
- Ukrainian dictionary for myspell
- mysql-common (5.8+1.0.5)
- MySQL database common files, e.g. /etc/mysql/my.cnf
- mysql-common-5.6
- virtueel pakket geboden door mysql-common
- mysql-sandbox (3.2.05-1)
- Install and set up one or more MySQL server instances easily
- mysqltcl (3.052-3+b1)
- interface to the MySQL database for the Tcl language
- mysqltuner (1.7.13-1)
- high-performance MySQL tuning script
- mysqmail (0.4.9-10.2)
- real-time logging system in MySQL
- mysqmail-courier-logger (0.4.9-10.2+b1)
- real-time logging system in MySQL - Courier traffic-logger
- mysqmail-dovecot-logger (0.4.9-10.2+b1)
- real-time logging system in MySQL - Dovecot traffic-logger
- mysqmail-postfix-logger (0.4.9-10.2+b1)
- real-time logging system in MySQL - Postfix traffic-logger
- mysqmail-pure-ftpd-logger (0.4.9-10.2+b1)
- real-time logging system in MySQL - Pure-FTPd traffic-logger
- mythes-ar (1:6.2.0-1)
- Arabic Thesaurus for LibreOffice
- mythes-bg (1:6.2.0-1)
- Bulgarian Thesaurus for LibreOffice
- mythes-ca (1:6.2.0-1)
- Catalan Thesaurus for LibreOffice
- mythes-cs (1:6.2.0-1)
- Czech Thesaurus for LibreOffice
- mythes-da (1:6.2.0-1)
- Danish Thesaurus for LibreOffice
- mythes-de (20160424-3)
- German Thesaurus for OpenOffice.org/LibreOffice
- mythes-de-ch (20160424-3)
- German Thesaurus for OpenOffice.org/LibreOffice (Swiss Version)
- mythes-en-au (2.1-5.4)
- Australian English Thesaurus for OpenOffice.org
- mythes-en-us (1:6.2.0-1)
- English (USA) Thesaurus for LibreOffice
- mythes-es (1:6.2.0-1)
- Spanish Thesaurus for LibreOffice
- mythes-fr (1:6.2.0-1)
- French Thesaurus for LibreOffice
- mythes-gl (1:6.2.0-1)
- Galician Thesaurus for LibreOffice
- mythes-gug (1:6.2.0-1)
- Guarani Thesaurus for LibreOffice
- mythes-hu (1:6.2.0-1)
- Hungarian Thesaurus for LibreOffice
- mythes-id (1:6.2.0-1)
- Indonesian Thesaurus for LibreOffice
- mythes-is (1:6.2.0-1)
- Icelandic Thesaurus for LibreOffice
- mythes-it (1:6.2.0-1)
- Italian Thesaurus for LibreOffice
- mythes-lv (1:6.2.0-1)
- Latvian Thesaurus for LibreOffice
- mythes-ne (1:6.2.0-1)
- Nepalese Thesaurus for LibreOffice
- mythes-no (1:6.2.0-1)
- Norwegian Thesaurus for LibreOffice
- mythes-pl (1.5-4)
- Polish thesaurus for LibreOffice/OpenOffice.org
- mythes-pt-pt (1:6.2.0-1)
- Portuguese Thesaurus for LibreOffice
- mythes-ro (1:6.2.0-1)
- Romanian Thesaurus for LibreOffice
- mythes-ru (1:6.2.0-1)
- Russian Thesaurus for LibreOffice
- mythes-sk (1:6.2.0-1)
- Slovak Thesaurus for LibreOffice
- mythes-sl (1:6.2.0-1)
- Slovene Thesaurus for LibreOffice
- mythes-sv (1:6.2.0-1)
- Swedish Thesaurus for LibreOffice
- mythes-thesaurus
- virtueel pakket geboden door mythes-cs, mythes-ru, mythes-da, mythes-pl, mythes-lv, mythes-bg, mythes-is, mythes-it, mythes-de, mythes-ca, mythes-en-us, mythes-sv, mythes-sk, mythes-gl, mythes-es, mythes-pt-pt, mythes-ro, mythes-de-ch, mythes-ar, mythes-uk, mythes-fr, mythes-ne, mythes-hu, mythes-sl, mythes-id, mythes-gug, mythes-no
- mythes-thesaurus-ar
- virtueel pakket geboden door mythes-ar
- mythes-thesaurus-bg
- virtueel pakket geboden door mythes-bg
- mythes-thesaurus-ca
- virtueel pakket geboden door mythes-ca
- mythes-thesaurus-cs
- virtueel pakket geboden door mythes-cs
- mythes-thesaurus-da
- virtueel pakket geboden door mythes-da
- mythes-thesaurus-de
- virtueel pakket geboden door mythes-de, mythes-de-ch
- mythes-thesaurus-en-us
- virtueel pakket geboden door mythes-en-us
- mythes-thesaurus-es
- virtueel pakket geboden door mythes-es
- mythes-thesaurus-fr
- virtueel pakket geboden door mythes-fr
- mythes-thesaurus-gl
- virtueel pakket geboden door mythes-gl
- mythes-thesaurus-gug
- virtueel pakket geboden door mythes-gug
- mythes-thesaurus-hu
- virtueel pakket geboden door mythes-hu
- mythes-thesaurus-id
- virtueel pakket geboden door mythes-id
- mythes-thesaurus-is
- virtueel pakket geboden door mythes-is
- mythes-thesaurus-it
- virtueel pakket geboden door mythes-it
- mythes-thesaurus-lv
- virtueel pakket geboden door mythes-lv
- mythes-thesaurus-ne
- virtueel pakket geboden door mythes-ne
- mythes-thesaurus-no
- virtueel pakket geboden door mythes-no
- mythes-thesaurus-pl
- virtueel pakket geboden door mythes-pl
- mythes-thesaurus-pt-pt
- virtueel pakket geboden door mythes-pt-pt
- mythes-thesaurus-ro
- virtueel pakket geboden door mythes-ro
- mythes-thesaurus-ru
- virtueel pakket geboden door mythes-ru
- mythes-thesaurus-sk
- virtueel pakket geboden door mythes-sk
- mythes-thesaurus-sl
- virtueel pakket geboden door mythes-sl
- mythes-thesaurus-sv
- virtueel pakket geboden door mythes-sv
- mythes-thesaurus-uk
- virtueel pakket geboden door mythes-uk
- mythes-uk (1:6.2.0-1)
- Ukrainian Thesaurus for LibreOffice
- mythtv-status (1.0.1-1)
- Show the status of a MythTV backend
- mythtvfs (0.6.1-3+b2)
- userspace filesystem client for MythTV
- mz (0.40-1.1+b1)
- versatile packet creation and network traffic generation tool
- mzclient (0.9.0-6)
- CLI library for multicast DNS service discovery (commandline tool)
- n2n (1.3.1~svn3789-7)
- Peer-to-Peer VPN network daemon
- nabi (1.0.0-3)
- Korean X input method server plus imhangul status monitor
- nacl-tools (20110221-6.1)
- NaCl and CurveCP tools
- nadoka (0.9.2-1)
- IRC logger, monitor and proxy program ("bot") in Ruby
- naev (0.7.0-2)
- 2D action/rpg space game
- naev-data (0.7.0-2)
- 2D action/rpg space game - game data
- nag
- virtueel pakket geboden door php-horde-nag
- nageru (1.8.4-1+buster1)
- modern free software video mixer
- nagios-check-xmppng (0.3.0-1)
- monitoring plugin to check XMPP servers
- nagios-images (0.9.3)
- Collection of images and icons for the nagios system
- nagios-nrpe-plugin (3.2.1-2)
- Nagios Remote Plugin Executor Plugin
- nagios-nrpe-server (3.2.1-2)
- Nagios Remote Plugin Executor Server
- nagios-plugin-check-multi (0.26-3.1)
- run nagios checks as a group
- nagios-plugins
- virtueel pakket geboden door monitoring-plugins
- nagios-plugins-basic
- virtueel pakket geboden door monitoring-plugins-basic
- nagios-plugins-contrib (24.20190301)
- Plugins for nagios compatible monitoring systems
- nagios-plugins-rabbitmq (1:1.2.0-2.2)
- Set of Nagios checks useful for monitoring a RabbitMQ server
- nagios-plugins-standard
- virtueel pakket geboden door monitoring-plugins-standard
- nagios-snmp-plugins (2.1.0-1)
- SNMP Plugins for nagios
- nagios4 (4.3.4-3)
- host/service/network monitoring and management system
- nagios4-cgi (4.3.4-3)
- cgi files for nagios4
- nagios4-common (4.3.4-3)
- support files for nagios4
- nagios4-core (4.3.4-3)
- host/service/network monitoring and management system core files
- nagios4-dbg (4.3.4-3)
- debugging symbols and debug stuff for nagios4
- nagstamon (3.2.1-1)
- Nagios status monitor which takes place in systray or on desktop
- nagvis (1:1.9.11-1)
- visualization addon for Nagios or Icinga
- nagvis-demos (1:1.9.11-1)
- visualization addon for Nagios or Icinga - demo maps
- nagzilla (2.0-1.1)
- jabber relay bot
- nailgun (0.9.3-3)
- client, protocol, and server for running Java programs from CLI
- naist-jdic (1:0.4.3-20)
- free Japanese Dictionaries for ChaSen (replacement of ipadic)
- naist-jdic-utf8 (1:0.4.3-20)
- free Japanese Dictionaries for ChaSen (replacement of ipadic) in UTF-8
- nam (1.15-5)
- Network Animator for network simulation
- nam-dbg (1.15-5)
- debug symboles of nam
- nam-examples (1.15-5)
- examples of nam
- nama (1.208-2)
- Ecasound-based multitrack recorder/mixer
- namazu
- virtueel pakket geboden door namazu2
- namazu2 (2.0.21-22)
- full text search engine - binary and CGI script
- namazu2-common (2.0.21-22)
- full text search engine - documentation
- namazu2-index-tools (2.0.21-22)
- full text search engine - index handling tools
- namebench (1.3.1+dfsg-2)
- DNS benchmark utility
- nano (3.2-3)
- small, friendly text editor inspired by Pico
- nano-tiny (3.2-3)
- small, friendly text editor inspired by Pico - tiny build
- nano-udeb (3.2-3)
- small, friendly text editor inspired by Pico - udeb
- nanoblogger (3.4.2-3)
- Small weblog engine for the command line
- (3.4.2-2)
- Nanoblogger plugins
- nanoc (4.11.0-3)
- static site generator written in Ruby
- nanoc-doc (4.11.0-3)
- static site generator written in Ruby - documentation
- nanomsg-utils (1.1.5+dfsg-1)
- nanomsg utilities
- nanook (1.33+dfsg-1)
- pre- and post-alignment analysis of nanopore sequencing data
- nanopolish (0.11.0-2)
- consensus caller for nanopore sequencing data
- nas (1.9.4-6)
- Network Audio System - local server
- nas-bin (1.9.4-6)
- Network Audio System - client binaries
- nas-dev
- virtueel pakket geboden door libaudio-dev
- nas-doc (1.9.4-6)
- Network Audio System - extra documentation
- nas-lib
- virtueel pakket geboden door libaudio2
- nasm (2.14-1)
- General-purpose x86 assembler
- naspro-bridges (0.5.1-2)
- collection of bridges to LV2
- nast (0.2.0-7+b1)
- packet sniffer and lan analyzer
- nast-ier (20101212+dfsg1-2)
- NAST-based DNA alignment tool
- nastran (0.1.95-1+b2) [non-free]
- NASA Structural Analysis System
- nasty (0.6-3)
- tool which helps you to recover your GPG passphrase
- nat
- virtueel pakket geboden door smb-nat
- nat-rtsp-dkms (0.7+4.18-0.1)
- Connection tracking and NAT support for RTSP
- nat-traverse (0.7-1)
- NAT gateway traversal utility
- natbraille (2.0rc3-8)
- French braille typesetting program
- natbraille-doc (2.0rc3-8)
- Documentation for natbraille
- natlog (2.01.01-1)
- Source-natting firewall logging utility
- natpmp-utils (20150609-7)
- transitional package
- natpmpc (20150609-7)
- portable and fully compliant implementation of NAT-PMP (client)
- naturaldocs (1.51-3)
- extensible, multi-language documentation generator
- nautic (1.5-4)
- computation of observer position in astro-navigation
- nautilus (3.30.5-2)
- file manager and graphical shell for GNOME
- nautilus-admin (1.1.9-2)
- Extension for Nautilus to do administrative operations
- nautilus-compare (0.0.4+po1-1)
- Context menu comparison extension for Nautilus file manager
- nautilus-data (3.30.5-2)
- data files for nautilus
- nautilus-dropbox (2015.10.28-1) [non-free]
- Dropbox integration for Nautilus
- nautilus-emblems (0.3.1-5)
- emblems property page for nautilus
- nautilus-extension-brasero (3.12.2-5)
- CD/DVD burning integration for Nautilus
- nautilus-extension-burner (3.0.6-1)
- CD/DVD burning integration for Nautilus
- nautilus-extension-gnome-terminal (3.30.2-2)
- GNOME terminal emulator application
- nautilus-filename-repairer (0.2.0-1)
- Nautilus extension for filename encoding repair
- nautilus-gtkhash (1.2-1)
- nautilus extension for computing checksums and more using gtkhash
- nautilus-hide (0.2.3-7)
- Extension for Nautilus to hide files without renaming them
- nautilus-image-converter (0.3.1~git20110416-2)
- nautilus extension to mass resize or rotate images
- nautilus-image-manipulator (1.3-2.1)
- Resize and send images from Nautilus
- nautilus-nextcloud (2.5.1-3+deb10u2)
- Nextcloud integration for Nautilus
- nautilus-owncloud (2.5.1.10973+dfsg-1)
- ownCloud integration for Nautilus
- nautilus-scripts-manager (2.0-1)
- simple tool for nautilus scripts management
- nautilus-sendto (3.8.6-3)
- easily send files via email from within Nautilus
- nautilus-share (0.7.3-2)
- Nautilus extension to share folder using Samba
- nautilus-wipe (0.3-2)
- Secure deletion extension for Nautilus
- nauty (2.6r10+ds-1)
- library for graph automorphisms -- interface and tools
- nauty-doc (2.6r10+ds-1)
- library for graph automorphisms -- user guide
- navi2ch (2.0.0~git20120331-1)
- 2channel Navigator for Emacs
- navit (0.5.3+dfsg.1-1)
- Car navigation system with routing engine
- navit-data (0.5.3+dfsg.1-1)
- Car navigation system with routing engine - data files
- navit-graphics-gtk-drawing-area (0.5.3+dfsg.1-1)
- Car navigation system with routing engine - GTK+ graphic plugin
- navit-gui-gtk (0.5.3+dfsg.1-1)
- Car navigation system with routing engine - GTK+ GUI
- navit-gui-internal (0.5.3+dfsg.1-1)
- Car navigation system with routing engine - internal GUI
- nbc (1.2.1.r4+dfsg-9)
- C compiler for LEGO Mindstorms NXT bricks
- nbd-client (1:3.19-3+deb10u1)
- Network Block Device protocol - client
- nbd-client-udeb (1:3.19-3+deb10u1)
- Network Block Device protocol - client for Debian Installer
- nbd-modules
- virtueel pakket geboden door nbd-modules-4.19.0-20-arm64-di, nbd-modules-4.19.0-21-686-pae-di, nbd-modules-4.19.0-20-amd64-di, nbd-modules-4.19.0-21-686-di, nbd-modules-4.19.0-20-686-di, nbd-modules-4.19.0-20-armmp-di, nbd-modules-4.19.0-21-armmp-di, nbd-modules-4.19.0-21-arm64-di, nbd-modules-4.19.0-20-686-pae-di, nbd-modules-4.19.0-21-amd64-di
- nbd-modules-4.19.0-20-686-di (4.19.235-1)
- Network Block Device modules
- nbd-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Network Block Device modules
- nbd-modules-4.19.0-20-amd64-di (4.19.235-1)
- Network Block Device modules
- nbd-modules-4.19.0-20-arm64-di (4.19.235-1)
- Network Block Device modules
- nbd-modules-4.19.0-20-armmp-di (4.19.235-1)
- Network Block Device modules
- nbd-modules-4.19.0-21-686-di (4.19.249-2)
- Network Block Device modules
- nbd-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Network Block Device modules
- nbd-modules-4.19.0-21-amd64-di (4.19.249-2)
- Network Block Device modules
- nbd-modules-4.19.0-21-arm64-di (4.19.249-2)
- Network Block Device modules
- nbd-modules-4.19.0-21-armmp-di (4.19.249-2)
- Network Block Device modules
- nbd-server (1:3.19-3+deb10u1)
- Network Block Device protocol - server
- nbdkit (1.10.3-1)
- toolkit for creating NBD servers
- nbdkit-plugin-dev (1.10.3-1)
- development files for nbdkit
- nbdkit-plugin-guestfs (1.10.3-1)
- libguestfs plugin for nbdkit
- nbdkit-plugin-libvirt (1.10.3-1)
- libvirt plugin for nbdkit
- nbdkit-plugin-lua (1.10.3-1)
- Lua plugin for nbdkit
- nbdkit-plugin-perl (1.10.3-1)
- Perl plugin for nbdkit
- nbdkit-plugin-python (1.10.3-1)
- Python plugin for nbdkit
- nbdkit-plugin-ruby (1.10.3-1)
- Ruby plugin for nbdkit
- nbdkit-plugin-tcl (1.10.3-1)
- TCL plugin for nbdkit
- nbtscan (1.5.1-7)
- scan networks searching for NetBIOS information
- ncaptool (1.9.2-3)
- network capture tool
- ncat (7.70+dfsg1-6+deb10u2)
- NMAP netcat reimplementation
- ncbi-blast+ (2.8.1-1+deb10u1)
- next generation suite of BLAST sequence search tools
- ncbi-blast+-legacy (2.8.1-1+deb10u1)
- NCBI Blast legacy call script
- ncbi-data (6.1.20170106+dfsg1-0+deb10u2)
- Platform-independent data for the NCBI toolkit
- ncbi-entrez-direct (10.9.20190219+ds-1+b10)
- NCBI Entrez utilities on the command line
- ncbi-epcr (2.3.12-1-7)
- Tool to test a DNA sequence for the presence of sequence tagged sites
- ncbi-rrna-data (6.1.20170106+dfsg1-0+deb10u2)
- large rRNA BLAST databases distributed with the NCBI toolkit
- ncbi-seg (0.0.20000620-5)
- tool to mask segments of low compositional complexity in amino acid sequences
- ncbi-tools-bin (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for biology applications (text-based utilities)
- ncbi-tools-dev
- virtueel pakket geboden door libncbi6-dev
- ncbi-tools-x11 (6.1.20170106+dfsg1-0+deb10u2)
- NCBI libraries for biology applications (X-based utilities)
- ncc (2.8-2.1+b1)
- C source code analyzer
- ncdt (2.1-4)
- Display directory tree
- ncdu (1.13-1+b1)
- ncurses disk usage viewer
- ncftp (2:3.2.5-2.1)
- User-friendly and well-featured FTP client
- ncl-ncarg (6.5.0-2)
- NCAR Command Language and NCAR graphics
- ncl-tools (2.1.21+git20180827.c71b264-2)
- tools to deal with NEXUS files
- ncmpc (0.33-2+b10)
- ncurses-based audio player
- ncmpc-lyrics (0.33-2)
- ncurses-based audio player (lyrics plugins)
- ncmpcpp (0.8.2-0.1)
- ncurses-based client for the Music Player Daemon (MPD)
- ncmpcpp-completion
- virtueel pakket geboden door ncmpcpp
- nco (4.7.9-1)
- Command-line operators to analyze netCDF files
- ncoils (2002-7)
- coiled coil secondary structure prediction
- ncompress (4.2.4.5-3)
- original Lempel-Ziv compress/uncompress programs
- ncrack (0.6+debian-1)
- High-speed network authentication cracking tool
- ncurses-base (6.1+20181013-2+deb10u5) [security]
- basic terminal type definitions
- ncurses-bin (6.1+20181013-2+deb10u5) [security]
- Terminal gerelateerde programma's en man pagina's
- ncurses-dev
- virtueel pakket geboden door libncurses-dev
- ncurses-doc (6.1+20181013-2+deb10u5) [security]
- developer's guide and documentation for ncurses
- ncurses-examples (6.1+20181013-2+deb10u5) [security]
- test programs and examples for ncurses
- ncurses-hexedit (0.9.7+orig-7)
- Edit files/disks in hex, ASCII and EBCDIC
- ncurses-runtime
- virtueel pakket geboden door ncurses-base
- ncurses-term (6.1+20181013-2+deb10u5) [security]
- additional terminal type definitions
- ncview (2.1.8+ds-3+b1)
- X11 visual browser for NetCDF format files
- nd (0.8.2-8+b1)
- small command line interface to WebDAV servers
- ndctl (63-1.3)
- Utility for managing the nvdimm subsystem
- ndiff (7.70+dfsg1-6+deb10u2)
- The Network Mapper - result compare utility
- ndisc6 (1.0.4-1)
- IPv6 diagnostic tools
- ndisc6-udeb (1.0.4-1)
- IPv6 diagnostic tools
- ndisgtk (0.8.5-1)
- Grafische schil voor ndiswrapper (Installatie van Windows-WiFi-stuurprogramma's)
- ndiswrapper (1.60-8)
- Userspace utilities for the ndiswrapper Linux kernel module
- ndiswrapper-common
- virtueel pakket geboden door ndiswrapper
- ndiswrapper-dkms (1.60-8)
- Source for the ndiswrapper Linux kernel module (DKMS)
- ndiswrapper-source (1.60-8)
- Source for the ndiswrapper Linux kernel module
- ndiswrapper-utils-1.9
- virtueel pakket geboden door ndiswrapper
- ndpmon (1.4.0-2.1+b2)
- IPv6 Neighbor Discovery Protocol Monitor
- ndppd (0.2.5-4+deb10u1)
- daemon that proxies IPv6 NDP messages
- ndtpd (1:1.0.dfsg.1-4.3+b2)
- the Network Dictionary Transfer Protocol server
- ne (3.1.2-1)
- easy-to-use and powerful text editor
- ne-doc (3.1.2-1)
- documentation for the ne text editor
- neard (0.16-0.1)
- Near Field Communication (NFC) management daemon
- neard-dev (0.16-0.1)
- neard development files
- neard-tools (0.16-0.1)
- neard command-line tools
- neat (2.2-1)
- Nebular Empirical Analysis Tool
- nec2c (1.3-3)
- Translation of the NEC2 FORTRAN source code to the C language
- nedit (1:5.7-2)
- powerful, customizable, Motif based text editor
- needrestart (3.4-5+deb10u1)
- check which daemons need to be restarted after library upgrades
- needrestart-session (0.3-6)
- check for processes need to be restarted in user sessions
- neko (2.2.0-2+b12)
- Lightweight virtual machine - compiler and tools
- neko-dev (2.2.0-2+b12)
- Lightweight virtual machine - headers
- nekobee (0.1.8~repack1-1)
- Simple single-oscillator DSSI plugin
- nemiver (0.9.6-1.2)
- Standalone graphical debugger for GNOME
- nemo (3.8.5-1+b1)
- File manager and graphical shell for Cinnamon
- nemo-data (3.8.5-1)
- File manager and graphical shell for Cinnamon (data files)
- nemo-fileroller (3.8.0-2)
- File Roller integration for Nemo
- nemo-gtkhash (1.2-1)
- nemo extension for computing checksums and more using gtkhash
- nemo-nextcloud (2.5.1-3+deb10u2)
- Nextcloud integration for Nemo
- nemo-owncloud (2.5.1.10973+dfsg-1)
- ownCloud integration for Nemo
- nemo-python (3.8.0-2)
- Python bindings for nemo components
- neo4j-client (2.2.0-1+b1)
- Command line shell for the Neo4j graph database
- neobio (0.0.20030929-4)
- computes alignments of amino acid and nucleotide sequences
- neofetch (6.0.0-2)
- Shows Linux System Information with Distribution Logo
- neomutt (20180716+dfsg.1-1+deb10u2)
- command line mail reader based on Mutt, with added features
- neon-support (5)
- prevent installation on processors without required instructions
- neopi (0.0+git20120821.9ffff8-6)
- web shell code detection
- neovim (0.3.4-3)
- heavily refactored vim fork
- neovim-qt (0.2.12-1)
- neovim client library and GUI
- neovim-runtime (0.3.4-3)
- heavily refactored vim fork (runtime files)
- nes-emulator
- virtueel pakket geboden door mednafen, higan, nestopia
- nescc (1.3.5-1.1)
- Programming Language for Deeply Networked Systems
- nestopia (1.49-2)
- Nintendo Entertainment System/Famicom emulator
- net-acct (0.71-9.1)
- User-mode IP accounting daemon
- net-retriever (1.51)
- Fetch modules from the Internet
- net-tools (1.60+git20180626.aebd88e-1)
- NET-3 networking toolkit
- netanim (3.100-1+b1)
- network animator based on Qt4 working with ns-3
- netatalk (3.1.12~ds-3+deb10u5) [security]
- Apple Filing Protocol service
- netatalk-dbg (3.1.12~ds-3+deb10u5) [security]
- Apple Filing Protocol service - debug symbols
- netbase (5.6)
- Basic TCP/IP networking system
- netcat (1.10-41.1)
- TCP/IP swiss army knife -- transitional package
- netcat
- virtueel pakket geboden door netcat-traditional, netcat-openbsd
- netcat-openbsd (1.195-2)
- TCP/IP swiss army knife
- netcat-traditional (1.10-41.1)
- TCP/IP swiss army knife
- netcdf-bin (1:4.6.2-1)
- Programs for reading and writing NetCDF files
- netcdf-doc (1:4.6.2-1)
- Documentation for NetCDF
- netcf (1:0.2.8-1+b2)
- programs for the netcf library
- netcfg (1.160)
- Configure the network
- netcfg-static (1.160)
- Configure a static network
- netconfd (2.11-1)
- NETCONF (RFC-6241) agent
- netconfd-module-ietf-interfaces (2.11-1)
- SIL module for netconfd implementing ietf-interfaces.yang
- netconfd-module-ietf-system (2.11-1)
- SIL module for netconfd implementing ietf-system.yang
- netconsole (0.2-1)
- Dynamically configure Linux netconsole
- netdata (1.12.0-1+deb10u1)
- real-time performance monitoring (metapackage)
- netdata-core (1.12.0-1+deb10u1)
- real-time performance monitoring (core)
- netdata-core-no-sse (1.12.0-1+deb10u1)
- real-time performance monitoring (core, no-sse build)
- netdata-plugins
- virtueel pakket geboden door netdata-plugins-python, netdata-plugins-bash, netdata-plugins-nodejs
- netdata-plugins-bash (1.12.0-1+deb10u1)
- real-time performance monitoring (bash plugins)
- netdata-plugins-nodejs (1.12.0-1+deb10u1)
- real-time performance monitoring (nodejs plugins)
- netdata-plugins-python (1.12.0-1+deb10u1)
- real-time performance monitoring (python plugins)
- netdata-web (1.12.0-1+deb10u1)
- real-time performance monitoring (web)
- netdiag (1.2-1+b1)
- Net-Diagnostics (trafshow,netwatch,statnet,tcpspray,tcpblast)
- netdiscover (0.5.1-2)
- active/passive network address scanner using ARP requests
- netfilter-persistent (1.0.11+deb10u1)
- boot-time loader for netfilter configuration
- netgen (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator
- netgen-doc (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator documentation
- (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator internal headers
- netgen-lvs (1.5.118-1)
- Netlist comparison - Layout vs Schematic (LVS)
- nethack
- virtueel pakket geboden door nethack-x11, nethack-console, nethack-lisp
- nethack-common (3.6.1-1)
- dungeon crawl game - common files
- nethack-console (3.6.1-1)
- dungeon crawl game - text-based interface
- nethack-lisp (3.6.1-1)
- dungeon crawl game - Lisp interface
- nethack-spoilers (3.4.3+20110109-3)
- Spoiler files for the Nethack adventure game
- nethack-x11 (3.6.1-1)
- dungeon crawl game - X11 interface
- nethogs (0.8.5-2+b1)
- Net top tool grouping bandwidth per process
- netkit-inetd
- virtueel pakket geboden door inetutils-inetd
- netmask (2.4.4-1)
- helps determine network masks
- netmate (0.2.0-9)
- netdude clone that shows pcap dump lines in network header style
- netmaze (0.81+jpg0.82-16)
- 3-D Multiplayer Combat Game
- netpanzer (0.8.7+ds-2)
- online multiplayer tactical warfare game
- netpanzer-data (0.8.7+ds-2)
- data files for the netPanzer game
- netpbm (2:10.0-15.3+b2)
- Graphics conversion tools between image formats
- netpbm-dev
- virtueel pakket geboden door libnetpbm9-dev, libnetpbm10-dev
- netperfmeter (1.2.3-1+b1 [amd64, armhf, i386], 1.2.3-1 [arm64])
- Network Performance Meter
- netpipe-lam (3.7.2-8)
- Network performance tool using LAM MPI
- netpipe-mpich2 (3.7.2-8)
- Network performance tool using MPICH2 MPI
- netpipe-openmpi (3.7.2-8)
- Network performance tool using OpenMPI
- netpipe-pvm (3.7.2-8)
- Network performance tool using PVM
- netpipe-tcp (3.7.2-8)
- Network performance tool using the TCP protocol
- netpipes (4.2-8+b1)
- manipulate BSD TCP/IP stream sockets
- netplan.io (0.95-2)
- YAML network configuration abstraction for various backends
- netplug (1.2.9.2-3)
- network link monitor daemon
- netrek-client-cow (3.3.1-4)
- client for netrek online game
- netrik (1.16.1-2+b2)
- text mode WWW browser with vi like keybindings
- netris (0.52-10+b2)
- free, networked version of T*tris
- netrw (1.3.2-3)
- netcat like tool with nice features to transport files over network
- netscript
- virtueel pakket geboden door netscript-2.4
- netscript-2.4 (5.5.3)
- Linux 2.4/2.6/3.x router/firewall/VM host network config system.
- netscript-ipfilter (5.5.3)
- Linux 2.6/3.x iptables management system.
- netscript-ipfilter
- virtueel pakket geboden door netscript-ipfilter
- netsed (1.2-3)
- network packet-altering stream editor
- netselect (0.3.ds1-28+b1)
- speed tester for choosing a fast network server
- netselect-apt (0.3.ds1-28)
- speed tester for choosing a fast Debian mirror
- netsend (0.0~svnr250-1.2+b1)
- a speedy filetransfer and network diagnostic program
- netsniff-ng (0.6.5-1)
- Linux network packet sniffer toolkit
- netstat-nat (1.4.10-3+b1)
- tool that display NAT connections
- netstress (1.2.0-6)
- utility to stress and benchmark networks
- nettle-bin (3.4.1-1+deb10u1)
- low level cryptographic library (binary tools)
- nettle-dev (3.4.1-1+deb10u1)
- low level cryptographic library (development files)
- nettoe (1.5.1-2+b2)
- networked version of Tic Tac Toe (3x3 Grid) for the console
- netwag (5.39.0-1.3)
- graphical frontend for netwox
- netwag-doc (5.39.0-1.3)
- documentation for netwag frontend
- network-console (1.81)
- Continue installation remotely using SSH
- network-manager (1.14.6-2+deb10u1)
- network management framework (daemon and userspace tools)
- network-manager-config-connectivity-debian (1.14.6-2+deb10u1)
- NetworkManager configuration to enable connectivity checking
- network-manager-dev (1.14.6-2+deb10u1)
- network management framework (development files)
- network-manager-fortisslvpn (1.2.8-2)
- network management framework (Fortinet SSLVPN plugin core)
- network-manager-fortisslvpn-gnome (1.2.8-2)
- network management framework (Fortinet SSLVPN plugin GNOME GUI)
- network-manager-gnome (1.8.20-1.1)
- Netwerkbeheerder (GNOME)
- network-manager-l2tp (1.2.10-1)
- network management framework (L2TP plugin core)
- network-manager-l2tp-gnome (1.2.10-1)
- network management framework (L2TP plugin GNOME GUI)
- network-manager-openconnect (1.2.4-2)
- network management framework (OpenConnect plugin core)
- network-manager-openconnect-gnome (1.2.4-2)
- network management framework (OpenConnect plugin GNOME GUI)
- network-manager-openvpn (1.8.10-1)
- Raamwerk voor netwerkbeheer (OpenVPN plugin-kern)
- network-manager-openvpn-gnome (1.8.10-1)
- network management framework (OpenVPN plugin GNOME GUI)
- network-manager-pptp (1.2.8-2)
- network management framework (PPTP plugin core)
- network-manager-pptp-gnome (1.2.8-2)
- network management framework (PPTP plugin GNOME GUI)
- network-manager-ssh (1.2.10-1+deb10u1)
- network management framework (SSH plugin core)
- network-manager-ssh-gnome (1.2.10-1+deb10u1)
- network management framework (SSH plugin GNOME GUI)
- network-manager-strongswan (1.4.4-2)
- network management framework (strongSwan plugin)
- network-manager-vpnc (1.2.6-2)
- network management framework (VPNC plugin core)
- network-manager-vpnc-gnome (1.2.6-2)
- network management framework (VPNC plugin GNOME GUI)
- network-preseed (1.102)
- download debconf preseed file
- networkd-dispatcher (2.0-2)
- Dispatcher service for systemd-networkd connection status changes
- networking-bagpipe-doc (9.0.0-2)
- OpenStack virtual network service - BGP-based VPN - doc
- networking-bgpvpn-doc (9.0.0-1)
- OpenStack virtual network service - BGP-MPLS VPN Extension - doc
- networking-mlnx-common (1:13.1.0-2)
- OpenStack virtual network service - Mellanox plugin common files
- networking-mlnx-eswitchd (1:13.1.0-2)
- Neutron is a virtual network service for Openstack - Mellanox eswitchd
- netwox (5.39.0-1.3)
- networking utilities
- netwox-doc (5.39.0-1.3)
- documentation for netwox toolbox
- neurodebian (0.38.3)
- neuroscience-oriented distribution - repository configuration
- neurodebian-archive-keyring (0.38.3)
- neuroscience-oriented distribution - GnuPG archive keys
- neurodebian-desktop (0.38.3)
- neuroscience-oriented distribution - desktop integration
- neurodebian-dev (0.38.3)
- neuroscience-oriented distribution - development tools
- neurodebian-freeze (0.38.3)
- nd_freeze tool to freeze APT sources to use snapshots
- neurodebian-popularity-contest (0.38.3)
- neuroscience-oriented distribution - popcon integration
- neuron (7.6.3-1)
- Simulation environment for computational models of neurons
- neuron-dev (7.6.3-1)
- Neuron simulation environment - Development files
- neutron-api (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - API service
- neutron-bgp-dragent (2:13.0.0-1)
- OpenStack Neutron Dynamic Routing - Agent
- neutron-common (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - common files
- neutron-dhcp-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - DHCP agent
- neutron-dynamic-routing-common (2:13.0.0-1)
- OpenStack Neutron Dynamic Routing - common files
- neutron-fwaas-common (1:13.0.1-7)
- OpenStack Firewall as a Service - transition package
- neutron-l2gateway-agent (1:13.0.0-2)
- OpenStack virtual network service - L2 gateway agent
- neutron-l3-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - l3 agent
- neutron-lbaas-agent (1:13.0.0-1)
- Loadbalancer-as-a-Service driver for OpenStack networking - Agent
- neutron-lbaas-common (1:13.0.0-1)
- Loadbalancer-as-a-Service driver for OpenStack networking - common files
- neutron-lbaasv2-agent (1:13.0.0-1)
- Loadbalancer-as-a-Service driver for OpenStack networking - Agent v2
- neutron-linuxbridge-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - Linux bridge agent
- neutron-macvtap-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - MacVTap Agent
- neutron-metadata-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - metadata agent
- neutron-metering-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - metering agent
- neutron-mlnx-agent (1:13.1.0-2)
- OpenStack virtual network service - Mellanox plugin agent
- neutron-openvswitch-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - Open vSwitch agent
- neutron-plugin
- virtueel pakket geboden door networking-mlnx-eswitchd, neutron-common
- neutron-plugin-bigswitch
- virtueel pakket geboden door neutron-common
- neutron-plugin-brocade
- virtueel pakket geboden door neutron-common
- neutron-plugin-cisco
- virtueel pakket geboden door neutron-common
- neutron-plugin-hyperv
- virtueel pakket geboden door neutron-common
- neutron-plugin-linuxbridge
- virtueel pakket geboden door neutron-common
- neutron-plugin-linuxbridge-agent
- virtueel pakket geboden door neutron-linuxbridge-agent
- neutron-plugin-metaplugin
- virtueel pakket geboden door neutron-common
- neutron-plugin-metering-agent
- virtueel pakket geboden door neutron-metering-agent
- neutron-plugin-ml2
- virtueel pakket geboden door neutron-common
- neutron-plugin-nec
- virtueel pakket geboden door neutron-common
- neutron-plugin-nec-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - NEC agent
- neutron-plugin-nicira
- virtueel pakket geboden door neutron-common
- neutron-plugin-openvswitch
- virtueel pakket geboden door neutron-common
- neutron-plugin-openvswitch-agent
- virtueel pakket geboden door neutron-openvswitch-agent
- neutron-plugin-plumgrid
- virtueel pakket geboden door neutron-common
- neutron-plugin-ryu
- virtueel pakket geboden door neutron-common
- neutron-rpc-server (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - RPC service
- neutron-server (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - metapackage for the server
- neutron-sriov-agent (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - SR-IOV agent
- neutron-taas-openvswitch-agent (3.0.0+2018.08.05.git.84846d52fd-1)
- OpenStack virtual network service - Tap-as-a-Service agent
- neutron-vpnaas-common (2:13.0.1-3)
- OpenStack virtual network service - VPNaaS common files
- neutron-vpnaas-vyatta-agent (2:13.0.1-3)
- OpenStack virtual network service - VPNaaS Vyatta Agent
- neverball (1.6.0+git20180603-2)
- 3D floor-tilting game
- neverball-common (1.6.0+git20180603-2)
- data files for Neverball and Neverputt
- neverball-data (1.6.0+git20180603-2)
- data files for Neverball
- neverputt (1.6.0+git20180603-2)
- 3D miniature golf game
- neverputt-data (1.6.0+git20180603-2)
- data files for Neverputt
- newlisp (10.7.1-1)
- LISP like, general purpose scripting language
- newmail (0.5-2+b2)
- Notificator for incoming mail
- newpid (10)
- run a command in a new PID namespace
- newrole (2.8-1)
- SELinux core policy utilities (newrole application for RBAC/MLS)
- news-reader
- virtueel pakket geboden door lynx, claws-mail, sylpheed, nn, slrn, emacs-lucid, trn4, im, knews, mew, tin, mew-beta, xemacs21-mule-canna-wnn, wl, emacs-nox, lusernet.app, xemacs21-mule, emacs-gtk, wl-beta, xemacs21-nomule
- news-transport-system
- virtueel pakket geboden door cyrus-nntpd, leafnode, inn2, inn, sn
- newsbeuter (2.9-8+b1)
- text mode rss feed reader with podcast support
- newsboat (2.13-1+deb10u1)
- text mode rss feed reader with podcast support
- newt-tcl (0.52.20-8)
- NEWT module for Tcl
- nextcloud-desktop (2.5.1-3+deb10u2)
- Nextcloud folder synchronization tool
- nextcloud-desktop-cmd (2.5.1-3+deb10u2)
- folder synchronization with an Nextcloud server - cmd client
- nextcloud-desktop-common (2.5.1-3+deb10u2)
- Nextcloud folder synchronization - common data
- nextcloud-desktop-doc (2.5.1-3+deb10u2)
- Nextcloud folder synchronization - documentation
- nextcloud-desktop-l10n (2.5.1-3+deb10u2)
- Nextcloud folder synchronization - localization
- nextepc (0.3.10+nods-3)
- Evolved Packet Core implementation for LTE (metapackage)
- nextepc-core (0.3.10+nods-3)
- Evolved Packet Core for LTE (Shared Files)
- nextepc-hss (0.3.10+nods-3)
- Evolved Packet Core - Home Subscriber Server (HSS)
- nextepc-mme (0.3.10+nods-3)
- Evolved Packet Core - Mobility Management Entity (MME)
- nextepc-pcrf (0.3.10+nods-3)
- Evolved Packet Core - Policy and Charging Rules Function (PCRF)
- nextepc-pgw (0.3.10+nods-3)
- Evolved Packet Core - Packet Data Network Gateway (PDN-GW)
- nextepc-sgw (0.3.10+nods-3)
- Evolved Packet Core - Serving Gateway (SGW)
- nexuiz (2.5.2+dp-7)
- Fast-paced 3D first-person shooter
- nexuiz-data (2.5.2-9)
- Nexuiz game data files
- nexuiz-music (2.5.2-9)
- Nexuiz music files
- nexuiz-server (2.5.2+dp-7)
- Standalone server for Nexuiz Classic
- nexuiz-textures (2.5.2-9)
- Textures for Nexuiz
- nfacct (1.0.2-2)
- netfilter accounting object tool
- nfct (1:1.4.5-2)
- Tool to interact with the connection tracking system
- nfdump (1.6.17-1)
- netflow capture daemon
- nfdump-flow-tools (1.6.17-1)
- import from flow-tools
- nfdump-sflow (1.6.17-1)
- sflow collector daemon
- nfoview (1.26-1)
- simple viewer for NFO files
- nfs-client
- virtueel pakket geboden door nfs-common
- nfs-common (1:1.3.4-2.5+deb10u1)
- NFS support files common to client and server
- nfs-ganesha (2.7.1-2)
- NFS server in User Space
- nfs-ganesha-ceph (2.7.1-2)
- nfs-ganesha fsal ceph libraries
- nfs-ganesha-doc (2.7.1-2)
- Documentation for nfs-ganesha
- nfs-ganesha-gluster (2.7.1-2)
- nfs-ganesha fsal gluster libraries
- nfs-ganesha-gpfs (2.7.1-2)
- nfs-ganesha fsal gpfs libraries
- nfs-ganesha-mem (2.7.1-2)
- nfs-ganesha fsal mem libraries
- nfs-ganesha-mount-9p (2.7.1-2)
- nfs-ganesha mount.9P
- nfs-ganesha-nullfs (2.7.1-2)
- nfs-ganesha fsal nullfs libraries
- nfs-ganesha-proxy (2.7.1-2)
- nfs-ganesha fsal proxy libraries
- nfs-ganesha-vfs (2.7.1-2)
- nfs-ganesha fsal vfs libraries
- nfs-ganesha-xfs (2.7.1-2)
- nfs-ganesha fsal xfs libraries
- nfs-kernel-server (1:1.3.4-2.5+deb10u1)
- support for NFS kernel server
- nfs-server
- virtueel pakket geboden door nfs-kernel-server
- nfs4-acl-tools (0.3.3-3)
- Commandline and GUI ACL utilities for the NFSv4 client
- nfstrace (0.4.3.2-1)
- NFS tracing/monitoring/capturing/analyzing tool
- nfstrace-doc (0.4.3.2-1)
- NFS tracing/monitoring/capturing/analyzing tool (documentation)
- nfswatch (4.99.11-6)
- Program to monitor NFS traffic for the console
- nftables (0.9.0-2)
- Program to control packet filtering rules by Netfilter project
- nftlb (0.3-1)
- nftables load balancer
- ng-cjk (1.5~beta1-4+b1)
- Nihongo MicroGnuEmacs with CJK support
- ng-cjk-canna (1.5~beta1-4+b1)
- Nihongo MicroGnuEmacs with CJK and Canna support
- ng-common (1.5~beta1-4)
- Common files used by ng-* packages
- ng-latin (1.5~beta1-4+b1)
- Nihongo MicroGnuEmacs with Latin support
- ng-utils (1.0-1+b1)
- Tool to access netgroups from the command line
- ngetty (1.1-3.1+b10)
- getty replacement - one single daemon for all consoles
- nghttp2 (1.36.0-2+deb10u3) [security]
- server, proxy and client implementing HTTP/2
- nghttp2-client (1.36.0-2+deb10u3) [security]
- client implementing HTTP/2 protocol
- nghttp2-proxy (1.36.0-2+deb10u3) [security]
- reverse proxy implementing HTTP/2 protocol
- nghttp2-server (1.36.0-2+deb10u3) [security]
- server implementing HTTP/2 protocol
- nginx (1.14.2-2+deb10u5) [security]
- small, powerful, scalable web/proxy server
- nginx
- virtueel pakket geboden door nginx-full, nginx-light, nginx-extras
- nginx-common (1.14.2-2+deb10u5) [security]
- small, powerful, scalable web/proxy server - common files
- nginx-doc (1.14.2-2+deb10u5) [security]
- small, powerful, scalable web/proxy server - documentation
- (1.14.2-2+deb10u5) [security]
- nginx web/proxy server (extended version)
- nginx-full (1.14.2-2+deb10u5) [security]
- nginx web/proxy server (standard version)
- nginx-light (1.14.2-2+deb10u5) [security]
- nginx web/proxy server (basic version)
- ngircd (25-2)
- lightweight Internet Relay Chat server
- nglister (1.0.2)
- Downloads information from NNTP server
- ngraph-gtk (6.08.00-1.1)
- create scientific 2-dimensional graphs
- ngraph-gtk-addin-import-ps (6.08.00-1.1)
- import-ps addin of Ngraph-gtk
- ngraph-gtk-addin-spellcheck (6.08.00-1.1)
- spell check addin of Ngraph-gtk
- ngraph-gtk-addin-tex-equation (6.08.00-1.1)
- tex-equation addin of Ngraph-gtk
- ngraph-gtk-addins (6.08.00-1.1)
- addin collection of Ngraph-gtk
- ngraph-gtk-addins-base (6.08.00-1.1)
- addins of Ngraph-gtk - base programs
- ngraph-gtk-doc (6.08.00-1.1)
- documents of Ngraph-gtk
- ngraph-gtk-plugin-ruby (6.08.00-1.1)
- Ruby plugin for Ngraph-gtk
- ngrep (1.47+ds1-1)
- grep for network traffic
- ngspice (30.2-1)
- Spice circuit simulator
- ngspice-dev (30.2-1)
- Spice circuit simulator - development files for ngspice and tclspice
- ngspice-doc (30.2-1)
- Documentation for the NGspice circuit simulator
- nheko (0.6.3-2)
- desktop IM client for the Matrix protocol
- virtueel pakket geboden door nic-modules-4.19.0-21-arm64-di, nic-modules-4.19.0-20-arm64-di, nic-modules-4.19.0-21-686-pae-di, nic-modules-4.19.0-21-armmp-di, nic-modules-4.19.0-20-amd64-di, nic-modules-4.19.0-20-686-pae-di, nic-modules-4.19.0-21-amd64-di, nic-modules-4.19.0-20-686-di, nic-modules-4.19.0-20-armmp-di, nic-modules-4.19.0-21-686-di
- nic-modules
- virtueel pakket geboden door nic-modules-4.19.0-21-amd64-di, nic-modules-4.19.0-20-armmp-di, nic-modules-4.19.0-20-686-di, nic-modules-4.19.0-21-686-di, nic-modules-4.19.0-20-686-pae-di, nic-modules-4.19.0-21-armmp-di, nic-modules-4.19.0-20-amd64-di, nic-modules-4.19.0-21-arm64-di, nic-modules-4.19.0-20-arm64-di, nic-modules-4.19.0-21-686-pae-di
- nic-modules-4.19.0-20-686-di (4.19.235-1)
- NIC drivers
- nic-modules-4.19.0-20-686-pae-di (4.19.235-1)
- NIC drivers
- nic-modules-4.19.0-20-amd64-di (4.19.235-1)
- NIC drivers
- nic-modules-4.19.0-20-arm64-di (4.19.235-1)
- NIC drivers
- nic-modules-4.19.0-20-armmp-di (4.19.235-1)
- NIC drivers
- nic-modules-4.19.0-21-686-di (4.19.249-2)
- NIC drivers
- nic-modules-4.19.0-21-686-pae-di (4.19.249-2)
- NIC drivers
- nic-modules-4.19.0-21-amd64-di (4.19.249-2)
- NIC drivers
- nic-modules-4.19.0-21-arm64-di (4.19.249-2)
- NIC drivers
- nic-modules-4.19.0-21-armmp-di (4.19.249-2)
- NIC drivers
- nic-pcmcia-modules
- virtueel pakket geboden door nic-pcmcia-modules-4.19.0-21-amd64-di, nic-pcmcia-modules-4.19.0-20-686-pae-di, nic-pcmcia-modules-4.19.0-20-amd64-di, nic-pcmcia-modules-4.19.0-20-686-di, nic-pcmcia-modules-4.19.0-21-686-di, nic-pcmcia-modules-4.19.0-21-686-pae-di
- nic-pcmcia-modules-4.19.0-20-686-di (4.19.235-1)
- Common PCMCIA NIC drivers
- nic-pcmcia-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Common PCMCIA NIC drivers
- nic-pcmcia-modules-4.19.0-20-amd64-di (4.19.235-1)
- Common PCMCIA NIC drivers
- nic-pcmcia-modules-4.19.0-21-686-di (4.19.249-2)
- Common PCMCIA NIC drivers
- nic-pcmcia-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Common PCMCIA NIC drivers
- nic-pcmcia-modules-4.19.0-21-amd64-di (4.19.249-2)
- Common PCMCIA NIC drivers
- nic-shared-modules
- virtueel pakket geboden door nic-shared-modules-4.19.0-20-armmp-di, nic-shared-modules-4.19.0-21-amd64-di, nic-shared-modules-4.19.0-20-686-di, nic-shared-modules-4.19.0-20-amd64-di, nic-shared-modules-4.19.0-20-686-pae-di, nic-shared-modules-4.19.0-21-686-pae-di, nic-shared-modules-4.19.0-21-armmp-di, nic-shared-modules-4.19.0-20-arm64-di, nic-shared-modules-4.19.0-21-arm64-di, nic-shared-modules-4.19.0-21-686-di
- nic-shared-modules-4.19.0-20-686-di (4.19.235-1)
- Shared NIC drivers
- nic-shared-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Shared NIC drivers
- nic-shared-modules-4.19.0-20-amd64-di (4.19.235-1)
- Shared NIC drivers
- nic-shared-modules-4.19.0-20-arm64-di (4.19.235-1)
- Shared NIC drivers
- nic-shared-modules-4.19.0-20-armmp-di (4.19.235-1)
- Shared NIC drivers
- nic-shared-modules-4.19.0-21-686-di (4.19.249-2)
- Shared NIC drivers
- nic-shared-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Shared NIC drivers
- nic-shared-modules-4.19.0-21-amd64-di (4.19.249-2)
- Shared NIC drivers
- nic-shared-modules-4.19.0-21-arm64-di (4.19.249-2)
- Shared NIC drivers
- nic-shared-modules-4.19.0-21-armmp-di (4.19.249-2)
- Shared NIC drivers
- nic-usb-modules
- virtueel pakket geboden door nic-usb-modules-4.19.0-21-arm64-di, nic-usb-modules-4.19.0-20-arm64-di, nic-usb-modules-4.19.0-21-686-di, nic-usb-modules-4.19.0-21-686-pae-di, nic-usb-modules-4.19.0-20-686-pae-di, nic-usb-modules-4.19.0-20-amd64-di, nic-usb-modules-4.19.0-20-686-di, nic-usb-modules-4.19.0-21-amd64-di, nic-usb-modules-4.19.0-20-armmp-di, nic-usb-modules-4.19.0-21-armmp-di
- nic-usb-modules-4.19.0-20-686-di (4.19.235-1)
- USB NIC drivers
- nic-usb-modules-4.19.0-20-686-pae-di (4.19.235-1)
- USB NIC drivers
- nic-usb-modules-4.19.0-20-amd64-di (4.19.235-1)
- USB NIC drivers
- nic-usb-modules-4.19.0-20-arm64-di (4.19.235-1)
- USB NIC drivers
- nic-usb-modules-4.19.0-20-armmp-di (4.19.235-1)
- USB NIC drivers
- nic-usb-modules-4.19.0-21-686-di (4.19.249-2)
- USB NIC drivers
- nic-usb-modules-4.19.0-21-686-pae-di (4.19.249-2)
- USB NIC drivers
- nic-usb-modules-4.19.0-21-amd64-di (4.19.249-2)
- USB NIC drivers
- nic-usb-modules-4.19.0-21-arm64-di (4.19.249-2)
- USB NIC drivers
- nic-usb-modules-4.19.0-21-armmp-di (4.19.249-2)
- USB NIC drivers
- nic-wireless-modules
- virtueel pakket geboden door nic-wireless-modules-4.19.0-20-armmp-di, nic-wireless-modules-4.19.0-21-amd64-di, nic-wireless-modules-4.19.0-21-arm64-di, nic-wireless-modules-4.19.0-21-686-pae-di, nic-wireless-modules-4.19.0-20-686-di, nic-wireless-modules-4.19.0-20-686-pae-di, nic-wireless-modules-4.19.0-21-686-di, nic-wireless-modules-4.19.0-20-arm64-di, nic-wireless-modules-4.19.0-21-armmp-di, nic-wireless-modules-4.19.0-20-amd64-di
- nic-wireless-modules-4.19.0-20-686-di (4.19.235-1)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-20-amd64-di (4.19.235-1)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-20-arm64-di (4.19.235-1)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-20-armmp-di (4.19.235-1)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-21-686-di (4.19.249-2)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-21-amd64-di (4.19.249-2)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-21-arm64-di (4.19.249-2)
- Wireless NIC drivers
- nic-wireless-modules-4.19.0-21-armmp-di (4.19.249-2)
- Wireless NIC drivers
- niceshaper (1.2.4-1)
- Dynamic Traffic Shaper
- nickle (2.84-1)
- desk calculator language
- nicotine (1.2.16+dfsg-1.1)
- graphical client for the SoulSeek peer-to-peer system
- nicovideo-dl (0.0.20190126-1)
- Download videos from www.nicovideo.jp
- nicstat (1.95-1+b2)
- print network traffic statistics
- nictools-pci (1.3.8-2+b1)
- Diagnostic tools for many PCI Ethernet cards
- nield (0.6.1-2)
- generate logs related to network interfaces
- nifti-bin (2.0.0-3)
- tools shipped with the NIfTI library
- nifti2dicom (0.4.11-1+b4)
- convert 3D medical images to DICOM 2D series
- nifti2dicom-data (0.4.11-1)
- data files for nifti2dicom
- nifti2dicom-dbg (0.4.11-1+b4)
- convert 3D medical images to DICOM 2D series (debug symbols)
- nigiri (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (terminal client)
- nih-dbus-tool (1.0.3-10+b2)
- NIH D-Bus Binding Tool
- nik4 (1.6-5)
- Mapnik to image export
- nikto (1:2.1.5-3.1) [non-free]
- web server security scanner
- nikwi (0.0.20120213-4)
- platform game where your goal is to collect candies
- nikwi-data (0.0.20120213-4)
- platform game where your goal is to collect candies - game data
- nilfs-tools (2.2.7-1)
- Continuous Snapshotting Log-structured Filesystem
- nim (0.19.4-1)
- Nim programming language - compiler
- nim-doc (0.19.4-1)
- Nim programming language - documentation
- ninix-aya (5.0.9-1)
- Interactive fake-AI Ukagaka-compatible desktop mascot program
- ninja-build (1.8.2-1)
- small build system closest in spirit to Make
- ninka (1.3.2-3)
- license identification tool for source code
- ninka-backend-excel (1.3.2-3)
- license identification tool for source code (Excel backend)
- ninka-backend-sqlite (1.3.2-3)
- license identification tool for source code (SQLite backend)
- ninvaders (0.1.1-3+b3)
- A space invaders-like game using ncurses
- nip2 (8.7.0-1)
- spreadsheet-like graphical image manipulation tool
- nis (3.17.1-3+b1)
- clients and daemons for the Network Information Service (NIS)
- nitpic (0.1-16+b1)
- simulator for the Microchip PIC16C84 microcontroller
- nitrocli (0.2.3-1)
- command line interface for Nitrokey devices
- nitrogen (1.6.1-2)
- wallpaper browser and changing utility for X
- nitrokey-app (1.3.2-1)
- Application to manage the Nitrokey
- nixnote2 (2.1.2-1)
- Open Source Evernote client
- nixstatsagent (1.1.32-2)
- NixStats agent
- njam (1.25-10)
- pacman-like game with multiplayer support
- njam-data (1.25-10)
- pacman-like game with multiplayer support -- data files
- njplot (2.4-8)
- phylogenetic tree drawing program
- nkf (1:2.1.5-1)
- Network Kanji code conversion Filter
- nlkt (0.3.2.6-2)
- non-linear keyboard trainer
- nload (0.7.4-2+b1)
- realtime console network usage monitor
- nlohmann-json-dev (2.1.1-1.1)
- JSON for Modern C++
- nlohmann-json3-dev (3.5.0-0.1)
- JSON for Modern C++
- nm-tray (0.4.2-1)
- Simple Network Manager frontend written in Qt
- nm-tray-l10n (0.4.2-1)
- Language package for nm-tray
- nmap (7.70+dfsg1-6+deb10u2)
- The Network Mapper
- nmap-common (7.70+dfsg1-6+deb10u2)
- Architecture independent files for nmap
- nmapfe
- virtueel pakket geboden door zenmap
- nmapsi4 (0.5~alpha1-3)
- graphical interface to nmap, the network scanner
- nmh (1.7.1-4)
- set of electronic mail handling programs
- nml (0.4.5-1)
- newgrf meta language compiler
- nmon (16i+debian-2)
- performance monitoring tool for Linux
- nmzmail (1.1-2+b1)
- indexes and searches email in maildir folders
- nn (6.7.3-10+b2)
- Heavy-duty USENET news reader (curses-based client)
- nnn (2.2-2)
- Free, fast, friendly file manager
- noblenote (1.0.8-1)
- Qt program for taking notes
- nobootloader (1.56)
- Don't install any bootloader
- nocache (1.1-1)
- bypass/minimize file system caching for a program
- nodau (0.3.8-3)
- simple console based note taking program
- node-abab (1.0.3-1)
- WHATWG spec-compliant implementations of window.atob and window.btoa
- node-abbrev (1.1.1-1)
- Get unique abbreviations for a set of strings - Node.js module
- node-absolute-path (0.0.0-1)
- Node.js 0.11.x path.isAbsolute as a separate module
- node-abstract-leveldown (2.4.1-2)
- Abstract prototype matching the LevelDOWN API - Node.js module
- node-accepts (1.3.5-1)
- higher-level content negotiation for Node.js
- node-acorn (5.5.3+ds3-3)
- ECMAScript parser for Node.js
- node-acorn-dynamic-import (3.0.0-1)
- Support dynamic imports in acorn
- node-acorn-jsx (4.1.1-2)
- JSX parser based on node-acorn
- node-acorn-node (= 1.3.0)
- virtueel pakket geboden door node-acorn
- node-acorn-object-spread (5.1.2-1)
- Plugin for object spread in acorn for Node.js
- node-active-x-obfuscator (0.0.2-2)
- Safely obfuscate the string 'ActiveX' inside of JavaScript code
- node-address (1.0.3-1)
- Get current machine IP, MAC and DNS servers
- node-addressparser (1.0.1-1)
- parse e-mail addresses
- node-after (0.8.2-1)
- Flow control for Node.js
- node-ajv (5.0.0-1)
- another JSON Schema Validator
- node-ajv-keywords (2.1.0-1)
- Custom JSON-Schema keywords for Ajv validator
- node-almond (0.3.3+dfsg-2)
- minimal AMD API implementation for use in optimized browser builds
- node-amdefine (1.0.1-1)
- Asynchronous Module Definition (AMD) for Node.js
- node-another-json
- virtueel pakket geboden door node-matrix-js-sdk
- node-ansi (0.3.0-3)
- Advanced ANSI formatting tool for Node.js
- node-ansi-align (2.0.0-1)
- align-text with ANSI support for CLIs
- node-ansi-color-table (1.0.0-1)
- Color and format tables for ansi output - Node.js module
- node-ansi-escapes (3.0.0-1)
- ANSI escape codes for manipulating the terminal
- node-ansi-font (0.0.2-1)
- ANSI font styling utils
- node-ansi-regex (3.0.0-1+deb10u1)
- regular expression for matching ANSI escape codes
- node-ansi-styles (3.2.1-1)
- ANSI escape codes for styling strings in the terminal with Node.js
- node-ansistyles (0.1.3-1)
- prints output in different styles
- node-any-promise (1.3.0-1)
- Resolve any installed ES6 compatible promise
- node-anymatch (2.0.0-1+deb10u1)
- Matches strings against configurable strings
- node-ap (0.2.0-1)
- Like Function.bind without setting "this"
- node-applause (1.2.2-2)
- Pattern replacer creating human-friendly replacements
- node-aproba (1.2.0-1)
- light-weight argument validator
- node-archy (1.0.0-2)
- Pretty-print nested hierarchies module for Node.js
- node-are-we-there-yet (1.1.4-1)
- Keep track of the overall completion of many disparate processes
- node-argparse (1.0.10-1)
- CLI arguments parser for node.js
- node-argv (0.0.2-2)
- Node based command line argument parser
- node-arr-diff (4.0.0-1)
- Returns an array with only the unique values from the first array
- node-arr-flatten (1.1.0-1)
- Recursively flatten an array or arrays
- node-arr-union (3.1.0-1)
- Combines list of arrays, returning single array with unique values
- node-array-differ (1.0.0-1)
- Create an array with values present in the first input array
- node-array-equal (1.0.0-2)
- check if two arrays are equal
- node-array-find-index (1.0.2-1)
- ES2015 Array#findIndex() ponyfill
- node-array-flatten (2.1.0-1)
- Flatten nested arrays
- node-array-from (2.1.1-1)
- ES2015 Array.from ponyfill
- node-array-parallel (0.1.4-1)
- Call an array of asynchronous functions in parallel
- node-array-series (0.1.5-1)
- Call an array of asynchronous functions in series
- node-array-union (1.0.2-1)
- Create an array of unique values, in order, from the input arrays
- node-array-uniq (1.0.3-1)
- Create an array without duplicates
- node-array-unique (0.3.2-1)
- Return an array free of duplicate values
- node-arrify (1.0.1-1)
- Convert a value to an array
- node-asap (2.0.6-1)
- High-priority task queue for Node.js and browsers
- node-asn1 (0.2.3-1)
- ASN.1 encoder and decoder (BER)
- node-asn1.js (5.0.1-2)
- ASN.1 encoder and decoder (DER)
- node-assert (1.4.1-1)
- simple assertion library for javascript
- node-assert-plus (1.0.0-1)
- small wrapper over nodejs' assert module with two extra features
- node-assertion-error (1.0.2-1)
- Error constructor fro validation frameworks
- node-assertive (3.0.1+ds-1)
- assertion library, designed for coffee-script
- node-ast-types (0.11.7-1)
- Esprima-compatible implementation of the Mozilla JS Parser API
- node-ast-util (0.6.0-2)
- Utilities for AST transformers
- node-astw (2.2.0-2)
- walk the ast with references to parent nodes
- node-async (0.8.0-3)
- functions and patterns for asynchronous code - Node.js
- node-async-each (1.0.1-1)
- async parallel forEach / map function for JavaScript
- node-async-stacktrace (0.0.2-3)
- Improve Node.js stacktraces and make it easier to handle errors
- node-asynckit (0.4.0-2)
- Minimal async jobs utility library, with streams support
- node-auto-bind (1.2.0-1)
- Automatically bind methods to their class instance
- node-autolinker (1.8.3+dfsg-1)
- Utility for automatically linking URLs, emails, etc. in text
- node-autoprefixer (8.6.5-2)
- add vendor prefixes to CSS rules
- node-autosize (4.0.2~dfsg1-3)
- script to automatically adjust textarea height to fit text - Javascript
- node-aws-sign2 (0.7.1-1)
- AWS signing in JavaScript
- node-aws4 (1.8.0-1)
- Signs and prepares requests using AWS Signature Version 4
- node-axios (0.17.1+dfsg-2+deb10u1)
- Promise based HTTP client for the browser and node.js
- node-babel-cli (6.26.0+dfsg-3+deb10u1) [security]
- Babel command line
- node-babel-code-frame (6.26.0+dfsg-3+deb10u1) [security]
- generate errors that contain a code frame that point to source locations
- node-babel-core (6.26.0+dfsg-3+deb10u1) [security]
- Babel compiler core.
- node-babel-eslint (7.2.3-2)
- Custom parser for ESLint
- node-babel-generator (6.26.0+dfsg-3+deb10u1) [security]
- Turns an AST into code.
- node-babel-helper-bindify-decorators (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to bindify decorators
- node-babel-helper-builder-binary-assignment-operator-visitor (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to build binary assignment operator visitors
- node-babel-helper-builder-react-jsx (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to build react jsx
- node-babel-helper-call-delegate (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to call delegate
- node-babel-helper-define-map (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to define a map
- node-babel-helper-evaluate-path
- virtueel pakket geboden door babel-minify
- node-babel-helper-explode-assignable-expression (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to explode assignable expressions
- node-babel-helper-explode-class (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to explode classes
- node-babel-helper-flip-expressions
- virtueel pakket geboden door babel-minify
- node-babel-helper-function-name (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to change the property 'name' of every function
- node-babel-helper-get-function-arity (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to get function arity
- node-babel-helper-hoist-variables (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to hoist variables
- node-babel-helper-is-void-0
- virtueel pakket geboden door babel-minify
- node-babel-helper-mark-eval-scopes
- virtueel pakket geboden door babel-minify
- node-babel-helper-optimise-call-expression (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to optimise call expression
- node-babel-helper-regex (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to check for literal RegEx
- node-babel-helper-remap-async-to-generator (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to remap async functions to generators
- node-babel-helper-remove-or-void
- virtueel pakket geboden door babel-minify
- node-babel-helper-replace-supers (6.26.0+dfsg-3+deb10u1) [security]
- Helper function to replace supers
- node-babel-helper-to-multiple-sequence-expressions
- virtueel pakket geboden door babel-minify
- node-babel-helpers (6.26.0+dfsg-3+deb10u1) [security]
- Collection of helper functions used by Babel transforms
- node-babel-loader (7.1.5-1)
- babel module loader for webpack
- node-babel-messages (6.26.0+dfsg-3+deb10u1) [security]
- Collection of debug messages used by Babel.
- node-babel-plugin-add-module-exports (0.2.1-3)
- Babel plugin for default module.exports like babel version 5
- node-babel-plugin-array-includes (2.0.3-1)
- Babel plugin to replace the array includes syntax
- node-babel-plugin-check-es2015-constants (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-external-helpers (6.26.0+dfsg-3+deb10u1) [security]
- helper functions that’ll be placed at the top of the generated code
- node-babel-plugin-precompile-charcodes (1.1.0-2)
- Convert character code getters into plain integers
- node-babel-plugin-syntax-async-functions (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of async functions
- node-babel-plugin-syntax-async-generators (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of async generators
- node-babel-plugin-syntax-class-constructor-call (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of class constructor calls
- node-babel-plugin-syntax-class-properties (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of class properties
- node-babel-plugin-syntax-decorators (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of decorators
- node-babel-plugin-syntax-do-expressions (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of do expressinos
- node-babel-plugin-syntax-dynamic-import (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of import
- node-babel-plugin-syntax-exponentiation-operator (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of the exponentiation operator
- node-babel-plugin-syntax-export-extensions (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of export extensions
- node-babel-plugin-syntax-flow (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of the flow syntax
- node-babel-plugin-syntax-function-bind (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of function bind
- node-babel-plugin-syntax-jsx (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of jsx
- node-babel-plugin-syntax-object-rest-spread (6.26.0+dfsg-3+deb10u1) [security]
- Allow parsing of object rest/spread
- node-babel-plugin-syntax-trailing-function-commas (6.26.0+dfsg-3+deb10u1) [security]
- Compile trailing function commas to ES5
- node-babel-plugin-transform-async-generator-functions (6.26.0+dfsg-3+deb10u1) [security]
- Convert async generator functions to ES2015 generators
- node-babel-plugin-transform-async-to-bluebird (1.1.1-2)
- Convert async functions to Bluebird promises
- node-babel-plugin-transform-async-to-generator (6.26.0+dfsg-3+deb10u1) [security]
- Turn async functions into ES2015 generators
- node-babel-plugin-transform-builtin-extend (1.1.2-1)
- extend from builtin types
- node-babel-plugin-transform-class-constructor-call (6.26.0+dfsg-3+deb10u1) [security]
- Enable new.target in ES2015 classes
- node-babel-plugin-transform-class-properties (6.26.0+dfsg-3+deb10u1) [security]
- Compile additional export-from statements to ES2015
- node-babel-plugin-transform-decorators (6.26.0+dfsg-3+deb10u1) [security]
- Compile class and object decorators to ES5
- node-babel-plugin-transform-decorators-legacy (1.3.5-1)
- Compile class and object decorators to ES5 (legacy)
- node-babel-plugin-transform-define (1.3.0-2)
- replace member expressions and typeof statements with strings
- node-babel-plugin-transform-do-expressions (6.26.0+dfsg-3+deb10u1) [security]
- Compile do expressions to ES5
- node-babel-plugin-transform-es2015-arrow-functions (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-block-scoped-functions (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-block-scoping (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-classes (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-computed-properties (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-destructuring (= 6.23.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-duplicate-keys (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-for-of (= 6.23.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-function-name (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-literals (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-modules-amd (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-modules-commonjs (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-modules-systemjs (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-modules-umd (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-object-super (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-parameters (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-shorthand-properties (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-spread (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-sticky-regex (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-template-literals (= 6.22.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-typeof-symbol (= 6.23.0)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es2015-unicode-regex (= 6.24.1)
- virtueel pakket geboden door node-babel-preset-es2015
- node-babel-plugin-transform-es3-member-expression-literals (6.26.0+dfsg-3+deb10u1) [security]
- Ensure that reserved words are quoted in property accesses
- node-babel-plugin-transform-es3-property-literals (6.26.0+dfsg-3+deb10u1) [security]
- Ensure that reserved words are quoted in object property keys
- node-babel-plugin-transform-exponentiation-operator (6.26.0+dfsg-3+deb10u1) [security]
- Compile the exponentiation operator to ES5
- node-babel-plugin-transform-export-extensions (6.26.0+dfsg-3+deb10u1) [security]
- Compile ES2015/ES2016 class properties to ES5
- node-babel-plugin-transform-flow-strip-types (6.26.0+dfsg-3+deb10u1) [security]
- Strip flow type annotations from your output code
- node-babel-plugin-transform-function-bind (6.26.0+dfsg-3+deb10u1) [security]
- Compile the functino bind operator to ES5
- node-babel-plugin-transform-jscript (6.26.0+dfsg-3+deb10u1) [security]
- fix buggy JScript named function expressions
- node-babel-plugin-transform-object-rest-spread (6.26.0+dfsg-3+deb10u1) [security]
- Compile object rest and spread to ES5
- node-babel-plugin-transform-proto-to-assign (6.26.0+dfsg-3+deb10u1) [security]
- Babel plugin for turning __proto__ into a shallow property clone
- node-babel-plugin-transform-react-display-name (6.26.0+dfsg-3+deb10u1) [security]
- Add displayName to React.createClass calls
- node-babel-plugin-transform-react-jsx (6.26.0+dfsg-3+deb10u1) [security]
- Turn JSX into React function calls
- node-babel-plugin-transform-react-jsx-self (6.26.0+dfsg-3+deb10u1) [security]
- Add a __self prop to all JSX Elements
- node-babel-plugin-transform-react-jsx-source (6.26.0+dfsg-3+deb10u1) [security]
- Add a __source prop to all JSX Elements
- node-babel-plugin-transform-regenerator (6.26.0+dfsg-3+deb10u1) [security]
- Explode async and generator functions into a state machine
- node-babel-plugin-transform-runtime (6.26.0+dfsg-3+deb10u1) [security]
- Externalise references to helpers and builtins
- node-babel-plugin-transform-strict-mode (6.26.0+dfsg-3+deb10u1) [security]
- Enable strict mode for all files
- node-babel-plugin-transform-vue-jsx (3.5.0+dfsg-1)
- Babel plugin for Vue 2.0 JSX
- node-babel-polyfill (6.26.0+dfsg-3+deb10u1) [security]
- Provides polyfills necessary for a full ES2015+ environment
- node-babel-preset-airbnb (2.4.0-1)
- babel preset for transforming your JavaScript for Airbnb
- node-babel-preset-env (1.6.0-1)
- Babel preset for each environment
- node-babel-preset-es2015 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for all es2015 plugins
- node-babel-preset-es2015-loose (8.0.0-2)
- Babel preset for all es2015 plugins, with loose mode
- node-babel-preset-es2016 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for all es2016 plugins
- node-babel-preset-es2017 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for all es2017 plugins
- node-babel-preset-es3 (1.0.1-1)
- Preset for Babel's ES3 transformations
- node-babel-preset-flow (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for all Flow plugins
- node-babel-preset-flow-vue (1.0.0-1)
- babel preset for flow support
- node-babel-preset-latest (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset including es2015+
- node-babel-preset-react (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for all React plugins
- node-babel-preset-stage-0 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for stage 0 plugins
- node-babel-preset-stage-1 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for stage 1 plugins
- node-babel-preset-stage-2 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for stage 2 plugins
- node-babel-preset-stage-3 (6.26.0+dfsg-3+deb10u1) [security]
- Babel preset for stage 3 plugins
- node-babel-register (6.26.0+dfsg-3+deb10u1) [security]
- babel require hook
- node-babel-runtime (6.26.0+dfsg-3+deb10u1) [security]
- babel selfContained runtime
- node-babel-template (6.26.0+dfsg-3+deb10u1) [security]
- Generate an AST from a string template.
- node-babel-traverse (6.26.0+dfsg-3+deb10u1) [security]
- Babel Traverse module
- node-babel-types (6.26.0+dfsg-3+deb10u1) [security]
- lodash-esque utility library for AST nodes
- node-babylon (6.18.0-2)
- JavaScript parser used in Babel
- node-backbone (1.3.3~dfsg-5)
- some Backbone for JavaScript applications - Node module
- node-backbone-dirty (1.1.2-3)
- server-side overrides for Backbone to use node-dirty
- node-balanced-match (0.4.2-1)
- Match balanced character pairs in Node.js
- node-base (0.11.1-1)
- foundation for creating modular, unit testable node.js application
- node-base62 (1.1.1-1)
- Javascript Base62 encode/decoder
- node-base64-js (1.3.0+dfsg-2)
- Base64 encoding/decoding in pure JS
- node-base64id (1.0.0-1)
- Node.js module that generates a base64 id
- node-base64url (3.0.1-2)
- conversion to and from base64url
- node-bash-match (0.2.0-1)
- Match strings using bash.
- node-batch (0.6.1-1)
- batch with concurrency control and progress events - Node.js module
- node-bcrypt-pbkdf (1.0.1-1)
- Port of the OpenBSD bcrypt_pbkdf function to pure JS
- node-beeper (1.1.1-1)
- Make your terminal beep
- node-big.js (3.1.3-1)
- small, fast, easy-to-use library for arbitrary-precision decimal arithmetic
- node-bignumber (8.1.1+ds-1)
- Arbitrary-precision decimal and non-decimal arithmetic for Node.js
- node-binary-extensions (1.8.0-1)
- List of binary file extensions
- node-bind-obj-methods (= 2.0.0)
- virtueel pakket geboden door node-tap
- node-bindings (1.2.1-1)
- resolve path to c++ addons built by node-gyp - Node.js module
- node-bl (1.1.2-1+deb10u1)
- access multiple buffers with Buffer interface - Node.js module
- node-blob (0.0.4-1)
- JavaScript blob constructor
- node-block-stream (0.0.9-1)
- Stream of fixed-size blocks, with zero-padding when necessary
- node-bluebird (3.5.1+dfsg2-2)
- Fully featured Promises/A+ implementation for Node.js
- node-bn.js (4.11.8-2)
- Big number implementation in pure javascript
- node-body-parser (1.18.3-2)
- body parsing middleware - Node.js module
- node-boolbase (1.0.0-1)
- two functions: One that returns true, one that returns false
- node-boom (7.2.2-1)
- HTTP-friendly error objects
- node-bootstrap
- virtueel pakket geboden door libjs-bootstrap4
- node-bootstrap-sass (3.3.7-1)
- Sass-powered version of Bootstrap 3
- node-bowser (0.7.3-2)
- JavaScript browser detection library
- node-boxen (1.2.2-1)
- Create boxes in the terminal
- node-brace-expansion (1.1.8-1)
- Brace expansion as known from sh/bash for Node.js
- node-braces (2.0.2-2)
- Fast, comprehensive, bash-like brace expansion implemented in JS
- node-brfs (1.6.1-1)
- browserify fs.readFileSync() static asset inliner
- node-brorand (1.1.0-2)
- Random number generator for browsers and node.js
- node-browser-pack (6.1.0+ds-3)
- pack node-style source files from a json stream into a browser bundle
- node-browser-request
- virtueel pakket geboden door libjs-browser-request
- node-browser-resolve (1.11.3-1)
- node.js resolve algorithm with browser mapping support
- node-browser-stdout (1.3.0-1)
- process.stdout in your browser
- node-browser-unpack (1.3.0-1)
- parse a bundle generated by node-browser-pack
- node-browserify-aes (1.2.0-2)
- AES implementation written in pure javascript
- node-browserify-cipher (1.0.0-2)
- ciphers for the browser
- node-browserify-des (1.0.2-1)
- browserify DES cryptographic operation
- node-browserify-lite (0.5.0-7)
- bundle client-side JavaScript using Node.js-style module syntax
- node-browserify-rsa (4.0.1-1)
- RSA for browserify
- node-browserify-sign (4.0.4-2+deb10u1) [security]
- adds node crypto signing for browsers
- node-browserify-zlib (0.2.0+20170820git8b3f0a862f6b+dfsg-2)
- Full zlib module for browserify
- node-browserslist (2.11.3-1)
- Share browsers list between different front-end tools
- node-bson (= 1.1.0)
- virtueel pakket geboden door node-mongodb
- node-buble (0.19.4-3)
- Fast ES2015 compiler for Node.js
- node-buf-compare (1.0.1-2)
- Node.js `Buffer.compare()` ponyfill
- node-buffer (5.2.1-1)
- Node.js Buffer API for browserify
- node-buffer-crc32 (0.2.1-1)
- computes crc32 of buffers and strings - module for Node.js
- node-buffer-equal (1.0.0-1)
- return whether two buffers are equal
- node-buffer-shims (1.0.0-1)
- some shims for node buffers
- node-buffer-xor (2.0.1.REALLY.1.0.3-1)
- Simple module for bitwise-xor on buffers
- node-bufferjs (2.0.0-2)
- pure JavaScript Buffer utils
- node-bufferlist (0.1.0-1)
- interface to treat a linked list of buffers as a single stream
- node-buffers (0.1.1-2)
- Buffer collections as contiguous partially mutable Buffer
- node-builtin-modules (3.0.0-1)
- List of the Node.js builtin modules
- node-builtin-status-codes (3.0.0-1)
- map of HTTP status codes from the builtin http module
- node-builtins (1.0.3-1)
- lists nodejs builtin modules
- node-bytes (3.0.0-1)
- Byte string parser and formatter - Node.js module
- node-cacache (11.3.2-2)
- fast, fault-tolerant, disk-based, data-agnostic, content-addressable cache
- node-cache-base (0.8.4-2)
- Basic object cache for node.js/javascript projects
- node-cached-path-relative (1.0.1-2+deb10u1) [security]
- Memoize the results of the path.relative function
- node-call-limit (1.1.0-1)
- Limit the number of simultaneous calls to an async function
- node-callback-stream (1.1.0-2)
- pipeable stream that calls your callback
- node-caller (1.0.1-2)
- @substack's caller.js as a module
- node-camelcase (5.0.0-1)
- Convert a string to camelCase
- node-camelcase-keys (4.0.0-1)
- convert object keys to camelCase
- node-caniuse-api (2.0.0-2)
- request the caniuse data to check browsers compatibilities
- node-caniuse-db (1.0.30000927-1)
- Raw browser/feature support data from caniuse.com
- node-caniuse-lite (1.0.30000926+dfsg-2)
- smaller version of caniuse-db, with only the essentials
- node-capture-stream (0.1.2-2)
- Capture stream output
- node-caseless (0.12.0-1)
- get/set/check for HTTP headers in a caseless manner
- node-catty (0.0.8-1)
- source file concatenator for Mapshaper
- node-chai (= 4.2.0+ds-3)
- virtueel pakket geboden door chai
- node-chainsaw (0.1.0-1)
- Node.js module to build chainable fluent interfaces
- node-chalk (2.3.0-2)
- Terminal string styling for Node.js
- node-channels (0.0.5-3)
- Event channels in Node.js
- node-character-parser (1.2.1-1)
- JavaScript parser character by character
- node-charm (1.0.1-1)
- ansi control sequences for terminal cursor hopping and colors
- node-chart.js (2.7.3+dfsg-5)
- Simple HTML5 charts using the canvas element (Node module)
- node-check-error (1.0.2-1)
- Node.js module for error handling
- node-chokidar (1.7.0-3)
- wrapper around watch / watchFile / fsevents
- node-chownr (1.1.1-1)
- like chown -R
- node-chrome-trace-event (1.0.0-1)
- create a trace of your node app per Google's Trace Event format
- node-chrono (1.0.2-2)
- date formatting library for NodeJS
- node-ci-info (1.1.2-1)
- Get details about the current Continuous Integration environment
- node-cipher-base (1.0.4-3)
- abstract base class for crypto-streams
- node-cjson (0.4.0-2)
- commented JavaScript Object Notation
- node-clarinet (0.9.1+dfsg-1)
- evented streaming JSON parser - Node.js module
- node-class-utils (0.3.6-1)
- Utils for working with JavaScript classes and prototype methods
- node-clean-css (4.2.1+~4.2.1-1)
- Node.js module for minifying CSS files
- node-clean-css-cli
- virtueel pakket geboden door cleancss
- node-clean-yaml-object (0.1.0-3)
- safe clean of an object prior to serialization
- node-cli-boxes (1.0.0-1)
- Boxes for use in the terminal
- node-cli-cursor (2.1.0-1)
- Toggle the CLI cursor
- node-cli-spinners (1.1.0-1)
- Spinners for use in the terminal
- node-cli-table (0.3.1-1)
- Pretty unicode tables for the CLI
- node-cli-truncate (1.1.0-1)
- Truncate a string to a specific width in the terminal
- node-cli-width (2.1.0-1)
- Get stdout window width
- node-cliui (4.1.0-1)
- easily create complex multi-column CLIs
- node-clone (2.1.2-1)
- deep cloning of objects and arrays
- node-clone-buffer (1.0.0-1)
- Takes a Buffer object and returns a clone
- node-clone-deep (2.0.1-1)
- Recursively (deep) clone JavaScript native types
- node-clone-stats (1.0.0-1)
- Safely clone node's fs.Stats instances without losing their class methods
- node-cloneable-readable (1.0.0-2)
- clone a Readable stream, safely
- node-co (4.6.0-1)
- generator async control flow goodness
- node-coa (2.0.2-1)
- Yet another parser for command line options
- node-code (5.2.1-1)
- Test framework from hapijs
- node-coffee-loader (0.9.0-2)
- coffee loader module for webpack
- node-coffeeify (2.1.0-1)
- browserify plugin for coffee-script
- node-coffeescript
- virtueel pakket geboden door coffeescript
- node-collection-visit (1.0.0-1)
- Visit a method over items in object, or map visit over the objects
- node-color (2.0.0-1)
- Color conversion and manipulation with CSS string support
- node-color-convert (1.9.0-3)
- Plain color conversion functions
- node-color-name (1.1.3-1)
- list of color names and its values
- node-color-string (1.5.2-1)
- Parser and generator for CSS color strings
- node-colormin (1.1.2-2)
- Turn a CSS color into its smallest representation
- node-colors (1.1.2-1)
- Get color and style in your node.js console
- node-columnify (1.5.4-1)
- Render data in text columns with in-column text-wrap
- node-combine-source-map (0.8.0+ds-5)
- Add source maps of multiple files and combine
- node-combined-stream (1.0.7-1)
- Append streams one after another - module for Node.js
- node-command-join (1.1.1-1)
- Escape and join command-line arguments
- node-commander (2.12.2-3)
- Complete solution for Node.js command-line interfaces
- node-commist (1.0.0-1)
- build commands on node-minimist
- node-commondir (1.0.1-1)
- compute the closest common parent for file paths
- node-compare-versions (3.4.0-1)
- Version string comparison for Node.js
- node-component-consoler (2.0.0-1)
- console commands for component(1)
- node-component-emitter (1.2.1-1)
- Event emitter for Node.js
- node-compressible (2.0.15-3)
- checks whether a mime type is compressible - Node.js module
- node-compression (1.7.3-2)
- express middleware for gzip/deflate compression - Node.js module
- node-compression-webpack-plugin (1.1.10-2)
- Prepare compressed versions of assets
- node-concat-map (0.0.1-1)
- concatenative mapdashery for Node.js
- node-concat-stream (1.6.2-1)
- writable stream that concatenates strings
- node-concat-with-sourcemaps (1.0.4-2)
- concatenate files and generate source maps
- node-config-chain (1.1.11-1)
- Handle Configuration Once And For All
- node-configstore (3.1.1-2)
- load and save config without having to think about where and how
- node-connect (3.6.7-1)
- extensible HTTP server framework - Node.js module
- node-connect-timeout (1.9.0-3)
- connect middleware for timing out HTTP requests - Node.js module
- node-console-browserify (1.1.0+20161220gitf0a8898487-2)
- Emulate console for all the browsers
- node-console-control-strings (1.1.0-1)
- cross-platform tested terminal/console command strings
- node-console-group (0.3.3-1)
- basic console.group implementation for node
- node-consolidate (0.15.1-1)
- Template engine consolidation library
- node-constantinople (2.0.0-2)
- determine if an expression evaluates to a constant - Node.js module
- node-constants-browserify (1.0.0+dfsg-6)
- Node's `constants` module for the browser
- node-content-disposition (0.5.0-1)
- Create and parse Content-Disposition header - Node.js module
- node-content-type (1.0.4-1)
- Create and parse HTTP Content-Type header
- node-convert-source-map (1.6.0-1)
- Converts a source-map from/to between formats
- node-cookie (0.3.1+20180326git4e2b255de6b85c3ec-1)
- Basic cookie parser and serializer module for Node.js
- node-cookie-jar (0.3.1-1)
- Cookie handling for HTTP clients - module for Node.js
- node-cookie-parser (1.4.3-1)
- cookie parsing middleware with signatures - Node.js module
- node-cookie-signature (1.1.0-1)
- Sign and unsign cookies using hmac - module for Node.js
- node-cookiejar (2.0.1-1+deb10u1) [security]
- simple persistent cookiejar system - Node.js module
- node-cookies (0.5.0-1)
- Cookies, optionally signed using Keygrip - Node.js module
- node-copy-concurrently (1.0.5-4)
- Copy files, directories and symlinks concurrently
- node-copy-descriptor (0.1.1-1)
- Copy a descriptor from one object to another
- node-copy-webpack-plugin (4.3.0-5)
- Copy files and directories in webpack
- node-core-js (2.4.1-2)
- Modular standard library for JavaScript
- node-core-util-is (1.0.2-1)
- util.is* functions introduced in Node v0.12 for older versions
- node-cors (2.7.1-1)
- middleware for enabling CORS in express/connect applications
- node-coveralls (3.0.2-1)
- input json-cov output and posts to coveralls.io
- node-cpr (2.0.0-2)
- recursively copy files - Node.js module
- node-crc (3.0.0-3)
- module for calculating Cyclic Redundancy Check (CRC)
- node-crc32 (0.2.2-2)
- CRC-32 implemented in JavaScript
- node-create-ecdh (4.0.0-2)
- browserifiable createECDH
- node-create-hash (1.2.0-1)
- create hashes for browserify
- node-create-hmac (1.1.7-1)
- nodejs style hmacs in the browser
- node-cross-spawn (5.1.0-2)
- Cross platform drop-in replacement for spawn
- node-cross-spawn-async (2.2.5-3)
- Cross platform child_process#spawn
- node-cryptiles (3.1.1-1)
- General purpose crypto utilities
- node-crypto-browserify (3.12.0-3)
- implementation of crypto for the browser
- node-crypto-cacerts (0.1.0-1)
- updates SSL certificate chain to use a directory of certificates
- node-crypto-random-string (1.0.0-1)
- Generate a cryptographically strong random string
- node-cson-parser (4.0.0-1)
- Safe parsing of CSON files
- node-css (2.1.0-2)
- JavaScript CSS parser and stringifier - Node.js module
- node-css-color-names (0.0.4+dfsg-1)
- JSON Object of css color names mapped to their hex value
- node-css-loader (1.0.1-1)
- css loader module for webpack
- node-css-select (1.3.0~rc0-1)
- CSS selector compiler/engine
- node-css-selector-tokenizer (0.7.0-1)
- Parses and stringifies CSS selectors
- node-css-what (2.1.0-1+deb10u1) [security]
- CSS selector parser
- node-cssom (0.3.0-1)
- CSS parser written in pure JavaScript - NodeJS module
- node-cssstyle (0.2.14-2)
- CSSStyleDeclaration Object Model implementation
- node-csv-spectrum (1.0.0-2)
- Test for CSV parsing libraries
- node-currently-unhandled (0.4.1-1)
- track the list of currently unhandled promise rejections
- node-cyclist (1.0.1-2)
- efficient cyclic list implementation for Javascript
- node-d (1.0.0-1)
- Property descriptor factory
- node-d3-array (1.2.1-3)
- Array manipulation, ordering, searching, summarizing, etc
- node-d3-axis (1.0.8-3)
- Displays automatic reference lines for scales
- node-d3-brush (1.0.4-3)
- Select a one- or two-dimensional region using the mouse or touch
- node-d3-chord (1.0.4-3)
- Visualize relationships or network flow
- node-d3-collection (1.0.4-3)
- Handy data structures for elements keyed by string
- node-d3-color (1.0.3-4)
- Color spaces! RGB, HSL, Cubehelix, Lab and HCL (Lch)
- node-d3-dispatch (1.0.3-3)
- Register named callbacks and call them with arguments
- node-d3-drag (1.2.1-3)
- Drag and drop SVG, HTML or Canvas using mouse or touch input
- node-d3-dsv (1.0.7-6)
- parser and formatter for delimiter-separated values, such as CSV
- node-d3-ease (1.0.3-3)
- Easing functions for smooth animation
- node-d3-force (1.1.0-3)
- Force-directed graph layout using velocity Verlet integration
- node-d3-format (1:1.0.2-3.1)
- Formatting numbers for human consumption - NodeJS module
- node-d3-geo (1.9.0-4)
- Shapes and calculators for spherical coordinates
- node-d3-hierarchy (1.1.5-2)
- Layout algorithms for visualizing hierarchical data
- node-d3-interpolate (1.1.5-2)
- Interpolate numbers, colors, strings, arrays, objects, whatever!
- node-d3-path (1.0.5-3)
- Serialize Canvas path commands to SVG
- node-d3-polygon (1.0.3-3)
- Operations for two-dimensional polygons
- node-d3-quadtree (1.0.3-2)
- Two-dimensional recursive spatial subdivision
- node-d3-queue (3.0.7-3)
- Evaluate asynchronous tasks with configurable concurrency
- node-d3-random (1.1.0-4)
- Generate random numbers from various distributions
- node-d3-request (1.0.6-3)
- convenient alternative to XMLHttpRequest
- node-d3-scale (1.0.6-3)
- Encodings that map abstract data to visual representation
- node-d3-selection (1.1.0-3)
- select elements and join them to data
- node-d3-shape (1.2.0-2)
- Graphical primitives for visualization, such as lines and areas
- node-d3-time (1.0.7-2)
- calculator for humanity’s peculiar conventions of time
- node-d3-time-format (2.0.5-2)
- time formatter and parser inspired by strftime and strptime
- node-d3-timer (1.0.7-5)
- efficient queue to manage thousands of concurrent animations
- node-d3-transition (1.1.0-2)
- Animated transitions for D3 selections
- node-d3-voronoi (1.1.2-2)
- Compute the Voronoi diagram of a set of two-dimensional points
- node-d3-zoom (1.6.0-2)
- Pan and zoom SVG, HTML or Canvas using mouse or touch input
- node-daemon (1.1.0-1)
- Turn a node script into a daemon
- node-dargs (6.0.0-1)
- Convert options into an array of arguments
- node-dashdash (1.14.1-2)
- light, featureful and explicit option parsing library
- node-databank (0.19.1-1)
- Node.js JSON storage abstraction layer
- node-date-now (1.0.1-3)
- requirable version of Date.now()
- node-date-time (2.1.0-1)
- Pretty datetime like 2014-01-09 06:46:01
- node-dateformat (3.0.0-1)
- JavaScript Date Format - Node.js module
- node-de-indent (1.0.2-1)
- remove extra indent from a block of code
- node-death (1.0.0-1)
- Gracefully cleanup on termination
- node-debug (3.1.0-2)
- small debugging utility for Node.js
- node-debug-fabulous (1.1.0-1)
- visionmedia debug extensions rolled into one
- node-decamelize (1.2.0-1)
- convert a camelized string into a lowercased one with a custom separator
- node-decompress-response (3.3.0-1)
- Decompress a HTTP response if needed
- node-deep-eql (3.0.1-1)
- Improved deep equality testing for Node.js and the browser
- node-deep-equal (1.0.1-1)
- node's assert.deepEqual algorithm
- node-deep-extend (0.4.1-2)
- Recursive object extending
- node-deep-for-each (1.0.6+dfsg-1)
- Recursively iterates collection values (arrays and objects)
- node-deep-is (0.1.3-1)
- node's assert.deepEqual algorithm except for NaN being equal to NaN
- node-defaults (1.0.3-1)
- merge single level defaults over a config object
- node-define-property (2.0.2-1)
- Define property on an object
- node-defined (1.0.0-1)
- return the first argument that is `!== undefined`
- node-deflate-js (0.2.3-1)
- DEFLATE implemented in JavaScript (works in browser and Node)
- node-del (3.0.0-1)
- Delete files and folders
- node-delayed-stream (0.0.5-1)
- Buffer stream events for later handling - module for Node.js
- node-delegates (1.0.0-1)
- delegate methods and accessors to another property
- node-delve (0.3.2-1)
- delve recursively into a value to retrieve a property
- node-depd (1.1.2-1)
- mark a function or property as deprecated - Node.js module
- node-deprecated (0.0.1-1)
- Tool for deprecating things
- node-deps-sort (2.0.0-2)
- sort module depends for deterministic browserify bundles
- node-dequeue (1.0.5-2)
- Simple Double Ended Queue Datastructure for Node.js
- node-des.js (1.0.0-3)
- DES implementation in pure javascript
- node-detect-file (1.0.0-1)
- Detect if a filepath exists and resolves the full filepath
- node-detect-indent (5.0.0-1)
- Detect the indentation of code
- node-detect-newline (2.1.0-1)
- Detect the dominant newline character of a string
- node-detective (5.1.0-1)
- find all require() calls by walking the AST
- node-diacritics (1.3.0-1)
- remove diacritics from strings - Node.js module
- node-diff (1.4.0~dfsg-1)
- javascript text differencing implementation
- node-difflet (1.0.1-1)
- colorful diffs for javascript objects
- node-dirty (1.0.0-0.1)
- tiny and fast key-value store for Node
- node-doctrine (3.0.0-1)
- JSDoc parser
- node-dom-serializer (0.1.0-1)
- render htmlparser2's DOM nodes to string
- node-domain-browser (1.1.7-1)
- Node's domain module for the web browser
- node-domelementtype (1.3.0-1)
- all the types of nodes in htmlparser2's dom
- node-domhandler (2.3.0-1)
- htmlparser2 handler that turns pages into a dom
- node-domino (2.1.2~dfsg-1)
- server-side DOM implementation based on Mozilla's dom.js
- node-domutils (1.5.1-2)
- utilities for working with htmlparser2's DOM
- node-dot (1.1.1-1+deb10u1)
- Concise and fast javascript templating
- node-dot-prop (4.1.1-1+deb10u2)
- updates a property from a nested object
- node-double-ended-queue (= 0.9.7)
- virtueel pakket geboden door node-redis
- node-dropzone
- virtueel pakket geboden door libjs-dropzone
- node-dryice (0.4.10-3)
- CommonJS/RequireJS packaging tool for browser scripts
- node-duplexer (0.1.1-1)
- Creates a duplex stream
- node-duplexer2 (= 0.1.4-4)
- virtueel pakket geboden door node-duplexer3
- node-duplexer3 (0.1.4-4)
- Like duplexer but using streams3
- node-duplexify (3.6.1-1)
- Turn a writable and readable stream into a streams2 duplex stream
- node-ebnf-parser (0.1.10+dfsg-3)
- parser for BNF and EBNF grammars used by jison
- node-ecc-jsbn (0.1.1-1)
- ECC JS code based on JSBN
- node-editor (1.0.0-1)
- Launch $EDITOR in your program
- node-ee-first (= 1.1.1)
- virtueel pakket geboden door node-on-finished
- node-ejs (2.5.7-1+deb10u1)
- Embedded JavaScript templates
- node-electron-to-chromium (1.3.103-1)
- Provides a list of electron-to-chromium version mappings
- node-elliptic (6.4.1~dfsg-1+deb10u1)
- fast elliptic curve cryptography in pure javascript
- node-emoji (1.8.1-1)
- simple emoji support for node.js
- node-emojis-list (2.1.0-1)
- complete list of standard emojis
- node-encodeurl (1.0.1-1)
- Encode URL to a percent-encoded form
- node-encoding (0.1.12-2)
- Convert encodings, uses iconv by default and fallbacks to iconv-lite if needed
- node-end-of-stream (1.4.1-1+deb10u1)
- Invoke a callback when a stream has completed or failed
- node-enhanced-resolve (3.4.1-1)
- Offers a async require.resolve function
- node-entities (1.1.1-1)
- Encode and decode XML/HTML entities with ease
- node-err-code (1.1.2+dfsg-1)
- Create an error with a code
- node-errno (0.1.4-1)
- libuv errno details exposed - Node.js module
- node-error-ex (1.3.0-1)
- easy error subclassing and stack customization
- node-errorhandler (1.5.0-1)
- error handler middleware - Node.js
- node-errs (0.3.2-1)
- Simple error creation and passing utilities
- node-es5-ext (0.10.30-1)
- ECMAScript extensions and shims
- node-es5-shim (4.5.12-1)
- ECMAScript 5 compatibility shims for old JavaScript engines (Node.js)
- node-es6-error (4.1.1-1)
- Easily-extendable error for use with ES6 classes
- node-es6-iterator (2.0.1-1)
- ECMAScript 6 Iterator interface
- node-es6-map (0.1.5-1)
- ECMAScript6 Map polyfill
- node-es6-module-transpiler (0.10.0-2)
- Module transpiler from ECMAScript 6 for Node.js
- node-es6-promise (4.2.5-2)
- Lightweight async code library for JavaScript (Node.js module)
- node-es6-set (0.1.5-1)
- ECMAScript6 Set polyfill
- node-es6-shim (0.35.4+ds-2)
- ECMAScript 6 shims for legacy JavaScript engines (Node.js)
- node-es6-symbol (3.1.1-1)
- ECMAScript 6 Symbol polyfill
- node-es6-weak-map (2.0.2-1)
- ECMAScript6 WeakMap polyfill
- node-escape-html (1.0.3-1)
- simply escape HTML entities
- node-escape-string-regexp (1.0.5-1)
- Escape RegExp special characters in Node.js
- node-escodegen (1.11.0+dfsg-1)
- ECMAScript code generator
- node-escope (3.6.0+ds-2)
- ECMAScript scope analyzer
- node-eslint-plugin-flowtype (2.25.0-1)
- flow type linting rules for ESLint
- node-eslint-plugin-html (3.2.1-1)
- lint and fix inline scripts contained in HTML files
- node-espree (3.5.1+ds-1)
- Esprima-compatible JavaScript parser built on Acorn
- node-esprima (4.0.1+ds-1)
- ECMAScript parsing infrastructure for multipurpose analysis
- node-esprima-fb (15001.1001.0-dev-harmony-fb-1)
- Facebook-specific fork of the esprima project
- node-esrecurse (4.2.0-1)
- ECMAScript AST recursive visitor
- node-estraverse (4.2.0-1)
- ECMAScript JS AST traversal functions
- node-estree-walker (0.6.0-1)
- traverse an ESTree-compliant AST in Node.js
- node-esutils (2.0.2-1)
- utility box for ECMAScript language tools
- node-etag (1.4.0-1)
- entity tag creator for - Node.js module
- node-event-emitter (0.3.5-1)
- Environment agnostic event emitter
- node-eventemitter2 (0.4.13-2)
- Event emitter compatible with browsers - module for Node.js
- node-events (1.0.2-2)
- Node EventEmitter
- node-eventsource (0.2.1-1+deb10u1) [security]
- W3C compliant EventSource client for Node.js
- node-everything.js (1.0.3-1)
- Contains every ECMA-262 edition 5.1 grammatical production
- node-evp-bytestokey (1.0.3-8)
- secure key derivation algorithm in pure javascript
- node-execa (0.10.0+dfsg-1)
- better `child_process`
- node-exit (0.1.2-1)
- replacement for process.exit that ensures stdio is fully drained
- node-exit-hook (1.1.1-1)
- Run some code when the process exits
- node-expand-brackets (2.1.4-1)
- Expand POSIX bracket expressions
- node-expand-tilde (2.0.1-1)
- Bash-like tilde expansion for node.js
- node-expect.js (0.3.1+dfsg-1)
- behavior driven test assertions - Node.js module
- node-exports-loader (0.6.4-1)
- exports loader module for webpack
- node-express (4.16.4-1)
- web application framework for node
- node-express-generator (4.0.0-2)
- express applications generator
- node-extend (3.0.2-1)
- port of jQuery.extend for Node.js
- node-extend-shallow (3.0.2-1)
- extend an object with the properties of additional objects
- node-external-editor (2.0.4+dfsg-2)
- Edit a string with the users preferred text editor
- node-extglob (2.0.4-1)
- Extended glob support for JavaScript
- (3.0.2-2)
- Extract text from bundle into a file
- node-extsprintf (1.3.0-1)
- extended POSIX-style sprintf
- node-eyes (0.1.8-1)
- customizable value inspector for Node
- node-falafel (2.1.0-6)
- transform javascript AST on a recursive walk
- node-fancy-log (1.2.0-1)
- Log things, prefixed with a timestamp
- node-fast-deep-equal (1.0.0-1)
- Fast Deep Equal
- node-fast-levenshtein (2.0.5-1)
- efficient implementation of Levenshtein algorithm
- node-fastcgi (1.3.3-1)
- FastCGI server for Node.js
- node-fastcgi-stream (1.0.0-1)
- Node.js module to read and write FastCGI records
- node-faye-websocket (0.11.1-1)
- standards-compliant WebSocket library for Node.js
- node-fbjs (0.8.16-1)
- collection of utility libraries used by other Facebook JS projects
- node-fd-slicer (1.1.0-2)
- create multiple ReadStreams or WriteStreams from the same fd - Node.js module
- node-fetch (1.7.3-1+deb10u1) [security]
- light-weight module that brings window.fetch to node.js
- node-file-loader (0.11.2-1)
- file loader module for webpack
- node-file-sync-cmp (0.1.1-1)
- Synchronous file comparison
- node-filename-regex (2.0.0-1)
- Regular expression for matching file names
- node-filesize (3.5.11+dfsg-1)
- generate a human readable String describing the file size
- node-fill-range (5.0.0-1)
- fill in a range of numbers or letters
- node-finalhandler (1.1.1-1)
- final HTTP responder - Node.js module
- node-find-cache-dir (1.0.0-1)
- finds the common standard cache directory
- node-find-up (2.1.0-1)
- find a file by walking up parent directories
- node-findit2 (2.2.3-2)
- walk a directory tree recursively with events
- node-findup-sync (0.4.3-1)
- filename searcher function - Node.js module
- node-fined (1.0.2-2)
- Find a file given a declaration of locations
- node-finished
- virtueel pakket geboden door node-on-finished
- node-first-chunk-stream (2.0.0-2)
- buffer and transform the first chunk in a stream
- node-flagged-respawn (1.0.1-1)
- tool for respawning node binaries when special flags are present
- node-flashproxy (1.7-4)
- Pluggable transport to circumvent IP address blocking - nodejs proxy
- node-flow-remove-types (1.2.3-2)
- Removes Flow type annotations from JavaScript files
- node-flush-write-stream (1.0.3-1)
- Write stream constructor
- node-fn-name (2.0.1-2)
- Get the name of a named function
- node-follow-redirects (1.2.4-1)
- HTTP and HTTPS modules that follow redirects
- node-for-in (1.0.2-1)
- iterate over object
- node-for-own (1.0.0-1)
- Iterate over the own enumerable properties of an object
- node-foreground-child (1.5.6-6)
- helper running a child process as a foreground process
- node-forever-agent (0.6.1-1)
- HTTP agent supporting keep-alive requests - module for Node.js
- node-form-data (2.3.2-2)
- Create multipart/form-data streams module for Node.js
- node-formatio (1.2.0-2)
- Human-readable object formatting
- node-formidable (1.2.1-2)
- Multipart form data parser module for Node.js
- node-fragment-cache (0.2.1-1)
- Cache for managing namespaced sub-caches
- node-fresh (0.2.0-2)
- Check client cache staleness using HTTP headers - Node.js module
- node-from2 (2.3.0-1)
- wrapper for ReadableStream
- node-fs-exists-cached (= 1.0.0)
- virtueel pakket geboden door node-tap
- node-fs-exists-sync (0.1.0-1)
- Drop-in replacement for Node.js's `fs.existsSync` with zero dependencies
- (7.0.1-1)
- fs-extra contains methods not included in the Node.js fs module
- node-fs-readdir-recursive (1.0.0-1)
- Recursively read a directory
- node-fs-vacuum (1.2.10-2)
- Remove empty branches in a directory tree
- node-fs-write-stream-atomic (1.0.10-4)
- Like fs.createWriteStream(...), but atomic
- node-fs.realpath (1.0.0-1)
- Use node's fs.realpath
- node-fstream (1.0.10-1+deb10u1)
- Advanced filesystem streaming tools for Node.js
- node-fstream-ignore (0.0.6-2)
- Directory reader configurable by .ignore module for Node.js
- node-function-bind (1.1.1+ds-2)
- Implementation of Function.prototype.bind
- node-function-loop (= 1.0.1)
- virtueel pakket geboden door node-tap
- node-fuzzaldrin-plus (0.5.0+dfsg-1)
- Fuzzy filtering and string scoring - compatible with fuzzaldrin
- node-fuzzysort (1.1.1-1)
- Fast SublimeText-like fuzzy search for JavaScript
- node-gauge (2.7.4-1)
- terminal based horizontal progress bar
- node-generator-supported (0.0.1-1)
- Check if JS harmony generator is supported in environment
- node-generic-pool (3.1.1-1)
- generic resource pooling for Node.js
- node-genfun (4.0.1-1)
- fast, prototype-friendly multimethods
- node-geographiclib (1.49-4)
- GeographicLib Node.js module
- node-get (1.1.5+ds1-2)
- high-level HTTP client for NodeJS
- node-get-caller-file (1.0.2-1)
- inspects the v8 stack trace
- node-get-func-name (2.0.0+dfsg-1)
- Utility for getting a function's name for node and the browser
- node-get-stdin (5.0.1-1)
- Easier stdin for Node.js
- node-get-stream (3.0.0-1)
- Get a stream as a string, buffer, or array
- node-get-value (1:3.0.1-3)
- Use property paths to get a nested value from an object
- node-getobject (0.1.0-2+deb10u1)
- set and get deep objects easily - module for Node.js
- node-getpass (0.1.7-1)
- get a password from terminal
- node-gettext-parser (1.2.2-1)
- Parse and compile gettext po and mo files to/from json
- node-gettext.js (0.5.4-1)
- Lightweight yet complete GNU gettext port - Node.js module
- node-github-url-from-git (1.4.0-1)
- Convert github git or gist url to an http url - Node.js module
- node-glob (7.1.3-2)
- glob functionality for Node.js
- node-glob-base (0.3.0-1.1)
- returns an object with the (non-glob) base path
- node-glob-parent (3.1.0-1+deb10u1)
- Extract the non-magic parent path from a glob string
- node-glob-stream (5.3.4-1)
- wrapper around node-glob to make it streamy
- node-global-modules (1.0.0-1)
- directory used by npm for globally installed npm modules
- node-global-prefix (1.0.2-1)
- get the npm global path prefix
- node-globals (11.1.0-1)
- Global identifiers from different JavaScript environments
- node-globby (7.1.1-2)
- Extends glob with promise API
- node-globule (0.2.0-1)
- Easy-to-use wildcard globbing library for Node.js
- node-glogg (1.0.0-1)
- Global logging utility
- node-googlediff (0.1.0-2)
- Compares the differences between the two texts
- node-got (7.1.0-1)
- Simplified HTTP requests
- node-graceful-fs (4.1.11-1)
- drop-in replacement improving the Node.js fs module
- node-graceful-readlink (1.0.1-1)
- graceful fs.readlink
- node-graphlibrary (2.2.0+dfsg-1)
- directed and undirected multi-graph library
- node-growl (1.10.5-2)
- unobtrusive notification system for nodejs
- node-grunt-babel (7.0.0-3)
- grunt plugin for babel
- node-grunt-cli (1.2.0-4)
- command-line interface to Grunt JavaScript task runner
- node-grunt-contrib-clean (1.0.0-1)
- Clean files and folders
- node-grunt-contrib-coffee (1.0.0-1)
- Compile CoffeeScript files to JavaScript
- node-grunt-contrib-concat (1.0.1-3)
- Concatenate files
- node-grunt-contrib-copy (1.0.0-2)
- Copy files and folders
- node-grunt-contrib-internal (1.2.2-1)
- Internal tasks for managing the grunt-contrib projects
- node-grunt-contrib-nodeunit (1.0.0-1)
- Run Nodeunit unit tests
- node-grunt-contrib-requirejs (1.0.0-1)
- Optimize RequireJS projects using r.js
- node-grunt-contrib-uglify (2.0.0+dfsg-1)
- Minify JavaScript files with UglifyJS
- node-grunt-known-options (1.1.0-1)
- known options used in Grunt
- node-grunt-legacy-log (1.0.0-1)
- Grunt 0.4.x logger
- node-grunt-legacy-log-utils (1.0.0-1)
- Static methods for the Grunt 0.4.x logger
- node-grunt-legacy-util (1.0.0-1)
- Some old grunt utils provided for backwards compatibility
- node-grunt-replace (1.0.1-2)
- Replace text patterns with applause
- node-grunt-webpack (3.0.2-1)
- Use webpack with grunt
- node-gulp-babel (7.0.1-1)
- gulp plugin to use babel
- node-gulp-changed (3.2.0-1)
- gulp plugin to only pass through changed files
- node-gulp-coffee (2.3.4-1)
- Compile CoffeeScript files
- node-gulp-concat (2.6.1-1)
- Concatenates files
- node-gulp-flatten (0.4.0-2)
- remove or replace relative path for files
- node-gulp-load-plugins (1.5.0-1)
- Automatically load any gulp plugins in your package.json
- node-gulp-newer (1.3.0-1)
- Only pass through newer source files
- node-gulp-plumber (1.1.0-1)
- Prevent pipe breaking caused by errors from gulp plugins
- node-gulp-rename (1.2.2-2)
- gulp pulgin to rename files
- node-gulp-sourcemaps (1.9.1-2)
- Source map support for Gulp.js
- node-gulp-util (3.0.7-1)
- Utility functions for gulp plugins
- node-gulplog (1.0.0-1)
- Logger for gulp and gulp plugins
- node-gyp (3.8.0-6)
- Native addon build tool for Node.js
- node-gzip-size (3.0.0-1)
- Get the gzipped size of a string or buffer
- node-har-schema (2.0.0-1)
- JSON Schema for HTTP Archive (HAR)
- node-har-validator (5.1.0-1)
- Extremely fast HTTP Archive (HAR) validator using JSON Schema
- node-has (= 1.0.1)
- virtueel pakket geboden door node-function-bind
- node-has-ansi (2.0.0-1)
- Check if a string has ANSI escape codes in Node.js
- node-has-binary (0.1.7-1)
- Test if a JavaScript object contains binary data
- node-has-cors (1.1.0-1)
- Detect support for Cross-Origin Resource Sharing
- node-has-flag (2.0.0-1)
- check if argv has a specific flag
- node-has-gulplog (0.1.0-1)
- check if gulplog is available before attempting to use it
- node-has-symbol-support-x (1.4.1+dfsg-1)
- Tests if ES6 Symbol is supported
- node-has-to-string-tag-x (1.4.1+dfsg-1)
- Tests if ES6 @@toStringTag is supported
- node-has-unicode (2.0.1-2)
- Try to guess if your terminal supports unicode
- node-has-value (0.3.1-2)
- Returns true if a value exists
- node-has-values (0.1.4-1)
- Returns true if any values exist
- node-hash-base (3.0.4-2)
- abstract base class for javascript hash-streams
- node-hash-sum (1.0.2-1)
- Blazing fast unique hash generator
- node-hash-test-vectors (1.3.2+dfsg-2)
- test vectors for hashes
- node-hash.js (1.1.5-1)
- Hash functions that could be run by both browser and nodejs
- node-hashish (0.0.4+git34dfe43-1)
- maniuplate hash data structures in Node.js
- node-hawk (6.0.1+dfsg-1+deb10u1) [security]
- HTTP Hawk Authentication Scheme
- node-he (1.1.1-1)
- robust HTML entities encoder/decoder with full Unicode support
- node-help-me (1.0.1-1)
- help command for node, partner of minimist and commist
- node-highlight (9.12.0+dfsg1-4+deb10u1)
- Transitional package for node-hightlight.js
- node-highlight
- virtueel pakket geboden door node-highlight.js
- node-highlight.js (9.12.0+dfsg1-4+deb10u1)
- JavaScript library for syntax highlighting - NodeJS
- node-hmac-drbg (1.0.1-2)
- Deterministic random bit generator (hmac) in pure javascript
- node-hoek (6.1.2-1)
- General purpose node utilities for hapi ecosystem
- node-hook-std (0.2.0-1)
- Hook and modify stdout/stderr
- node-hooker (0.2.3-1)
- Useful debugging library for Node.js
- node-hosted-git-info (2.7.1-1+deb10u1)
- Provides metadata from Github, Bitbucket and Gitlab
- (1.1.1-1)
- Regular expression for matching HTML comments
- node-html5shiv (3.7.3+dfsg-2)
- enable use of HTML5 sectioning elements in legacy browser
- node-htmlescape (1.1.1-3)
- escape string for safe use in html
- node-htmlparser (1.7.5+ds1-1)
- forgiving HTML/XML/RSS Parser in Javascript for NodeJS
- node-htmlparser2 (3.9.2-1)
- Fast & forgiving HTML/XML/RSS parser
- node-http-errors (1.7.1-1)
- Create HTTP error objects
- node-http-signature (1.2.0-1)
- Reference implementation of Joyent's HTTP Signature scheme
- node-https-browserify (1.0.0-1)
- https module compatibility for browserify
- node-husl (6.0.1+dfsg-2)
- Human-friendly HSL - NodeJS
- node-iconv (2.3.2-1)
- text recoding module for Node.js
- node-iconv-lite (0.4.13-2)
- Pure JS character encoding conversion
- node-icss-replace-symbols (1.1.0-2)
- Replace symbols during the linking phase of ICSS
- node-icss-utils (3.0.1-1)
- ICSS utils for postcss ast
- node-ieee754 (1.1.12-1)
- Libray to read or write IEEE754 floating point numbers
- node-iferr (1.0.2-1)
- Higher-order functions for easier error handling
- node-ignore-by-default (1.0.1-1)
- List of directories you should ignore by default
- node-imagemagick (0.1.3-1)
- imagemagick module for NodeJS
- node-immediate (3.2.3+dfsg-1)
- Immediate is a cross browser microtask library
- node-import-lazy (3.0.0.REALLY.2.1.0-1)
- import a module lazily
- node-imports-loader (0.7.1-1)
- imports loader module for webpack
- node-imurmurhash (0.1.4-1)
- incremental implementation of MurmurHash3 hashing algorithm
- node-indent-string (3.2.0-1)
- Indent each line in a string
- node-inflight (1.0.6-1)
- add callbacks to requests in flight to avoid async duplication
- node-inherits (2.0.3-1)
- Exposes inherits function from Node.js environment
- node-ini (1.3.5-1+deb10u1)
- ini format parser and serializer for Node.js
- node-inline-source-map (0.6.2~git-3)
- base64 encoded source mappings for a generated file
- node-inquirer (3.3.0-2)
- embeddable command line interface for Node.js
- node-interpret (1.0.1-1)
- dictionary of file extensions and associated module loaders
- node-invariant (2.2.2-1)
- assert function
- node-invert-kv (1.0.0-1)
- invert the key/value of an object
- node-ip (1.1.5-3)
- IP address utilities for node.js
- node-ip-regex (3.0.0-1)
- Regular expression for matching IP addresses
- node-ipaddr.js (0.1.3-1)
- IPv4 and IPv6 addresses manipulation - Node.js module
- node-irregular-plurals (1.2.0-2)
- Map of nouns to their irregular plural form
- node-is-accessor-descriptor (2.0.0-1)
- Identifies value with valid JS accessor descriptor characteristics
- node-is-arrayish (0.3.2-1)
- Determines if an object can be used as an array
- node-is-binary-path (2.0.0-1)
- check if a filepath is a binary file
- node-is-buffer (1.1.6-1)
- Determine if an object is a Buffer
- node-is-builtin-module (2.0.0-1)
- Check if string matches name of a Node.js builtin module
- node-is-data-descriptor (0.1.4-1)
- True if a value has characteristics of a valid JS data descriptor
- node-is-descriptor (2.0.0-1)
- Returns true if value has characteristics of a valid JS descriptor
- node-is-directory (0.3.1-1)
- checks if a given path is directory
- node-is-dotfile (1.0.2-1)
- Return true if a file path is (or has) a dotfile
- node-is-equal-shallow (0.1.3-1)
- Does a shallow comparison of two objects.
- node-is-extendable (1.0.1-1)
- determine if a value can be extended
- node-is-extglob (2.1.1-1)
- Node module to return true if a string is an extglob
- node-is-generator-fn (1.0.0-2)
- Check if something is a generator function
- node-is-glob (4.0.0-1)
- Node module to return true if a string is a glob or extglob
- node-is-module (1.0.0-2)
- Node.js code to check if a string is an ES6 module
- node-is-negated-glob (1.0.0-1)
- returns object with `negated` boolean
- node-is-npm (1.0.0-1)
- Checks if your code is running as an npm script
- node-is-number (7.0.0-1)
- returns true if the value is a number
- node-is-obj (1.0.1-2)
- Check if a JavaScript value is an object
- node-is-object (1.0.1-1)
- Checks whether a value is an object
- node-is-path-cwd (1.0.0-1)
- Check if a path is CWD
- node-is-path-in-cwd (1.0.0-1)
- Check if a path is in the current working directory
- node-is-path-inside (1.0.0-1)
- Check if a path is inside another path
- node-is-plain-obj (1.1.0-1)
- Check if a value is a plain object
- node-is-plain-object (2.0.4+dfsg-1)
- Returns true if object was created by `Object` constructor
- node-is-primitive (2.0.0-1)
- Returns `true` if the value is a primitive
- node-is-promise (2.1.0-1)
- Test whether an object looks like a promises-a+ promise
- node-is-reference (1.1.1-1)
- Node.js code to determine whether an AST node is a reference
- node-is-retry-allowed (1.1.0-1)
- Is retry allowed for Error?
- node-is-stream (1.1.0-1)
- Check if something is a Node.js stream
- node-is-typedarray (1.0.0-2)
- JavaScript library checking if object is TypedArray
- node-is-unc-path (0.1.2-1)
- returns true if a filepath is a windows UNC file path
- node-is-valid-glob (0.3.0-1)
- Return true if a value is a valid glob pattern or patterns
- node-is-windows (1.0.2-1)
- True if the platform is windows
- node-isarray (2.0.4-1)
- JavaScript Array#isArray for older browsers
- node-iscroll (5.2.0+dfsg1-1)
- High performance, small footprint JavaScript scroller NodeJS module
- node-isexe (2.0.0-4)
- minimal module to check if a file is executable
- node-isobject (3.0.1-1)
- Checks if the value is an object and not an array or null
- node-isomorphic-fetch (2.2.1-1)
- Isomorphic WHATWG Fetch API, for Node & Browserify
- node-isstream (0.1.2+dfsg-1)
- Determine if an object is a Stream
- node-istanbul (0.4.5+ds-5)
- JavaScript code coverage tool
- node-isurl (1.0.0-1)
- Checks whether a value is a WHATWG URL
- node-jade (1.5.0+dfsg-1)
- high performance template engine - Node.js module
- node-jake (0.7.9-1)
- JavaScript build tool for Node.js
- node-jed (1.1.1-1)
- Gettext Style i18n for Modern JavaScript Apps - Node.js module
- node-jison-lex (0.3.4-3)
- lexical analyzer generator used by jison
- node-jju (1.1.0-1)
- set of utilities to work with JSON / JSON5 documents
- node-jquery (2.2.4+dfsg-4)
- NodeJS wrapper for jQuery
- node-jquery-mousewheel (1:3.1.13-2)
- jQuery plugin to add cross-browser mouse wheel support (Node.js)
- node-jquery-textcomplete (1.7.3+dfsg-1)
- implement auto-complete support for textareas
- node-jquery-ui (1.12.1+dfsg-5+deb10u1) [security]
- JavaScript UI library for dynamic web applications (NodeJs)
- node-jquery-ujs (1.2.2-2)
- Unobtrusive scripting adapter for jQuery
- node-jquery.waitforimages (2.4.0+ds-1)
- useful callbacks once descendant images have loaded
- node-js-beautify (1.7.5+dfsg-3)
- beautifier.io for node
- node-js-cookie (2.2.0-2)
- Lightweight JavaScript cookie API
- node-js-tokens (2.0.0-1)
- Regex that tokenizes JavaScript
- node-js-yaml (3.11.0+dfsg-1)
- YAML 1.2 parser and serializer
- node-jsbn (1.1.0-1)
- fast, portable implementation of large-number math in pure JS
- node-jschardet (1.6.0+dfsg-3)
- Character encoding auto-detection in JavaScript
- node-jsesc (2.5.2-1)
- Escape JavaScript strings, with short output (Node.js module)
- node-json-buffer (3.0.0-1)
- JSON functions that can convert buffers.
- node-json-loader (0.5.4-1)
- json loader for webpack
- node-json-localizer (0.0.3-1)
- Utility to localize a json object
- node-json-parse-better-errors (1.0.2-2)
- JSON.parse() with context information on error
- node-json-parse-helpfulerror (1.0.3-2)
- drop-in replacement for JSON.parse that uses `jju`
- node-json-schema (0.2.3-1+deb10u1) [security]
- JSON Schema validation and specifications
- node-json-schema-traverse (0.3.1-1)
- Json schema traveral package
- node-json-stable-stringify (1.0.1-1)
- deterministic JSON.stringify()
- node-json-stringify-safe (5.0.1-1)
- JSON.stringify with circular references module for Node.js
- node-json2module (0.0.3-1)
- Convert a JSON object to an ES6 module
- node-json3 (3.3.2-1)
- modern JSON implementation
- node-json5 (0.5.1-1+deb10u1) [security]
- JSON for the ES5 era
- node-jsonfile (5.0.0-1)
- Easily read/write JSON files in Node.js
- node-jsonify (0.0.0-1)
- JSON without touching any globals
- node-jsonld (1.5.3-1)
- JSON-LD processor - Node library
- node-jsonminify (0.4.1+dfsg1-1)
- Minify blocks of JSON-like content into valid JSON
- node-jsonparse (1.3.1-6)
- Pure javascript JSON streaming parser for node.js
- node-jsonselect (0.4.0+dfsg3-1)
- CSS-like selectors for JSON
- node-jsonstream (1.3.2-1)
- streaming JSON.parse and stringify
- node-jsprim (1.4.0-1)
- utilities for primitive JavaScript types
- node-jssip (0.6.34-5)
- JavaScript implementation of a WebRTC SIP video phone
- node-jstimezonedetect (1.0.6-2)
- Finds the zone info key representing the timezone setting
- node-jsv (4.0.0+ds1-1)
- extendable, fully compliant JSON schema validator for NodeJS
- node-jszip (3.1.4+dfsg-1+deb10u1)
- Create, read and edit .zip files with Javascript
- node-jszip-utils (0.0.2+dfsg-1)
- collection of cross-browser utilities to go along with JSZip
- node-keese (1.1.1-1)
- arbitrary-precision floats encoded as strings - Node.js module
- node-kew (0.7.0-1)
- lightweight promise library for node
- node-keygrip (1.0.1-1)
- Key signing and verification for rotated credentials for Node.js
- node-keypress (0.2.1-1)
- Make any Node ReadableStream emit "keypress" events
- node-kind-of (6.0.2+dfsg-1+deb10u1)
- Get the native type of a value
- node-klaw (3.0.0-1)
- File system walker for Node.js
- node-knockout (3.4.2-2+deb10u1)
- JavaScript MVVM framework
- node-knockout-sortable (1.1.0+dfsg-2)
- JQuery-UI "sortable" binding for Knockout
- node-knockout-transformations (2.1.0-2)
- Live transform methods for Knockout observable arrays
- node-labeled-stream-splicer (2.0.1-1)
- streaming pipeline with a mutable configuration and labels
- node-languages4translatewiki (0.1.3-1)
- Javascript globalization and localization for Node.js
- node-lastfm (0.9.2-1)
- Read and write to Last.fm - Node.js module
- node-latest-version (3.1.0-1)
- Get the latest version of an npm package
- node-lazy-cache (2.0.1-1)
- Cache requires to be lazy-loaded when needed
- node-lazy-debug-legacy (0.0.1-1)
- Generates module names for vision media's debug
- node-lazy-property (1.0.0-3)
- Adds a lazily initialized property to the object
- node-lazystream (1.0.0-1)
- Open streams on demand - Node.js module
- node-lcid (1.0.0-1)
- map standard locale identifies and lcid
- node-lcov-parse (1.0.0+20170612git80d039574ed9-2)
- Parse lcov results files and return JSON
- node-leaflet (1.4.0~dfsg-5)
- mobile-friendly interactive maps - Node.js library
- node-leaflet-formbuilder (0.2.1-3)
- Helpers to build forms in Leaflet
- node-leaflet-hash (0.2.1-2)
- linkable location hashes for leaflet
- node-leaflet-image (0.4.0~dfsg-1)
- image export for Leaflet - Node.js library
- node-leaflet.markercluster (1.4.1~dfsg-3)
- marker clustering functionality for Leaflet - Node.js library
- node-less (1.6.3~dfsg-3)
- LESS CSS meta-language - compiler and Node module
- node-leveldown (1.5.0+dfsg-3+b1)
- LevelDB bindings - Node.js module
- node-leven (2.1.0-2)
- library to measure the difference between two strings
- node-levn (0.3.0+dfsg-1)
- Light ECMAScript (JavaScript) Value Notation
- node-lex-parser (0.1.4-5)
- parser for lexical grammars used by jison and jison-lex
- node-lexical-scope (1.2.0+dfsg1-2)
- detect global and local lexical identifiers in javascript
- node-libnpx (10.2.0+repack-1)
- support library for npx
- node-libravatar (2.0.0-6)
- libravatar library for NodeJS
- node-libs-browser (2.2.0-1)
- node core libs for in browser usage
- node-lie (3.1.1+dfsg-1)
- basic but performant promise implementation
- node-liftoff (2.3.0-3)
- command line tool launcher
- node-lightgallery (1.6.11+dfsg-1)
- Modular lightbox gallery plugin for jQuery
- node-load-grunt-tasks (3.5.2-1)
- Load multiple grunt tasks using globbing patterns
- node-load-json-file (2.0.0-1)
- read and parse a JSON file
- node-loader-runner (2.3.0-1)
- Runs (webpack) loaders
- node-loader-utils (1.1.0-2+deb10u1) [security]
- utils for webpack loaders
- node-locate-character (2.0.1-3)
- Helper to find the line and column of a character in a string
- node-locate-path (2.0.0-1)
- Get the first path that exists on disk of multiple paths
- node-lockfile (1.0.4-1)
- Asynchronous file lock module for Node.js
- node-lodash (4.17.11+dfsg-2+deb10u1)
- Lo-dash is a Node.js utility library
- node-lodash-compat (3.10.2-1)
- compatibility build of lodash modular utilities
- node-lodash-packages (4.17.11+dfsg-2+deb10u1)
- Lo-dash is a Node.js utility library (per method packages)
- node-lodash-reescape (3.0.0-1)
- modern build of lodash’s internal `reEscape` as a module
- node-lodash-reevaluate (3.0.0-1)
- modern build of lodash’s internal `reEvaluate` as a module
- node-log-driver (1.2.7+git+20180219+bba1761737-2)
- simple logging framework in pure javascript
- node-log4js (4.0.2-2+deb10u1) [security]
- Conversion of the log4js framework to work with Node.js
- node-lolex (2.2.0-1)
- Fake JavaScript timers
- node-loose-envify (1.3.1+dfsg1-1)
- Replace Node.js environment variables with plain strings
- node-loud-rejection (1.6.0-1)
- make unhandled promise rejections fail loudly
- node-lowercase-keys (1.0.0-2)
- Lowercase the keys of an object
- node-lru-cache (5.1.1-4)
- least-recently-used cache object for Node.js
- node-ltx (2.6.2-1)
- XML DOM builder library for Node
- node-lunr (2.3.5~dfsg-7)
- simple full-text search - Node.js
- node-macaddress (0.2.9-2)
- Get MAC addresses of host network interfaces in Node.js
- node-magic-string (0.25.2-1)
- Modify strings, generate sourcemaps
- node-make-dir (1.0.0-1)
- Make a directory and its parents if needed - Think mkdir -p
- node-map-cache (0.2.2-1)
- Basic cache object for storing key-value pairs
- node-map-obj (2.0.0-1)
- Map object keys and values into a new objects
- node-map-visit (1.0.0-1)
- Map `visit` over an array of objects
- node-mapnik (3.7.2+dfsg-5)
- bindings to the Mapnik tile rendering library for Node.js
- node-markdown-it-html5-embed
- virtueel pakket geboden door libjs-markdown-it-html5-embed
- node-marked (0.5.1+dfsg-1)
- Full-featured markdown parser and compiler for NodeJS
- node-marked-man (0.4.0-1)
- Markdown to man page conversion - Node.js
- node-match-at (0.1.1-1)
- Relocatable regular expressions
- node-matcher (1.0.0-2)
- Simple wildcard matching
- node-matrix-js-sdk (0.9.2-1)
- JavaScript Client-Server SDK for the Matrix protocol
- node-mbtiles (0.3.2-2)
- Tilelive store for writing to MBTiles format - Node.js module
- node-md5-hex (2.0.0-2)
- Create a MD5 hash with hex encoding
- node-md5-o-matic (0.1.1-2)
- Fast and simple MD5 hashing utility with zero module dependencies
- node-md5.js (1.3.5-2)
- implementation of MD5 in pure JavaScript
- node-mdn-data (1.0.0-1)
- Open Web data by the Mozilla Developer Network
- node-media-typer (0.3.0-2)
- RFC 6838 media type parser and formatter - Node.js module
- node-mem (1.1.0-1)
- Memoize functions
- node-memory-fs (0.4.1-1)
- simple in-memory filesystem
- node-meow (3.7.0-1)
- Command-line interface app helper
- node-merge (1.2.0-2)
- JavaScript utility for merging multiple objects into one.
- node-merge-descriptors (1.0.1-2)
- merge objects using descriptors
- node-merge-stream (1.0.1-2)
- Create a stream that emits events from multiple other streams
- node-mess (0.1.2-1)
- Fisher–Yates shuffle algorithm implementation for Node.js
- node-methods (1.1.0-1)
- contains the HTTP methods that Node.js supports
- node-mgrs (0.0.3-3)
- Utility for converting between WGS84 lat/lng and MGRS coordinates
- node-micromatch (2.3.11-1)
- Glob matching for javascript/node.js
- node-miller-rabin (4.0.1-5)
- Miller Rabin algorithm for primality test
- node-millstone (0.6.17-2)
- prepare datasources in an MML file for consumption in mapnik
- node-mime (2.4.0-1)
- library for mime-type mapping for Node.js
- node-mime-types (2.1.21-1)
- ultimate JavaScript content-type utility - Node.js module
- node-mimic-fn (1.1.0-1)
- Make a function mimic another one
- node-mimic-response (1.0.0-1)
- Mimic a Node.js HTTP response stream
- node-minimalistic-crypto-utils (1.0.1-2)
- Minimalistic tools for JS crypto modules
- node-minimatch (3.0.4-3+deb10u1) [security]
- Convert glob expressions into RegExp objects for Node.js
- node-minimist (1.2.0-1+deb10u2)
- Argument options parsing for Node.js
- node-mirror (0.3.3-3)
- content aggregator for NodeJS
- node-mississippi (3.0.0-1)
- collection of useful streams
- node-mithril (1.1.6-2)
- Javascript framework for building Single Page Applications
- node-mixin-deep (1.1.3-3+deb10u1)
- Deeply mix the properties of objects into the first object
- node-mkdirp (0.5.1-1)
- Recursively create directories - Node.js module
- node-mocha (= 4.1.0+ds3-5)
- virtueel pakket geboden door mocha
- node-mocha-lcov-reporter (1.3.0-1)
- LCOV reporter for Mocha
- node-mocks-http (1.5.1-3)
- Mock 'http' objects for testing Express routing functions
- node-modern-syslog (1.1.4-2)
- native syslog client library for Node.js
- node-modestmaps (3.3.6+ds2-1)
- display and interaction library for tile-based maps - NodeJS
- node-modify-babel-preset (3.2.1-2)
- Create a modified babel preset based on an existing preset
- node-module-deps (6.1.0-1)
- walk the dependency graph to generate json output for browser-pack
- node-moment (2.24.0+ds-1+deb10u1) [security]
- Work with dates in JavaScript (Node.js module)
- node-moment-timezone (0.5.23+dfsg1-1)
- Parse and display dates in any timezone (node.js library)
- node-mongodb (3.1.13+~3.1.11-2+deb10u1)
- official MongoDB driver for Node.js
- node-mongodb-core (= 3.1.9)
- virtueel pakket geboden door node-mongodb
- node-monocle (1.1.51+dfsg-1)
- Watch directories for file changes - Node.js module
- node-mousetrap (1.6.1+ds-1)
- Simple library for handling keyboard shortcuts
- node-move-concurrently (1.0.1-2)
- Move files and directories concurrently
- node-mqtt-packet (6.0.0-2)
- parse and generate MQTT packets
- node-ms (2.1.1-1)
- milliseconds conversion utility - Node.js module
- node-multimatch (2.1.0-1)
- Extends minimatch.match() with support for multiple patterns
- node-multiparty (4.2.1-1)
- Multipart/form-data parser for Node.js
- node-multipipe (1.0.2-1)
- pipe streams with centralized error handling
- node-music-library-index (1.2.2-1)
- build a searchable object model given track metadata objects - Node.js module
- node-mustache (2.3.2-1)
- Mustache rendering engine for Javascript - NodeJS
- node-mutate-fs (2.1.1-1)
- Mutates the node.js file-system behavior for tests
- node-mute-stream (0.0.8-1)
- Pass-through stream that can be muted module for Node.js
- node-mysql (2.16.0-1+deb10u1)
- MySQL client implementation for Node.js
- node-mz (2.7.0-1)
- Modernize node.js to current ECMAScript specifications
- node-n3 (1.0.4-1)
- fast asynchronous streaming RDF for JavaScript - Node library
- node-nan (2.12.1-1)
- Native Abstractions for Node.js
- node-ncp (0.6.0-1)
- Asynchronous recursive file copy utility - Node.js module
- node-negotiator (0.6.1-1)
- HTTP content negotiator for Node.js
- node-neo-async (2.5.0-2)
- faster replacement for Async
- node-node-dir (0.1.17-1)
- asynchronous file and directory operations for Node.js
- node-node-expat (2.3.17-1)
- fast XML parser library for Node
- node-node-forge (0.8.1~dfsg-1+deb10u1)
- JavaScript implementation of TLS and more - Node library
- node-node-localstorage (1.3.0-2)
- substitute for the browser native localStorage API
- node-node-rest-client (2.5.0-3)
- Rest API client for NodeJS
- node-node-stringprep (0.8.0-4)
- ICU StringPrep profiles for Node
- node-node-uuid (3.3.2-2)
- transitional package
- node-node-xmpp (0.3.2-4)
- idiomatic XMPP library for Node
- node-nodedbi (1.0.12-4)
- libdbi interface for Node.js
- node-nodeunit (= 0.11.2+ds3-2)
- virtueel pakket geboden door nodeunit
- node-nomnom (1.8.1-1)
- Option parser with generated usage and commands for Node.js
- node-nopt (3.0.6-3)
- Command-line option parser for Node.js
- node-normalize-git-url (3.0.1-1)
- normalizes Git URLs
- node-normalize-package-data (2.4.0-1)
- Normalizes package metadata - Node.js module
- node-normalize-path (2.0.1-2)
- Normalize file path slashes to be unix-like forward slashes
- node-normalize-range (0.1.2-1)
- Utility for normalizing a numeric range
- node-normalize.css (8.0.1-3)
- Modern alternative to CSS resets
- node-npm-bundled (1.0.3-1)
- Parses info on bundled dependencies
- node-npm-package-arg (6.0.0-2)
- Parse the things that can be arguments to npm install
- node-npm-run-path (2.0.2-2)
- Get your PATH prepended with locally installed binaries
- node-npmlog (4.1.2-1)
- Logger with custom levels and colored output for Node.js
- node-npmrc (1.1.1-1)
- Switch between different .npmrc files
- node-nth-check (1.0.1-1+deb10u1) [security]
- performant nth-check parser & compiler
- node-number-is-nan (1.0.0-1)
- ES6 Number.isNaN() ponyfill
- node-nwmatcher (1.3.3+dfsg-2)
- CSS3-compliant JavaScript selector engine
- node-oauth (0.9.11-1)
- OAuth wrapper for Node.js
- node-oauth-sign (0.9.0-1)
- OAuth 1 signing module for Node.js
- node-obj-util (2.0.0-1)
- simple helper to set/get keys from objects
- node-object-assign (4.1.1-2)
- ES2015 Object.assign() ponyfill
- node-object-assign-sorted (1.0.0-1)
- Object.assign with sorted keys
- node-object-copy (0.1.0-1)
- copy properties of one object to another
- node-object-inspect (1.5.0-2)
- string representations of objects in node and the browser
- node-object-key (0.2.0-2)
- Nodejs object key helpers
- node-object-path (0.11.4-2+deb10u2) [security]
- Access deep object properties using a path
- node-object-visit (1.0.1-1)
- Call a specified method on each value in the given object
- node-object.assign (= 4.1.1-2)
- virtueel pakket geboden door node-object-assign
- node-object.omit (2.0.1-1)
- Return a copy of an object excluding the given key
- node-on-finished (2.3.0-1)
- calls back on request close, finish or error - Node.js module
- (1.0.1-1)
- HTTP response headers listener - Node.js module
- node-once (1.4.0-3)
- Run a function only once with this module for Node.js
- node-opencv (6.0.0+git20180416.cfc96ba0-3)
- OpenCV Bindings for node.js
- node-opener (1.4.3-1)
- Opens stuff, like webpages and files and executables
- node-openid (0.5.9-1)
- OpenID client library for Node.js
- node-opentip (2.4.6-2)
- JavaScript tooltip class
- node-optimist (0.6.1-1)
- light-weight option parsing library for NodeJS
- node-optionator (0.8.2+dfsg-1)
- option parsing and help generation
- node-orchestrator (0.3.8-1)
- sequence and execute tasks and dependencies in concurrency
- node-ordered-read-streams (1.0.1-2)
- combines array of streams into one read stream in strict order
- node-original (1.0.0-1)
- Generate the origin from an URL or check if two URL/Origins are the same
- node-os-browserify (0.3.0-1)
- 'os' module from node.js, but for browsers
- node-os-locale (2.0.0-1)
- get the system locale
- node-os-tmpdir (1.0.2-1)
- Node.js os.tmpdir() ponyfill
- node-osenv (0.1.5-1)
- Environment settings lookup module for Node.js
- node-output-file-sync (1.1.2-1)
- Synchronously write a file and create its ancestor directories if needed
- node-own-or (= 1.0.0)
- virtueel pakket geboden door node-tap
- node-own-or-env (= 1.0.1)
- virtueel pakket geboden door node-tap
- node-p-cancelable (0.3.0-1)
- Create a promise that can be canceled
- node-p-finally (1.0.0-2)
- Invoked when the promise is settled regardless of outcome
- node-p-is-promise (1.1.0-1)
- Check if something is a promise
- node-p-limit (1.1.0-1)
- run multiple promise-returning & async functions
- node-p-locate (2.0.0-1)
- async version of Array#find
- node-p-map (1.2.0-1)
- Map over promises concurrently
- node-p-timeout (1.2.0-1)
- Timeout a promise after a specified amount of time
- node-package (1.0.1-1)
- easy package.json exports
- node-package-json (4.0.1-1)
- Get metadata of a package from the npm registry
- node-package-preamble (0.1.0-1)
- Generate a tiny preamble from a package.json
- node-pad (1.0.2-1)
- Left and right string padding
- node-pako (1.0.7+ds-1)
- fast zlib port to pure javascript
- node-parallel-transform (1.1.0-2)
- Runs transforms in parallel
- node-parents (1.0.1-4)
- Node.js module returning all the parent directories
- node-parse-asn1 (5.1.1-1)
- utility library for parsing asn1 files
- node-parse-base64vlq-mappings (0.1.4-2)
- Parses out base64 VLQ encoded source map
- node-parse-filepath (1.0.1-1)
- Parses a filepath into an object
- node-parse-glob (3.0.4+dfsg-1.1)
- Parse a glob pattern into an object of tokens
- node-parse-json (2.2.0-1)
- parse JSON with more helpful errors
- node-parse-ms (1.0.1-2)
- Parse milliseconds into an object
- node-parseurl (1.3.0-1)
- parse a url with memoization
- node-pascalcase (0.1.1-1)
- Convert a string to pascal-case
- node-passport (0.1.17-1)
- simple, unobtrusive authentication system for Node.js
- node-passport-oauth (0.1.7-1)
- simple, unobtrusive authentication system for Node.js - OAuth strategy
- node-path-browserify (0.0.0-1)
- path module from node core for browsers
- node-path-dirname (1.0.2-1)
- Node.js library for function path.dirname ponyfill
- node-path-exists (3.0.0-1)
- Check if a path exists
- node-path-is-absolute (1.0.0-1)
- Node.js 0.12 path.isAbsolute() ponyfill
- node-path-is-inside (1.0.2-1)
- Tests whether one path is inside another path
- node-path-root (0.1.1-1)
- Get the root of a posix or windows filepath
- node-path-root-regex (0.1.2-1)
- regex for the root of a posix or windows filepath
- node-path-to-regexp (3.0.0-1)
- express style path to RegExp utility
- node-path-type (3.0.0-1)
- check if a path is a file, directory, or symlink
- node-pathval (1.1.0-3+deb10u1)
- Node.js module for object value access from a path
- node-pbkdf2 (3.0.16-1)
- RSA PKCS#5 v2.0 module in JavaScript
- node-peg
- virtueel pakket geboden door node-pegjs
- node-pegjs (0.7.0-2)
- JavaScript parser generator
- node-pend (1.2.0-1)
- simple parallel async callback helper - Node.js module
- node-performance-now (2.1.0+debian-1)
- Implements performance.now (based on process.hrtime)
- node-pg (7.7.1-3)
- PostgreSQL client library for Node
- node-pify (3.0.0-1)
- promisify a callback-style function
- node-pikaday (1.8.0-1)
- Lightweight JavaScript datepicker
- node-pinkie (2.0.4-1)
- Itty bitty little widdle twinkie pinkie ES2015 Promise implementation
- node-pinkie-promise (2.0.1-1)
- ES2015 Promise ponyfill
- node-pinkyswear (2.2.3+dfsg-2)
- very small implementation of the Promises/A+ specification
- node-pkg-dir (2.0.0-1)
- find the root directory of a npm package
- node-pkg-up (1.0.0-1)
- Find the closest package.json file
- node-platform (1.3.5-1)
- platform detection lib works on nearly all JavaScript platforms
- node-plugin-error (0.1.2-1)
- Error handling for vinyl plugins
- node-plur (2.1.2-2)
- Pluralize a word
- node-po2json (0.4.5-1)
- po2json for Nodejs
- node-policyfile (0.0.6+ds-1)
- Flash Socket Policy File Server for Node.js
- node-popper.js
- virtueel pakket geboden door libjs-popper.js
- node-posix-character-classes (0.1.0-1)
- POSIX character classes for creating regular expressions
- node-posix-getopt (1.2.0+20150728-2)
- POSIX-style getopt()
- node-postcss (6.0.23-1)
- Tool for transforming styles with JS plugins
- node-postcss-colormin (2.2.2-2)
- Minify colors in your CSS files with PostCSS
- node-postcss-convert-values (2.6.1-2)
- Convert values with PostCSS (e.g. ms -> s)
- (2.0.4-2)
- Discard comments in your CSS files with PostCSS
- node-postcss-filter-plugins (2.0.2-1)
- Exclude/warn on duplicated PostCSS plugins
- node-postcss-minify-font-values (1.0.5-1)
- Minify font declarations with PostCSS
- (1.2.0-2)
- CSS Modules transform to extract local aliases for inline imports
- node-postcss-modules-values (1.3.0-2)
- pass arbitrary values between your module files
- node-postcss-value-parser (3.3.0-2)
- Transforms css values and at-rule params into the tree
- node-pre-gyp (0.10.2-3)
- Native addon deployment tool for Node.js
- node-preact (8.2.5-1)
- React alternative with the same ES6 API
- node-prelude-ls (1.1.2+dfsg-3)
- functionally oriented utility library
- node-prepend-http (2.0.0-1)
- Prepend `http://` to humanized URLs like todomvc.com and localhost
- node-preserve (0.2.0-1)
- Protect tokens from being mutated when the string is transformed
- node-pretty-bytes (4.0.2-2)
- Convert bytes to a human readable string
- node-pretty-hrtime (1.0.3-1)
- process.hrtime() to words
- node-pretty-ms (3.2.0-1)
- Convert milliseconds to a human readable string
- node-private (0.1.8-3)
- Utility for associating truly private state with any JavaScript object
- node-process (0.11.10-2)
- process information for node.js and browsers
- node-process-nextick-args (2.0.0-1)
- process.nextTick but always with args
- node-progress (1.1.8-1)
- Flexible ascii progress bar
- node-proj4 (2.3.17+ds-1)
- Node.js module to transform point coordinates systems
- node-promise (8.0.1-1)
- bare bones Promises/A+ implementation - Node.js module
- node-promise-inflight (1.0.1-1)
- one promise for multiple requests in flight to avoid async duplication
- node-promise-retry (1.1.1-2)
- Retries a function that returns a promise
- node-promzard (0.3.0-1)
- Promzard provides a prompting json wizard
- node-prop-types (15.6.0+ds-1)
- Runtime type checking for React props and similar objects
- node-proper-lockfile (2.0.1-1)
- Inter-process and inter-machine lockfile utility
- node-proto-list (1.2.4-1)
- utility for managing a prototype chain
- node-proxy-addr (1.0.3-1)
- remote address filter for proxied requests - Node.js module
- node-prr (1.0.1-1)
- Object.defineProperty() with common defaults - Node.js module
- node-pseudomap (1.0.2-1)
- like ES6 `Map`, but without iterators
- node-pseudorandombytes (2.0.0-1)
- crypto.pseudoRandomBytes but for use with browserify
- node-public-encrypt (4.0.0-2)
- browserify version of publicEncrypt & privateDecrypt
- node-puka (1.0.0+dfsg-1)
- Safely pass strings through shells - Node.js module
- node-pump (3.0.0-1)
- small node module that pipes streams together
- node-pumpify (1.5.1-1)
- combine streams into a single duplex stream by pump and duplexify
- node-punycode (2.1.1-2)
- Nodejs robust Punycode converter fully RFC compliant
- node-q (1.5.1-1)
- Node.js module for promises (CommonJS/Promises/A,B,D)
- node-qs (6.5.2-1+deb10u1) [security]
- Parse, stringify query strings for Node.js
- node-querystring (0.2.0-2)
- Node's querystring module for all engines
- node-querystring-es3 (0.2.1-1)
- Node's querystring module for all engines (ES3 compat fork)
- node-querystringify (0.0.4-1)
- Querystringify - Small, simple but powerful query string parser
- node-queue-async (1.0.7-1)
- asynchronous helper library for JavaScript - NodeJS
- node-quick-lru (1.1.0-2)
- Simple "Least Recently Used" (LRU) cache
- node-quickselect (1.0.1-3)
- JavaScript selection algorithm
- node-quote-stream (1.0.2-5)
- transform a stream into a quoted string
- node-qw (1.0.1-1)
- Quoted word literals
- node-rai (0.1.12-3)
- Request-Answer-Interface for generating text based command servers (SMTP,POP)
- node-ramda (0.26.1+dfsg-2)
- practical functional library for JavaScript programmers
- node-random-bytes (1.0.0-1)
- generate strong pseudo-random bytes
- node-randombytes (2.0.6-1)
- random bytes from browserify stand alone
- node-randomfill (1.0.4-2)
- Pure javascript buffer filling with random value
- node-range-parser (1.2.0-1)
- HTTP Range header parser - Node.js module
- node-raven-js (3.22.1+dfsg-2)
- JavaScript client for Sentry
- node-raw-body (2.3.3-3)
- Request body length validation supporting streams - Node.js
- node-raw-loader (0.5.1-3)
- raw loader module for webpack
- node-rbush (2.0.2-3)
- High-performance 2D spatial index for rectangles
- node-rc (1.1.6-2)
- find, load, flatten json or ini application configuration
- node-rdf-canonize (1.0.2-1)
- RDF Dataset Normalization Algorithm - Node library
- node-read (1.0.7-1)
- Read user input from stdin module for Node.js
- node-read-file (0.2.0-1)
- wrapper around fs.readFile and fs.readFileSync
- node-read-only-stream (2.0.0-2)
- Node.js read-only stream implementation
- node-read-package-json (2.0.13-1)
- Read package.json for npm module for Node.js
- node-read-pkg (2.0.0-1)
- Read a package.json file
- node-read-pkg-up (2.0.0-1)
- Read the closest package.json file
- node-readable-stream (2.3.6-1)
- stream compatibility library for Node.js and browser
- node-readdirp (2.1.0-4)
- Recursive version of Node.js's fs.readdir
- node-recast (0.16.1-1)
- Pretty printer and syntax tree transformer for JavaScript
- node-rechoir (0.6.2-1)
- require any supported file as a node module
- node-redent (2.0.0-1)
- Strip redundant indentation and indent the string
- node-redis (2.8.0-1+deb10u1)
- redis client library for Node.js
- node-redis-commands (= 1.4.0)
- virtueel pakket geboden door node-redis
- node-redis-parser (= 2.6.0)
- virtueel pakket geboden door node-redis
- node-regenerate (1.4.0-1)
- Unicode-aware regular expression generator (Node.js module)
- node-regenerate-unicode-properties (8.0.2+ds-1)
- Unicode properties and values as Regenerate sets for Node.js
- node-regenerator-runtime (0.10.1-1)
- Runtime for Regenerator-compiled generator and async functions
- node-regenerator-transform (0.9.8-2)
- Explode async and generator functions into a state machine
- node-regex-cache (0.4.3-1)
- Memorize the results of a call to the RegExp constructor
- node-regex-not (1.0.0-1)
- regex for matching everything except for the given string
- node-regexpu-core (4.5.4+ds-1)
- Core functionality for regexpu's functionality in Node.js
- node-registry-auth-token (3.3.1-1)
- Get the auth token set for an npm registry (if any)
- node-registry-url (3.1.0-1)
- Get the set npm registry URL
- node-regjsgen (0.5.0+ds-1)
- Regular expression from abstract syntax trees in Node.js
- node-regjsparser (0.6.0+ds-2)
- Parser for JavaScript's RegExp for Node.js
- node-reinterval (1.1.0-1)
- reschedulable setInterval for node.js
- node-remove-trailing-separator (1.0.1-1)
- Removes separators from the end of the string
- node-repeat-element (1.1.2+github-2)
- create an array by repeating the given value n times
- node-repeat-string (1.6.1-1)
- repeat the given string n times
- node-repeating (3.0.0-1)
- Repeat a string - fast
- node-replace-ext (1.0.0-1)
- Replaces a file extension with another one
- node-request (2.88.1-2)
- simplified HTTP request client module for Node.js
- node-request-capture-har (1.2.2-1)
- Save all traffic as a HAR file
- node-require-all (3.0.0-1)
- Require all Node.js module files within a directory
- node-require-dir (1.0.0-1)
- helper to require() directories
- node-require-directory (2.1.1-1)
- require all files in a directory
- node-require-from-string (2.0.1-1+deb10u1)
- Require module from string
- node-require-inject (1.4.0-1)
- simple mock injector
- node-require-main-filename (1.0.1-1)
- shim for require.main.filename() that works in as many environments as possible
- node-require-optional (= 1.0.1)
- virtueel pakket geboden door node-mongodb
- node-require-relative (0.8.7-2)
- Relative require module import for Node.js
- node-requirejs (2.3.6-1)
- JavaScript file and module loader - NodeJS
- node-requirejs-text (2.0.12-2)
- RequireJS/AMD loader plugin for text resources
- node-requires-port (1.0.0-1)
- checks if a protocol requires a certain port number to be added to an URL
- node-reserved (0.1.2+20140511-3)
- List of JavaScript reserved words (Node.js module)
- node-resolve (1.5.0-1)
- Synchronous/Asynchronous require.resolve() algorithm
- node-resolve-cwd (2.0.0-2)
- Resolve the path of a module from current directory
- node-resolve-dir (1.0.1-2)
- Resolve a directory
- node-resolve-from (4.0.0-1)
- Resolve the path of a module from a given path
- node-resolve-pkg (0.2.0-1)
- Resolve the path of a package regardless of it having an entry point
- node-response-time (2.2.0-1)
- X-Response-Time header manager for HTTP response - Node.js module
- node-restore-cursor (2.0.0-1)
- Gracefully restore the CLI cursor on exit
- node-resumer (0.0.0-3)
- through stream that starts paused and resumes on the next tick
- node-retape (0.0.3-3)
- CommonJS test to tape adapter
- node-retry (0.10.1-1)
- Retry strategies for failed operations module for Node.js
- node-rimraf (2.6.2-1)
- Deep deletion (like rm -rf) module for Node.js
- node-ripemd160 (2.0.2-3)
- Pure javascript implementation of ripemd160 hash function
- node-roadrunner (1.1.0-1)
- Cache require resolutions
- node-rollup-plugin-babel (3.0.3-2)
- Seamless integration between Rollup and Babel
- node-rollup-plugin-buble (0.19.4-2)
- Rollup plugin to convert ES2015 to more common javascript using buble
- node-rollup-plugin-commonjs (9.2.0-3)
- Node.js plugin for rollup to convert CommonJS modules to ES6
- node-rollup-plugin-json (3.1.0-1)
- rollup plugin to convert JSON files to ES6 modules
- node-rollup-plugin-node-resolve (3.4.0-1)
- rollup plugin to bundle third-party dependencies
- node-rollup-plugin-replace (2.1.0-1)
- Rollup plugin to make string substitutions while bundling
- node-rollup-plugin-string (2.0.2-3)
- Nodejs code to convert text files to modules
- node-rollup-plugin-typescript (0.8.1-1)
- Seamless integration between Rollup and TypeScript
- node-rollup-pluginutils (2.3.3-4)
- Base functionality for rollup plugins
- node-rtcninja (0.6.2-2)
- JavaScript parser/writer for Session Description Protocol
- node-rtcpeerconnection-shim
- virtueel pakket geboden door libjs-rtcpeerconnection-shim
- node-run-async (2.3.0-1)
- Run function synchronously or asynchronously
- node-run-queue (1.0.3-1)
- promise based dynamic priority queue runner
- node-run-sequence (2.2.0-1)
- Run a series of dependent gulp tasks in order
- node-rw (1.3.3-1)
- provide file like interface to stdin and stdout
- node-rx (4.1.0+dfsg1-1)
- Reactive Extensions for JavaScript, Node.js module
- node-safe-buffer (5.1.2-1)
- Safer Node.js Buffer API
- node-samsam (1.2.1-1)
- Value identification and comparison functions
- node-sax (1.2.4-2)
- event-based streaming XML parser - Node.js module
- node-schema-utils (0.3.0-2)
- Webpack Schema Validation Utilities
- node-schlock (0.2.1-1)
- Poorly-crafted in-process lock broker
- node-sdp
- virtueel pakket geboden door libjs-sdp
- node-sdp-jingle-json (3.1.0-1)
- parser/serializer for SDP to JSON
- node-sdp-transform (1.4.0-2)
- JavaScript parser/writer for Session Description Protocol
- node-security (1.0.0-1)
- Safely encoding and decoding methods for Node.js
- node-seedrandom (2.4.3+dfsg-1)
- Seeded random number generator for Javascript
- node-sellside-emitter (1.2.1-2)
- Event emitter for node.js projects
- node-semver (5.5.1-1)
- Semantic Versioning for Node.js
- node-semver-diff (2.1.0-2)
- get the diff type of two semver versions
- node-send (0.16.2-1)
- Static file server with ranges and negotiation support for Node.js
- node-seq (0.3.5-2)
- Chainable asynchronous flow control
- node-sequencify (0.0.7-1)
- module for sequencing tasks and dependencies
- node-serve-favicon (2.1.5-1)
- favicon serving middleware with caching - Node.js module
- node-serve-index (1.9.1-1)
- directory listings middleware for Node.js
- node-serve-static (1.6.4-3)
- static files server module for Node.js
- node-set-blocking (2.0.0-1)
- set blocking stdio and stderr
- node-set-getter (0.1.0-1)
- Create nested getter properties and any intermediary dot notation
- node-set-immediate-shim (1.0.1-1)
- Simple setImmediate shim
- node-set-value (0.4.0-1+deb10u1)
- create nested values and any intermediaries using dot notation
- node-setimmediate (1.0.5-4)
- shim for the setImmediate efficient script yielding API
- node-setprototypeof (1.1.0-1)
- Small polyfill for Object.setprototypeof
- node-sha (2.0.1-1)
- Check and get file or stream hashes - module for Node.js
- node-sha.js (2.4.11-2)
- Streamable SHA hashes in pure javascript
- node-shasum (1.0.2-2)
- Pure javascript function that return the sha1sum
- node-shebang-command (1.2.0-1)
- Get the command from a shebang
- node-shebang-regex (2.0.0-1)
- Regular expression for matching a shebang line
- node-shelljs (0.8.3-1)
- Portable Unix shell commands for Node.js
- node-shiny-server-client (1.0.0+git20180820.eba5e90+dfsg-1)
- browser library for connecting to Shiny Server
- node-should (8.4.0~dfsg-3)
- behavior driven test assertions library - Node.js module
- node-sigmund (1.0.0-1)
- Quick and dirty signatures for Objects module for Node.js
- node-signal-exit (3.0.2-1)
- Fire an event no matter how a process exits
- node-simple-fmt (0.1.0+20130419-4)
- Maximally minimal string formatting library (Node.js module)
- node-simple-is (0.2.0+20130421-3)
- Maximally minimal type-testing library (Node.js module)
- node-simple-swizzle (0.2.2-3)
- Simply swizzle your arguments
- node-simplesmtp (0.3.35-1)
- Simple SMTP server module to create custom SMTP servers
- node-sink-test (1.0.2-2)
- Asynchronous JavaScript Unit Testing Framework
- node-sinon (1.17.6-1)
- JavaScript test spies, stubs and mocks
- node-sinon-chai (2.10.0-1)
- Extends Chai with assertions for the Sinon.JS mocking framework
- node-slash (1.0.0-1)
- convert Windows backslash paths to slash paths
- node-slice-ansi (1.0.0-1)
- Slice a string with ANSI escape codes
- node-slide (1.1.6-2)
- Simple chain and asyncMap flow control module for Node.js
- node-smash (0.0.15-1)
- concatenate files together using import statements
- node-snapdragon (0.8.1-1)
- Fast, pluggable and easy-to-use parser-renderer factory
- node-snapdragon-node (3.0.0-1)
- Snapdragon utility to create a new AST node in some node
- node-snapdragon-token (4.0.0-1)
- Create a snapdragon token
- node-snapdragon-util (5.0.1-1)
- Utilities for the snapdragon parser/compiler
- node-sntp (2.0.2-1)
- SNTP client
- node-socket.io-parser (3.3.0-1)
- socket.io protocol parser
- node-sort-keys (2.0.0-2)
- Sort the keys of an object
- node-sorted-object (2.0.1-1)
- Returns a copy of an object with its keys sorted
- node-source-list-map (2.0.0+dfsg-1)
- Fast line to line SourceMap generator
- node-source-map (0.7.0++dfsg2+really.0.6.1-1)
- Mozilla source map generator and consumer - Node.js module
- node-source-map-support (0.5.10+ds-1)
- Fixes stack traces for files with source maps
- node-sourcemap-codec (1.4.4-1)
- Encode/decode sourcemap mappings
- node-sparkles (1.0.0-1)
- Namespaced global event emitter
- node-spdx-correct (1.0.2-1)
- correct invalid SPDX identifiers
- node-spdx-exceptions (2.0.0-1)
- list of SPDX standard license exceptions
- node-spdx-expression-parse (1.0.4-1)
- parse SPDX license expressions
- node-spdx-license-ids (1.2.2-1)
- List of SPDX license identifiers
- node-sphericalmercator (1.0.1-2)
- Spherical Mercator projection support for NodeJS
- node-split (1.0.0-1)
- split a Text Stream into a Line Stream
- node-split-string (6.0.0-1)
- node.js module to split a string on a character
- node-split2 (2.2.0-1)
- split a Text Stream into a Line Stream, using Stream 3
- node-sprintf-js (1.1.2+ds1-1)
- Node.js Pure JavaScript sprintf implementation
- node-sqlite3 (4.0.6+ds1-2)
- asynchronous, non-blocking SQLite3 bindings for Node.js
- node-srs (0.4.8+dfsg-4)
- spatial reference library for Node.js
- node-sshpk (1.13.1+dfsg-2)
- library for finding and using SSH public keys
- node-ssri (5.2.4-2)
- Utility for parsing, serializing, generating and verifying ssri metadata
- node-stack-utils (1.0.2-1)
- Captures and cleans stack traces
- node-starttls (1.0.1-2)
- upgrade a regular `net.Stream` connection to a secure `tls` connection
- node-static (0.7.3-1)
- RFC2616 compliant HTTP static-file server module with caching
- node-static-eval (2.0.0-1)
- evaluate statically-analyzable javascript expressions
- node-static-extend (0.1.2-2)
- Adds a static `extend` method to a class, to simplify inheritance
- node-static-module (2.1.1-1)
- convert module usage to inline expressions
- node-stats-webpack-plugin (0.6.1-1)
- Write the stats of a build to a file
- node-statuses (1.5.0-3)
- HTTP status utility
- node-step (0.0.5+20111229-1)
- simple control-flow library for Node
- node-stream-array (1.1.2-1)
- Pipe an Array through Node.js streams
- node-stream-assert (2.0.3-1)
- Assertion library for streams
- node-stream-browserify (2.0.2-1)
- stream module from Node.js for browsers
- node-stream-combiner2 (1.1.1-2)
- combine stream using stream3 Node.js API
- node-stream-consume (0.1.0-1)
- consume a stream to ensure it keeps flowing
- node-stream-each (1.2.2-2)
- Iterate all the data in a stream
- node-stream-http (2.8.3+dfsg-1)
- streaming http in browser context
- node-stream-iterate (1.2.0-4)
- Iterate through the values in a stream
- node-stream-shift (1.0.0-1)
- returns the next buffer/object in a stream's readable queue
- node-stream-splicer (2.0.0-4)
- streaming pipeline with a mutable configuration
- node-streamtest (1.2.2-1)
- Streams test library
- node-strict-uri-encode (2.0.0-1)
- stricter URI encode adhering to RFC 3986
- node-string-decoder (1.2.0-1)
- string_decoder module from Node core for browsers
- node-string-width (2.1.1-1)
- Get the visual width of a string
- node-string.prototype.codepointat (0.2.0-1)
- String.prototype.codePointAt polyfill based on ES6
- node-stringmap (0.2.2+20130926-3)
- fast and robust stringmap (Node.js module)
- node-stringset (0.2.1+20130926-3)
- fast and robust stringset (Node.js module)
- node-stringstream (0.0.6-1)
- Encode and decode streams into string streams
- node-strip-ansi (4.0.0-1)
- strip ANSI escape codes
- node-strip-bom (3.0.0-1)
- Strip UTF-8 byte order mark (BOM) from a string
- node-strip-bom-stream (2.0.0-1)
- Strip UTF-8 byte order mark (BOM) from a stream
- node-strip-eof (1.0.0-2)
- strip CR and LF characters from a string/buffer
- node-strip-indent (2.0.0-1)
- Strip leading whitespace from each line in a string
- (2.0.1-2)
- Node.js module to strip comments from JSON
- node-style-loader (0.17.0-2)
- style loader module for webpack
- node-stylus (0.54.5-1)
- Robust, expressive, and feature-rich CSS superset - Node.js module
- node-subarg (1.0.0-2)
- parse arguments with recursive contexts
- node-superagent (0.20.0+dfsg-2)
- HTTP client request with chainable API - Node.js module
- node-supertest (0.14.0-1)
- superagent driven library for testing HTTP servers
- node-supports-color (4.4.0-2)
- Detect whether a terminal supports color in Node.js
- node-symbol-observable (1.0.4-2)
- Symbol.observable ponyfill
- node-syntax-error (1.4.0-1)
- detect and report syntax errors for javascript source
- node-tacks (1.2.6-1)
- Generate fixture modules from folders
- node-tap (12.0.1+ds-1)
- Test-Anything-Protocol module for Node.js
- node-tap-mocha-reporter (3.0.7+ds-1)
- Format a TAP stream using Mocha's set of reporters
- node-tap-parser (7.0.0+ds1-3)
- Test anything protocol stream parser - Node.js module
- node-tapable (0.2.8-1)
- just a little module for plugins
- node-tape (4.9.1-1)
- tap-producing test harness for node and browsers
- node-tar (4.4.6+ds1-3+deb10u2) [security]
- read and write portable tar archives module for Node.js
- node-tar-stream (1.5.2-1)
- streaming tar parser and generator - Node.js module
- node-temp (0.8.3-2)
- Temporary files, directories, and streams for Node.js
- node-temporary (1.0.0-1)
- Easily create temporary files and directories
- node-term-size (1.2.0+dfsg-2)
- Reliably get the terminal window size
- node-test (0.6.0-9)
- (Un)CommonJS test runner
- node-text-encoding (0.7.0-1)
- Polyfill for the Encoding Living Standard's API (Node.js module)
- node-text-table (0.2.0-2)
- borderless text tables with alignment
- node-thenify (3.3.0-1+deb10u1) [security]
- Promisify a callback-based function
- node-thenify-all (1.6.0-1)
- Promisifies all the selected functions in an object
- node-three
- virtueel pakket geboden door libjs-three
- node-three-orbit-controls (82.1.0-2)
- orbit controls for ThreeJS
- node-three-stl-loader (1.0.6-2)
- @aleeper's three.js stl-loader as a node module
- node-through (2.3.8-1)
- simplified stream construction
- node-through2 (2.0.5-2)
- Make a stream.Transform out of a function - Node.js module
- node-through2-filter (2.0.0-1)
- create an Array.prototype.filter analog for streams
- node-tildify (1.2.0-1)
- Convert an absolute path to a tilde path
- node-tilejson (0.1.2-1)
- tile source backend for online tile sources
- node-tilelive (4.5.0-1)
- Interface for tile backends modules for Node.js
- node-tilelive-bridge (0.0.2-1)
- Tilelive store for generating mapnik vector tiles - Node.js module
- node-tilelive-mapnik (0.6.8-1)
- Tilelive store for mapnik datasources rendering - Node.js module
- node-tilelive-vector (0.1.3-1)
- Tilelive store for rendering mapnik vector tiles - Node.js module
- node-time-stamp (1.0.1-1)
- get a formatted timestamp
- node-time-zone (1.0.0-2)
- Pretty time zone: `+2` or `-9:30`
- node-timeago.js (3.0.2+dfsg-3)
- format datetime with *** time ago statement
- node-timed-out (4.0.1-4)
- Emit `ETIMEDOUT` or `ESOCKETTIMEDOUT` when ClientRequest is hanged
- node-timers-browserify (2.0.10+dfsg-1)
- timers module for browserify
- node-tinycolor (0.0.1-1)
- No-fuzz, barebone, zero muppetry color module for Node.js
- node-tippex (3.0.0+ds-2)
- Erase comments and strings from JavaScript code for Node.js
- node-tldjs (2.3.1-1)
- JavaScript module that delivers details about domain names
- node-tmatch (4.0.0-2)
- Match an object against a "pattern" object - Node.js module
- node-tmp (0.0.31-1)
- Temporary file and directory creator for Node.js
- node-to-absolute-glob (2.0.1-1)
- Make a glob pattern absolute
- node-to-arraybuffer (1.0.1-1)
- Get an ArrayBuffer from a Buffer as fast as possible
- node-to-fast-properties (1.0.2-1)
- Force V8 to use fast properties for an object
- node-to-object-path (0.3.0-1)
- Create an object path from a list of strings
- node-to-regex (3.0.1-1)
- generate a regex from a string or array of strings
- node-to-regex-range (3.0.0-1)
- returns a regex-compatible range from two numbers, min and max
- node-toidentifier (1.0.0-2)
- Convert a string of words to a JavaScript identifier
- node-tough-cookie (2.3.4+dfsg-1+deb10u1) [security]
- RFC6265 Cookies and Cookie Jar for node.js
- node-transformers (3.0.0-2)
- String and data transformations using templates and compilers
- node-traverse (0.6.6-1.1)
- recursively traverse objects in Node.js
- node-trim-newlines (1.0.0-1+deb10u1) [security]
- Trim newlines from start and/or end of a string
- node-trivial-deferred (= 1.0.1)
- virtueel pakket geboden door node-tap
- node-tsame (= 2.0.0)
- virtueel pakket geboden door node-tap
- node-tty-browserify (0.0.0-2)
- tty library from node core for browsers
- node-tunein (1.0.1-1)
- nodejs wrapper for tunein web radios
- node-tunnel-agent (0.6.1-1)
- HTTP proxy tunneling agent module for Node.js
- node-turbolinks (5.1.1+dfsg-3)
- Turbolinks makes navigating your web application faster
- (0.14.5+dfsg-3)
- Port of TweetNaCl cryptographic library to JavaScript
- node-type-check (0.3.2+dfsg-1)
- allows you to check the types of JavaScript values at runtime
- node-type-detect (4.0.0-1)
- Improved typeof detection for node.js and the browser
- node-type-is (1.6.16-1)
- infer the content type from request
- node-typedarray (0.0.6-1)
- TypedArray polyfill for old browsers
- node-typedarray-to-buffer (3.0.3-3)
- JavaScript utility converting TypedArray to buffer without copy
- node-types-buffer (= 5.2.1-1)
- virtueel pakket geboden door node-buffer
- node-types-source-map (= 0.7.0++dfsg2+really.0.6.1-1)
- virtueel pakket geboden door node-source-map
- node-typescript (3.3.3333-1)
- TypeScript is a language for application scale JavaScript development
- node-typescript-types (20190209-1)
- Supposedly "high quality" TypeScript type definitions
- node-ua-parser-js (0.7.14-1)
- Lightweight JavaScript-based user-agent string parser
- node-uglify (2.8.29-6)
- JavaScript parser, mangler/compressor and beautifier toolkit
- node-uglify-js (3.4.9-5)
- JavaScript parser, mangler/compressor and beautifier - Nodejs library
- node-uglify-save-license (0.4.1-1)
- License detector for UglifyJS
- node-uglifyjs-webpack-plugin (0.4.6-4)
- UglifyJS plugin for webpack
- node-uid-number (0.0.6-1)
- Convert a username/group name to a uid/gid number
- node-uid-safe (2.1.5-1)
- UID generator for cookie and URL
- node-ultron (1.1.1-2)
- event emitter enhancement for node.js
- node-umd (3.0.3+ds-2)
- Universal Module Definition for use in automated build systems
- node-unc-path-regex (0.1.2-1)
- regex to test if a file path is windows UNC file path
- node-underscore (1.9.1~dfsg-1+deb10u1)
- JavaScript's functional programming helper library - NodeJS
- node-underscore.string (3.3.4+dfsg-2)
- String manipulation extensions - node module
- node-unicode-12.0.0 (0~20190414+gitbf518e99-2)
- Unicode 12.0.0 data for Node.js
- node-unicode-canonical-property-names-ecmascript (1.0.4-1)
- Unicode property names supported in ES RegExp in Node.js
- node-unicode-loose-match (2.5.0-1)
- Unicode loose matching implementation for Node.js
- node-unicode-match-property-ecmascript (1.0.4-1)
- Match a Unicode property to its canonical name for Node.js
- node-unicode-match-property-value-ecmascript (1.0.2+ds-1)
- Match a Unicode property value to its canonical version in Node.js
- node-unicode-property-aliases (1.3.0+ds-1)
- Unicode property alias mappings in Javascript format for Node.js
- node-unicode-property-aliases-ecmascript (1.0.4+ds-1)
- Unicode property aliases mapping for property names in Node.js
- node-unicode-property-value-aliases (3.4.0+ds-1)
- Unicode property value alias mappings for Node.js
- node-unicode-property-value-aliases-ecmascript (1.0.3+ds-1)
- Unicode aliases in ECMAScript regular expressions for Node.js
- node-unicode-tr51 (9.0.1+ds-1)
- Emoji data for Node.js
- node-union-value (2.0.0-1)
- set an array of unique values as the property of an object
- node-uniq (1.0.1-1)
- Removes duplicates from a sorted array in place
- node-uniqid (4.1.1-1)
- Unique ID Generator
- node-uniqs (2.0.0-2)
- Tiny utility to create unions and de-duplicated lists
- node-unique-filename (1.1.0+ds-2)
- unique filename for use in temporary directories or caches
- node-unique-stream (2.2.1-1)
- node.js through stream that emits a unique stream of objects based on criteria
- node-unique-string (1.0.0-1)
- Generate a unique random string
- node-universalify (0.1.2-1)
- Make a callback- or promise-based function support both promises and callbacks
- node-unorm (1.4.1-1)
- Common JS Unicode Normalizer (Node.js)
- node-unpipe (1.0.0-1)
- Unpipe a stream from all destinations
- node-unset-value (1.0.0-1)
- Delete nested properties from an object using dot notation
- node-uri-path (1.0.0-1)
- Convert relative file system paths into safe URI paths
- node-url (0.11.0-2)
- core nodejs url packaged standalone for use with Browserify
- node-url-join (0.0.1-1)
- Join urls and normalize as in path.join
- node-url-loader (0.5.9-1)
- url loader module for webpack
- node-url-parse (1.2.0-2+deb10u2) [security]
- Parse URL in node using the URL module and in the browser using the DOM
- node-url-parse-lax (1.0.0-1)
- url.parse() with support for protocol-less URLs & IPs
- node-url-to-options (1.0.1-1)
- Convert a WHATWG URL to an http(s).request options object
- node-urlgrey (0.4.4+dfsg-2)
- urlgrey is a library for url querying and manipulation
- node-use (3.1.0-1)
- Easily add plugin support to your node.js application
- node-util (0.10.3-2)
- NodeJS/JavaScript util module
- node-util-deprecate (1.0.2-1)
- Node.js's `util.deprecate()` function with browser support
- node-utilities (1.0.4-1)
- classic collection of JavaScript utilities
- node-utils-merge (1.0.0-1)
- provides a merge utility function
- node-utml (0.2.0~gite9f7c3d-1)
- Express compliant templating for underscore.js
- node-uuid (3.3.2-2)
- simple and fast RFC4122 UUID generation - Node.js module
- node-v8flags (3.1.2-3)
- Get available V8 JavaScript engine flags
- node-vali-date (1.0.0-1)
- Validate a date
- node-validate-npm-package-license (3.0.1-1)
- Tells if a string is a valid npm package license string
- node-validate-npm-package-name (3.0.0-1)
- Checks if a string is a valid npm package name
- node-vary (1.1.2-1)
- manage the Vary header of a HTTP response - Node.js module
- node-verror (1.10.0-1)
- rich JavaScript errors
- node-vinyl (2.0.1-1)
- Virtual file format
- node-vinyl-fs (2.4.4-1)
- Vinyl adapter for the file system
- node-vinyl-sourcemaps-apply (0.2.1-1)
- Apply a source map to a vinyl file
- node-vlq (1.0.0-3)
- Variable-length quantity mapper for Node.js
- node-vm-browserify (0.0.4-1)
- vm module for the browser
- node-vows (0.8.1-3)
- asynchronous BDD & continuous integration for Node
- node-vue (2.5.17+dfsg-1)
- Core library of the Vue JavaScript framework
- node-vue-hot-reload-api (2.3.0-1)
- hot reload api for *.vue components
- node-vue-resource (1.3.4+dfsg-1)
- HTTP client for Vue.js
- node-vue-style-loader (3.0.1-1)
- Vue.js style loader module for webpack
- node-vue-template-compiler (2.4.2-1)
- template compiler for Vue 2.0
- node-watchpack (1.3.1-3)
- Wrapper library for directory and file watching
- node-wcwidth.js (1.0.0-1)
- wcwidth.js is a javascript porting of C's wcwidth()
- node-webfinger (0.4.2-1)
- Client library for Host Meta (RFC 6415) and Webfinger
- node-webpack-merge (2.2.0-2)
- merge designed for Webpack
- node-webpack-sources (1.0.1-1)
- Source code handling classes for webpack
- node-webpack-stats-plugin (0.2.1-1)
- Webpack stats plugin
- node-webrtc-adapter (7.2.1~ds-1)
- shim to insulate apps from WebRTC quirks - Node.js library
- node-websocket (1.0.28-3)
- WebSocket implementation for NodeJS
- node-websocket-driver (0.3.5-1)
- WebSocket protocol handler with pluggable I/O for Node.js
- node-when (3.7.8+ds-2)
- Async tools and when() implementation for Node.js
- node-which (1.3.0-2)
- Cross-platform 'which' module for Node.js
- node-which-module (2.0.0-1)
- Find the module object for something that was require()d
- node-wide-align (1.1.0-1)
- Wide-character aware text alignment function
- node-widest-line (1.2.2-1)
- Get the visual width of the widest line in a string -
- node-wildemitter (1.2.0-1)
- lightweight event emitter that supports wildcard handlers
- node-with (3.0.0-2)
- compile-time `with` statement - Node.js module
- node-wordwrap (1.0.0-1)
- word wrapping library for NodeJS
- node-wrap-ansi (4.0.0-1)
- Wordwrap a string with ANSI escape codes
- node-wrappy (1.0.2-1)
- Callback wrapping utility
- node-write-file-atomic (2.3.0-1)
- Write files in an atomic fashion w/configurable ownership
- node-write-file-promise (1.0.0-1)
- Write a file creating intermediate directories
- node-ws (1.1.0+ds1.e6ddaae4-5+deb10u1)
- RFC-6455 WebSocket implementation module for Node.js
- node-xdg-basedir (3.0.0-1)
- Get XDG Base Directory paths
- node-xml2js (0.2.8-1.1+deb11u1~deb10u1) [security]
- simple XML to JavaScript object converter - Node.js module
- node-xmldom (0.1.27+ds-1+deb10u2) [security]
- Standard XML DOM (Level2 CORE) implementation in pure javascript
- node-xmlhttprequest (1.8.0-1)
- XMLHttpRequest for Node
- node-xmlhttprequest-ssl (1.6.0-1)
- XMLHttpRequest for Node
- node-xoauth2 (1.1.0-2)
- XOAuth2 token generation for accessing GMail SMTP and IMAP
- node-xtend (4.0.1-2)
- extend like a boss
- node-y18n (3.2.1-2+deb10u1)
- bare-bones internationalization library used by yargs
- node-yajsml (1.1.5+ds-1)
- Yet another (Common)JS module loader
- node-yallist (3.0.3-1)
- Double linked list implementation for Node.js
- node-yamlish (0.0.7-1)
- Parser/encoder for the YAMLish format for Node.js
- node-yapool (= 1.0.0)
- virtueel pakket geboden door node-tap
- node-yargs (10.0.3-2)
- yargs the modern, pirate-themed, successor to optimist.
- node-yargs-parser (11.1.1-1+deb10u1)
- mighty option parser used by yargs
- node-yauzl (2.10.0-1)
- yet another unzip library - Node.js module
- node-yazl (2.0.2-1)
- yet another zip library - Node.js module
- node-yn (3.0.0-1)
- Parse yes/no like values - Node.js module
- node-ytdl-core (0.2.4+dfsg-1)
- YouTube video downloader - Node.js module
- node-zeparser (0.0.7+dfsg-2)
- Javascript code parser module for Node.js
- node-zipfile (0.5.12+ds-3)
- library for handling zipfiles in Node.js
- nodeenv (0.13.4-1)
- Node.js virtual environment builder
- nodejs (10.24.0~dfsg-1~deb10u4) [security]
- evented I/O for V8 javascript - runtime executable
- nodejs-doc (10.24.0~dfsg-1~deb10u4) [security]
- API documentation for Node.js, the javascript platform
- nodetypes-raw-body (= 2.3.3-3)
- virtueel pakket geboden door node-raw-body
- nodetypes-setprototypeof (= 1.1.0-1)
- virtueel pakket geboden door node-setprototypeof
- nodeunit (0.11.2+ds3-2)
- Unit test framework for Node.js and the browser
- nodm (0.13-5)
- automatic display manager
- noiz2sa (0.51a-10.1)
- abstract arcade shooter
- noiz2sa-data (0.51a-10.1)
- abstract arcade shooter, data files
- nomacs (3.12.0+dfsg-2)
- image viewer with capability of syncing multiple instances
- nomacs-l10n (3.12.0+dfsg-2)
- image viewer with capability of syncing multiple instances (data)
- nomarch (1.4-3+b2)
- Unpacks .ARC and .ARK MS-DOS archives
- nomnom (0.3.1-2+b1)
- download videos from Youtube and other similar video websites
- nopaste
- virtueel pakket geboden door libapp-nopaste-perl
- nordlicht (0.4.5-1+b1)
- create colorful video barcodes
- nordugrid-arc-acix-cache (5.4.3-2)
- ARC cache index - cache server
- nordugrid-arc-acix-core (5.4.3-2)
- ARC cache index - core components
- nordugrid-arc-acix-index (5.4.3-2)
- ARC cache index - index server
- nordugrid-arc-arex (5.4.3-2)
- ARC Remote EXecution service
- nordugrid-arc-aris (5.4.3-2)
- ARC local information system
- nordugrid-arc-ca-utils (5.4.3-2)
- NorduGrid authentication tools
- nordugrid-arc-cache-service (5.4.3-2)
- ARC cache service
- nordugrid-arc-client (5.4.3-2)
- ARC command line interface
- nordugrid-arc-datadelivery-service (5.4.3-2)
- ARC data delivery service
- nordugrid-arc-dev (5.4.3-2)
- ARC development files
- nordugrid-arc-doc (2.0.20-1)
- ARC documentation
- nordugrid-arc-egiis (5.4.3-2)
- ARC EGIIS service
- nordugrid-arc-gangliarc (1.0.2-2)
- Ganglia monitoring for ARC services
- nordugrid-arc-grid-manager
- virtueel pakket geboden door nordugrid-arc-arex
- nordugrid-arc-gridftpd (5.4.3-2)
- ARC GridFTP server
- nordugrid-arc-gridmap-utils (5.4.3-2)
- NorduGrid authorization tools
- nordugrid-arc-hed (5.4.3-2)
- ARC Hosting Environment Daemon
- nordugrid-arc-infoindex
- virtueel pakket geboden door nordugrid-arc-ldap-infosys
- nordugrid-arc-infosys-ldap
- virtueel pakket geboden door nordugrid-arc-ldap-infosys
- nordugrid-arc-ldap-infosys (5.4.3-2)
- ARC LDAP infosys service
- nordugrid-arc-ldap-monitor (5.4.3-2)
- ARC LDAP monitor service
- nordugrid-arc-libs
- virtueel pakket geboden door libarccommon3v5
- nordugrid-arc-libs-devel
- virtueel pakket geboden door nordugrid-arc-dev
- nordugrid-arc-misc-utils (5.4.3-2)
- ARC grid middleware - Misc tools
- nordugrid-arc-monitor
- virtueel pakket geboden door nordugrid-arc-ldap-monitor
- nordugrid-arc-nagios-plugins (1.9.1-2)
- Nagios plugins for ARC
- nordugrid-arc-nagios-plugins-doc (1.9.1-2)
- HTML documentation for the ARC Nagios plugins
- nordugrid-arc-nagios-plugins-egi (1.9.1-2)
- EGI configuration and dependencies for the ARC Nagios plugins
- nordugrid-arc-nox
- virtueel pakket geboden door libarccommon3v5
- nordugrid-arc-nox-arex
- virtueel pakket geboden door nordugrid-arc-arex
- nordugrid-arc-nox-cache-service
- virtueel pakket geboden door nordugrid-arc-cache-service
- nordugrid-arc-nox-client
- virtueel pakket geboden door nordugrid-arc-client
- nordugrid-arc-nox-datadelivery-service
- virtueel pakket geboden door nordugrid-arc-datadelivery-service
- nordugrid-arc-nox-dev
- virtueel pakket geboden door nordugrid-arc-dev
- nordugrid-arc-nox-doc
- virtueel pakket geboden door nordugrid-arc-doc
- nordugrid-arc-nox-giis
- virtueel pakket geboden door nordugrid-arc-egiis
- nordugrid-arc-nox-gridftp-server
- virtueel pakket geboden door nordugrid-arc-gridftpd
- nordugrid-arc-nox-hed
- virtueel pakket geboden door nordugrid-arc-hed
- nordugrid-arc-nox-ldap-infosys
- virtueel pakket geboden door nordugrid-arc-ldap-infosys
- nordugrid-arc-nox-plugins-base
- virtueel pakket geboden door nordugrid-arc-plugins-needed
- nordugrid-arc-nox-plugins-globus
- virtueel pakket geboden door nordugrid-arc-plugins-globus
- nordugrid-arc-nox-python
- virtueel pakket geboden door nordugrid-arc-python
- nordugrid-arc-plugins-base
- virtueel pakket geboden door nordugrid-arc-plugins-needed
- nordugrid-arc-plugins-globus (5.4.3-2)
- ARC Globus plugins
- nordugrid-arc-plugins-needed (5.4.3-2)
- ARC base plugins
- nordugrid-arc-python (5.4.3-2)
- ARC Python wrapper
- nordugrid-arc-server
- virtueel pakket geboden door nordugrid-arc-arex
- nordugrid-arc-ws-monitor (5.4.3-2)
- ARC WS monitor service
- nordugrid-arc1
- virtueel pakket geboden door libarccommon3v5
- nordugrid-arc1-arex
- virtueel pakket geboden door nordugrid-arc-arex
- nordugrid-arc1-client
- virtueel pakket geboden door nordugrid-arc-client
- nordugrid-arc1-dev
- virtueel pakket geboden door nordugrid-arc-dev
- nordugrid-arc1-doc
- virtueel pakket geboden door nordugrid-arc-doc
- nordugrid-arc1-plugins-base
- virtueel pakket geboden door nordugrid-arc-plugins-needed
- nordugrid-arc1-plugins-globus
- virtueel pakket geboden door nordugrid-arc-plugins-globus
- nordugrid-arc1-python
- virtueel pakket geboden door nordugrid-arc-python
- nordugrid-arc1-server
- virtueel pakket geboden door nordugrid-arc-hed
- normaliz (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones
- normaliz-bin (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones - bin
- normaliz-doc (3.6.3+ds-1)
- math computing tools for affine monoids, rational polytopes and cones - doc
- normalize-audio (0.7.7-15)
- adjusts the volume of WAV, MP3 and OGG files to a standard volume level
- norsnet (1.0.17-4)
- tool to identify unstructured loops in proteins
- norsp (1.0.6-4)
- predictor of non-regular secondary structure
- noshell
- virtueel pakket geboden door titantools
- notary (0.6.1~ds1-3+deb10u1) [security]
- tool for running and interacting with trusted collections
- note (1.3.26-2)
- small program managing notes from commandline
- notification-daemon (3.20.0-4)
- daemon om passieve pop-up-meldingen weer te geven
- notification-daemon
- virtueel pakket geboden door lxqt-notificationd, notify-osd, cinnamon, python-jarabe, gnome-flashback, xfce4-notifyd, awesome, gnome-shell, plasma-workspace, mate-notification-daemon, dunst
- notify-osd (0.9.35+15.04.20150126-1+b1)
- daemon that displays passive pop-up notifications
- notion (3+2017050501-1) [non-free]
- tiling tabbed window manager designed for keyboard users
- notion-api-3+2017050501
- virtueel pakket geboden door notion
- notion-dev (3+2017050501-1) [non-free]
- Notion development files
- notmuch (0.28.4-1)
- thread-based email index, search and tagging
- notmuch-addrlookup (9-2)
- Address lookup tool for Notmuch
- notmuch-emacs (0.28.4-1)
- thread-based email index, search and tagging (transitional package)
- notmuch-mutt (0.28.4-1)
- thread-based email index, search and tagging (Mutt interface)
- notmuch-vim (0.28.4-1)
- thread-based email index, search and tagging (vim interface)
- nova-ajax-console-proxy
- virtueel pakket geboden door nova-consoleproxy
- nova-api (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute API frontend
- nova-cells (2:18.1.0-6+deb10u2) [security]
- Openstack Compute - cells
- nova-common (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - common files
- nova-compute (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute node
- nova-compute-hypervisor
- virtueel pakket geboden door nova-compute-qemu, nova-compute-kvm, nova-compute-lxc, nova-compute-ironic
- nova-compute-ironic (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute node (Ironic)
- nova-compute-kvm (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute node (KVM)
- nova-compute-lxc (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute node (LXC)
- nova-compute-qemu (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - compute node (QEmu)
- nova-conductor (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - conductor service
- nova-console (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - console
- nova-consoleauth (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - Console Authenticator
- nova-consoleauth
- virtueel pakket geboden door nova-console
- nova-consoleproxy (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - NoVNC proxy
- nova-doc (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - documentation
- nova-novncproxy
- virtueel pakket geboden door nova-consoleproxy
- nova-placement-api (2:18.1.0-6+deb10u2) [security]
- OpenStack compute - placement API
- nova-scheduler (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - virtual machine scheduler
- nova-spicehtml5proxy
- virtueel pakket geboden door nova-consoleproxy
- nova-spiceproxy
- virtueel pakket geboden door nova-consoleproxy
- nova-volume (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - storage metapackage
- nova-xvpvncproxy
- virtueel pakket geboden door nova-consoleproxy
- novnc (1:1.0.0-1)
- HTML5 VNC client - daemon and programs
- noweb (2.11b-11+b1)
- A WEB-like literate-programming tool
- nowebm (2.11b-11)
- A WEB-like literate-programming tool - transitional package
- nowhere (110.79-4)
- Translates programs from an extended Standard ML to Standard ML
- npd6 (1.1.0-2)
- IPv6 neighbor proxy daemon
- nplan
- virtueel pakket geboden door netplan.io
- npm (5.8.0+ds6-4+deb10u2)
- package manager for Node.js
- npm2deb (0.2.8-5)
- tool to help debianize Node.js modules
- nq (0.3.1-1)
- Lightweight queue system
- nqc (3.1.r6-7)
- Not Quite C compiler for LEGO Mindstorms RCX
- nqp (2018.12+dfsg-1)
- Not Quite Perl compiler
- nrefactory-samples (5.3.0+20130718.73b6d0f-4)
- C# Parsing and Refactoring Library - Sample programs
- nrg2iso (0.4-4+b2)
- Extracts ISO9660 data from Nero ".nrg" files
- nrpe-ng (0.2.0-1)
- Next-generation Nagios remote plugin agent
- ns2 (2.35+dfsg-3)
- Discrete event simulator targeted at networking research
- ns2-dbg (2.35+dfsg-3)
- debug symbols of ns2
- ns2-doc (2.35+dfsg-3)
- docs of ns2
- ns2-examples (2.35+dfsg-3)
- examples of ns2
- ns3 (3.29+dfsg-3)
- discrete-event network simulator for Internet systems
- ns3-doc (3.29+dfsg-3)
- documentation for ns3
- nsca (2.9.2-2)
- Nagios service monitor agent
- nsca-client (2.9.2-2)
- Nagios service monitor agent - client package
- nscd (2.28-10+deb10u3) [security]
- GNU C Library: Name Service Cache Daemon
- nscd
- virtueel pakket geboden door unscd
- nsd (4.1.26-1)
- authoritative domain name server
- nsf (2.2.0-1)
- Next Scripting Framework (NSF): Object orientation for Tcl - shared library
- nsf-dev (2.2.0-1)
- Next Scripting Framework (NSF): Object orientation for Tcl - development files
- nsf-shells (2.2.0-1)
- Next Scripting Framework (NSF): Object orientation for Tcl - shells
- nsis (3.04-1+deb9u1) [security]
- Nullsoft Scriptable Install System (modified for Debian)
- nsis-common (3.04-1+deb9u1) [security]
- Nullsoft Scriptable Install System stubs and plugins
- nsis-doc (3.04-1+deb9u1) [security]
- Nullsoft Scriptable Install System documentation
- nsis-pluginapi (3.04-1+deb9u1) [security]
- Nullsoft Scriptable Install System plugin API
- nslcd (0.9.10-2)
- daemon for NSS and PAM lookups using LDAP
- nslcd-2
- virtueel pakket geboden door pynslcd, nslcd
- nslcd-utils (0.9.10-2)
- utilities for querying LDAP via nslcd
- nslint (3.0a2-1.1+b2)
- Lint for DNS files, checks integrity
- nsnake (3.0.1-2+b4)
- classic snake game on the terminal
- nsntrace (0~20160806-1+b1)
- perform network trace of a single process by using network namespaces
- nss-passwords (0.2-2+b2)
- read passwords from a Mozilla keyring
- nss-plugin-pem (1.0.4-1)
- PEM file reader for Network Security Services (NSS)
- nss-updatedb (10-3+b2)
- Cache name service directories in DB format
- nsscache (0.37-1)
- asynchronously synchronise local NSS databases with remote directory services
- nstreams (1.0.4-1+b1)
- network streams - a tcpdump output analyzer
- nted (1.10.18-12)
- Musical score editor
- nted-doc (1.10.18-12)
- NtEd documentation
- ntfs-3g (1:2017.3.23AR.3-3+deb10u3) [security]
- read/write NTFS driver for FUSE
- ntfs-3g-dev (1:2017.3.23AR.3-3+deb10u3) [security]
- read/write NTFS driver for FUSE (development)
- ntfs-3g-udeb (1:2017.3.23AR.3-3+deb10u2)
- read/write NTFS driver for FUSE
- ntlmaps (0.9.9.0.1-11.5)
- NTLM Authorization Proxy Server
- ntopng (3.8+dfsg1-2.1)
- High-Speed Web-based Traffic Analysis and Flow Collection Tool
- ntopng-data (3.8+dfsg1-2.1)
- High-Speed Web-based Traffic Analysis and Flow Collection Tool (data files)
- ntopng-doc (3.8+dfsg1-2.1)
- High-Speed Web-based Traffic Analysis and Flow Collection Tool (documentation)
- ntp (1:4.2.8p12+dfsg-4)
- Network Time Protocol daemon and utility programs
- ntp-doc (1:4.2.8p12+dfsg-4)
- Network Time Protocol documentation
- ntpdate (1:4.2.8p12+dfsg-4)
- client for setting system time from NTP servers (deprecated)
- ntpsec (1.1.3+dfsg1-2+deb10u1)
- Network Time Protocol daemon and utility programs
- ntpsec-doc (1.1.3+dfsg1-2+deb10u1)
- Network Time Protocol documentation
- ntpsec-ntpdate (1.1.3+dfsg1-2+deb10u1)
- client for setting system time from NTP servers
- ntpsec-ntpviz (1.1.3+dfsg1-2+deb10u1)
- NTP statistics graphing utility
- ntpstat (0.0.0.1-2)
- show network time protocol (ntp) status
- ntrack-module-0
- virtueel pakket geboden door ntrack-module-libnl-0, ntrack-module-rtnetlink-0
- ntrack-module-libnl-0 (016-1.4)
- libnl based ntrack module
- ntrack-module-rtnetlink-0 (016-1.4)
- rtnetlink based ntrack module
- nttcp (1.47-13) [non-free]
- New test TCP program
- nudoku (1.0.0-1)
- ncurses based sudoku games
- nuget (2.8.7+md510+dhx1-1)
- Package manager for NuGet repos - executable
- nuitka (0.6.1.1+ds-1)
- Python compiler with full language support and CPython compatibility
- nullidentd (1.0-5+b2)
- small, fast identd daemon
- nullmailer (1:2.2-3)
- simple relay-only mail transport agent
- num-utils (0.5-12)
- programs for dealing with numbers from the command line
- numactl (2.0.12-1)
- NUMA scheduling and memory placement tool
- numad (0.5+20150602-5)
- User-level daemon that monitors NUMA topology and usage
- numatop (2.1-2)
- NUMA runtime observation tool
- numba-doc (0.42.0-1)
- native machine code compiler for Python (docs)
- numbers2ods (0.9.6-2)
- Apple Numbers spreadsheet documents to OpenDocument converter
- numconv (2.7-1.1+b2)
- utility for conversion numbers as Unicode strings
- numdiff (5.9.0-1)
- Compare similar files with numeric fields
- numix-gtk-theme (2.6.7-4+deb10u1)
- modern flat theme from the Numix project
- numix-icon-theme (0~20180717-1)
- modern icon theme from the Numix project
- numix-icon-theme-circle (19.02.07-1)
- Circle icon theme from the Numix project
- numlockx (1.2-7.1)
- enable NumLock in X11 sessions
- numptyphysics (0.2+svn157-0.3+b1)
- crayon based physics puzzle game
- numpy-stl (2.9.0-1)
- stl file and 3d object handling (tools)
- nunit (2.6.4+dfsg-1)
- Unit test framework for CLI
- nunit-console (2.6.4+dfsg-1)
- Unit test framework for CLI - Console test runner
- nunit-gui (2.6.4+dfsg-1)
- Unit test framework for CLI - GUI test runner
- nuntius (0.2.0-5)
- share notifications from Android phone or tablet via Bluetooth or LAN
- nupkg-newtonsoft.json.6.0.8 (6.0.8+dfsg-1)
- high-performance JSON framework for .NET -- NuGet package
- nupkg-nunit.2.6.4 (2.6.4+dfsg-1)
- Unit test framework for CLI - NuGet package - Framework
- nupkg-nunit.mocks.2.6.4 (2.6.4+dfsg-1)
- Unit test framework for CLI - NuGet package - Mocks
- nupkg-nunit.runners.2.6.4 (2.6.4+dfsg-1)
- Unit test framework for CLI - NuGet package - Runners
- nut (2.7.4-8)
- network UPS tools - metapackage
- nut-cgi (2.7.4-8)
- network UPS tools - web interface
- nut-client (2.7.4-8)
- network UPS tools - clients
- nut-doc (2.7.4-8)
- network UPS tools - documentation
- nut-ipmi (2.7.4-8)
- network UPS tools - IPMI driver
- nut-monitor (2.7.4-8)
- network UPS tools - GUI application to monitor UPS status
- nut-powerman-pdu (2.7.4-8)
- network UPS tools - PowerMan PDU driver
- nut-server (2.7.4-8)
- network UPS tools - core system
- nut-snmp (2.7.4-8)
- network UPS tools - SNMP driver
- nut-xml (2.7.4-8)
- network UPS tools - XML/HTTP driver
- nutcracker (0.4.1+dfsg-1)
- Fast, light-weight proxy for memcached and Redis
- nutsqlite (2.0.6-1)
- Dietary nutrition analysis software
- nuttcp (6.1.2-4+b1)
- network performance measurement tool
- nvchecker (1.3-2)
- new-version checker for software releases
- nvi (1.81.6-15)
- 4.4BSD herimplementatie van vi
- nvi-doc (1.81.6-15)
- 4.4BSD re-implementation of vi - documentation files
- nvidia-alternative (418.226.00-3) [non-free]
- allows the selection of NVIDIA as GLX provider
- nvidia-alternative--kmod-alias
- virtueel pakket geboden door nvidia-alternative
- nvidia-alternative-any
- virtueel pakket geboden door nvidia-legacy-390xx-alternative
- nvidia-cg-dev (3.1.0013-5) [non-free]
- Cg Toolkit - GPU Shader Authoring Language (headers)
- nvidia-cg-doc (3.1.0013-5) [non-free]
- Cg Toolkit - GPU Shader Authoring Language (documentation)
- nvidia-cg-toolkit (3.1.0013-5) [non-free]
- Cg Toolkit - GPU Shader Authoring Language
- nvidia-cuda-dev (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA development files
- nvidia-cuda-doc (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA and OpenCL documentation
- nvidia-cuda-gdb (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA Debugger (GDB)
- nvidia-cuda-mps (418.226.00-3) [non-free]
- NVIDIA CUDA Multi Process Service (MPS)
- nvidia-cuda-toolkit (9.2.148-7+deb10u1) [non-free]
- NVIDIA CUDA development toolkit
- nvidia-detect (418.226.00-3) [non-free]
- NVIDIA GPU detection utility
- nvidia-driver (418.226.00-3) [non-free]
- NVIDIA metapackage
- nvidia-driver-any
- virtueel pakket geboden door nvidia-driver, nvidia-legacy-390xx-driver
- nvidia-driver-bin (418.226.00-3) [non-free]
- NVIDIA driver support binaries
- nvidia-driver-bin-340.108
- virtueel pakket geboden door nvidia-legacy-340xx-driver-bin
- nvidia-driver-bin-390.154
- virtueel pakket geboden door nvidia-legacy-390xx-driver-bin
- nvidia-driver-bin-390.157
- virtueel pakket geboden door nvidia-legacy-390xx-driver-bin
- nvidia-driver-bin-418.226.00
- virtueel pakket geboden door nvidia-driver-bin
- nvidia-driver-libs (418.226.00-3) [non-free]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries)
- nvidia-driver-libs-any
- virtueel pakket geboden door nvidia-driver-libs-nonglvnd, nvidia-legacy-390xx-driver-libs-nonglvnd, nvidia-legacy-390xx-driver-libs, nvidia-driver-libs
- nvidia-driver-libs-i386 (418.226.00-3) [non-free]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES 32-bit libraries)
- nvidia-driver-libs-nonglvnd (418.226.00-3) [non-free]
- NVIDIA metapackage (non-GLVND OpenGL/GLX/EGL/GLES libraries)
- nvidia-driver-libs-nonglvnd-i386 (418.226.00-3) [non-free]
- NVIDIA metapackage (non-GLVND OpenGL/EGL etc. 32-bit libraries)
- nvidia-egl-common (418.226.00-3) [non-free]
- NVIDIA binary EGL driver - common files
- nvidia-egl-icd (418.226.00-3) [non-free]
- NVIDIA EGL installable client driver (ICD)
- nvidia-glx-any
- virtueel pakket geboden door nvidia-driver, nvidia-legacy-390xx-driver, nvidia-legacy-340xx-driver
- nvidia-installer-cleanup (20151021+9) [contrib]
- cleanup after driver installation with the nvidia-installer
- nvidia-kernel-418.226.00
- virtueel pakket geboden door nvidia-kernel-dkms
- nvidia-kernel-common (20151021+9) [contrib]
- NVIDIA binary kernel module support files
- nvidia-kernel-dkms (418.226.00-3) [non-free]
- NVIDIA binary kernel module DKMS source
- nvidia-kernel-source (418.226.00-3) [non-free]
- NVIDIA binary kernel module source
- nvidia-kernel-support (418.226.00-3) [non-free]
- NVIDIA binary kernel module support files
- nvidia-kernel-support--v1
- virtueel pakket geboden door nvidia-kernel-support
- nvidia-kernel-support-any
- virtueel pakket geboden door nvidia-kernel-support, nvidia-legacy-390xx-kernel-support, nvidia-legacy-340xx-kernel-support
- nvidia-legacy-340xx-alternative (340.108-3~deb10u1) [non-free]
- allows the selection of NVIDIA as GLX provider (340xx legacy version)
- nvidia-legacy-340xx-alternative--kmod-alias
- virtueel pakket geboden door nvidia-legacy-340xx-alternative
- nvidia-legacy-340xx-driver (340.108-3~deb10u1) [non-free]
- NVIDIA metapackage (340xx legacy version)
- nvidia-legacy-340xx-driver-bin (340.108-3~deb10u1) [non-free]
- NVIDIA driver support binaries (340xx legacy version)
- nvidia-legacy-340xx-driver-libs (340.108-3~deb10u1) [non-free]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (340xx legacy version)
- nvidia-legacy-340xx-driver-libs-i386 (340.108-3~deb10u1) [non-free]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES 32-bit libraries) (340xx legacy)
- nvidia-legacy-340xx-kernel-340.108
- virtueel pakket geboden door nvidia-legacy-340xx-kernel-dkms
- nvidia-legacy-340xx-kernel-dkms (340.108-3~deb10u1) [non-free]
- NVIDIA binary kernel module DKMS source (340xx legacy version)
- nvidia-legacy-340xx-kernel-source (340.108-3~deb10u1) [non-free]
- NVIDIA binary kernel module source (340xx legacy version)
- nvidia-legacy-340xx-kernel-support (340.108-3~deb10u1) [non-free]
- NVIDIA binary kernel module support files (340xx legacy version)
- nvidia-legacy-340xx-kernel-support--v1
- virtueel pakket geboden door nvidia-legacy-340xx-kernel-support
- nvidia-legacy-340xx-opencl-icd (340.108-3~deb10u1) [non-free]
- NVIDIA OpenCL installable client driver (ICD) (340xx legacy version)
- nvidia-legacy-340xx-smi (340.108-3~deb10u1) [non-free]
- NVIDIA System Management Interface (340xx legacy version)
- nvidia-legacy-340xx-vdpau-driver (340.108-3~deb10u1) [non-free]
- Video Decode and Presentation API for Unix - NVIDIA driver (340xx legacy)
- nvidia-legacy-390xx-alternative (390.157-1~deb10u1) [non-free] [security]
- allows the selection of NVIDIA as GLX provider (390xx legacy version)
- nvidia-legacy-390xx-alternative--kmod-alias
- virtueel pakket geboden door nvidia-legacy-390xx-alternative
- nvidia-legacy-390xx-driver (390.157-1~deb10u1) [non-free] [security]
- NVIDIA metapackage (390xx legacy version)
- nvidia-legacy-390xx-driver-bin (390.157-1~deb10u1) [non-free] [security]
- NVIDIA driver support binaries (390xx legacy version)
- nvidia-legacy-390xx-driver-libs (390.157-1~deb10u1) [non-free] [security]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (390xx legacy version)
- nvidia-legacy-390xx-driver-libs-i386 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA metapackage (OpenGL/GLX/EGL/GLES 32-bit libraries) (390xx legacy)
- nvidia-legacy-390xx-driver-libs-nonglvnd (390.157-1~deb10u1) [non-free] [security]
- NVIDIA metapackage (non-GLVND OpenGL/GLX/EGL/GLES libraries) (390xx legacy)
- nvidia-legacy-390xx-driver-libs-nonglvnd-i386 (390.157-1~deb10u1) [non-free] [security]
- NVIDIA metapackage (non-GLVND OpenGL/EGL etc. 32-bit libraries) (390xx legacy)
- nvidia-legacy-390xx-egl-icd (390.157-1~deb10u1) [non-free] [security]
- NVIDIA EGL installable client driver (ICD)
- nvidia-legacy-390xx-kernel-390.154
- virtueel pakket geboden door nvidia-legacy-390xx-kernel-dkms
- nvidia-legacy-390xx-kernel-390.157
- virtueel pakket geboden door nvidia-legacy-390xx-kernel-dkms
- nvidia-legacy-390xx-kernel-dkms (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary kernel module DKMS source (390xx legacy version)
- nvidia-legacy-390xx-kernel-source (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary kernel module source (390xx legacy version)
- nvidia-legacy-390xx-kernel-support (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary kernel module support files (390xx legacy version)
- nvidia-legacy-390xx-kernel-support--v1
- virtueel pakket geboden door nvidia-legacy-390xx-kernel-support
- nvidia-legacy-390xx-nonglvnd-vulkan-icd (390.157-1~deb10u1) [non-free] [security]
- NVIDIA Vulkan ICD (non-GLVND variant) (390xx legacy version)
- nvidia-legacy-390xx-opencl-icd (390.157-1~deb10u1) [non-free] [security]
- NVIDIA OpenCL installable client driver (ICD) (390xx legacy version)
- nvidia-legacy-390xx-smi (390.157-1~deb10u1) [non-free] [security]
- NVIDIA System Management Interface (390xx legacy version)
- nvidia-legacy-390xx-vdpau-driver (390.157-1~deb10u1) [non-free] [security]
- Video Decode and Presentation API for Unix - NVIDIA driver (390xx legacy)
- nvidia-legacy-390xx-vulkan-icd (390.157-1~deb10u1) [non-free] [security]
- NVIDIA Vulkan installable client driver (ICD) (390xx legacy version)
- nvidia-legacy-check (418.226.00-3) [non-free]
- check for NVIDIA GPUs requiring a legacy driver
- nvidia-libopencl1 (418.226.00-3) [non-free]
- NVIDIA OpenCL ICD Loader library
- nvidia-modprobe (418.56-1) [contrib]
- utility to load NVIDIA kernel modules and create device nodes
- nvidia-nonglvnd-vulkan-common (418.226.00-3) [non-free]
- NVIDIA Vulkan driver - common files (non-GLVND variant)
- nvidia-nonglvnd-vulkan-icd (418.226.00-3) [non-free]
- NVIDIA Vulkan ICD (non-GLVND variant)
- nvidia-nsight (9.2.148-7+deb10u1) [non-free]
- NVIDIA Nsight Eclipse Edition
- nvidia-opencl-common (418.226.00-3) [non-free]
- NVIDIA OpenCL driver - common files
- nvidia-opencl-dev (9.2.148-7+deb10u1) [non-free]
- NVIDIA OpenCL development files
- nvidia-opencl-icd (418.226.00-3) [non-free]
- NVIDIA OpenCL installable client driver (ICD)
- nvidia-openjdk-8-jre (9.+8u77~9.2.148-7+deb10u1) [non-free]
- NVIDIA provided OpenJDK Java runtime, using Hotspot JIT
- nvidia-persistenced (418.56-1) [contrib]
- daemon to maintain persistent software state in the NVIDIA driver
- nvidia-profiler (9.2.148-7+deb10u1) [non-free]
- NVIDIA Profiler for CUDA and OpenCL
- nvidia-settings (418.74-1) [contrib]
- tool for configuring the NVIDIA graphics driver
- nvidia-settings-gtk-390.116
- virtueel pakket geboden door nvidia-settings-legacy-390xx
- nvidia-settings-gtk-418.74
- virtueel pakket geboden door nvidia-settings
- nvidia-settings-legacy-340xx (340.108-1~deb10u1) [contrib]
- tool for configuring the NVIDIA graphics driver (340xx legacy version)
- nvidia-settings-legacy-390xx (390.116-1) [contrib]
- tool for configuring the NVIDIA graphics driver (390xx legacy version)
- nvidia-smi (418.226.00-3) [non-free]
- NVIDIA System Management Interface
- nvidia-support (20151021+9) [contrib]
- NVIDIA binary graphics driver support files
- nvidia-texture-tools
- virtueel pakket geboden door libnvtt-bin
- nvidia-vdpau-driver (418.226.00-3) [non-free]
- Video Decode and Presentation API for Unix - NVIDIA driver
- nvidia-visual-profiler (9.2.148-7+deb10u1) [non-free]
- NVIDIA Visual Profiler for CUDA and OpenCL
- nvidia-vulkan-common (418.226.00-3) [non-free]
- NVIDIA Vulkan driver - common files
- nvidia-vulkan-icd (418.226.00-3) [non-free]
- NVIDIA Vulkan installable client driver (ICD)
- nvidia-vulkan-icd-any
- virtueel pakket geboden door nvidia-legacy-390xx-nonglvnd-vulkan-icd, nvidia-nonglvnd-vulkan-icd, nvidia-vulkan-icd, nvidia-legacy-390xx-vulkan-icd
- nvidia-xconfig (418.56-1) [contrib]
- deprecated X configuration tool for non-free NVIDIA drivers
- nvme-cli (1.7-1)
- userspace tooling to control NVMe drives
- nvptx-tools (0.20180301-1)
- collection of tools for use with nvptx-none GCC toolchains
- nvpy (1.0.0+git20171203.c91062c-1) [contrib]
- Simplenote-syncing note-taking tool
- nvram-wakeup (1.1-4+b1)
- Read/write the WakeUp time from/to the BIOS
- nvramtool (0.0+r3669-2.2+b2)
- Read/write coreboot-related NVRAM/CMOS information
- nvtop (1.0.0-1) [contrib]
- Interactive NVIDIA GPU process monitor
- nvtv (0.4.7-8+b1)
- Hulpmiddel om TV-chips op NVidia-kaarten te bedienen onder Linux
- nwall (1.32+debian-4.2+b4)
- version of wall that uses GNU readline
- nwchem (6.8.1-5)
- High-performance computational chemistry software
- nwchem-data (6.8.1-5)
- High-performance computational chemistry software (data files)
- nwipe (0.25-1)
- Utility to securely erase disks
- nwrite (1.9.2-20.1+b1)
- Enhanced replacement for the write command
- nx-x11-common (2:3.5.99.19-3+deb10u2)
- nx-X11 (common files)
- nx-x11proto-composite-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 Composite extension wire protocol
- nx-x11proto-core-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 core wire protocol and auxiliary headers
- nx-x11proto-damage-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 Damage extension wire protocol
- nx-x11proto-randr-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 RandR extension wire protocol
- nx-x11proto-render-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 Render extension wire protocol
- nx-x11proto-scrnsaver-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 Screen Saver extension wire protocol
- nx-x11proto-xext-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 miscellaneous extension wire protocol
- nx-x11proto-xfixes-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 'xfixes' extension wire protocol
- nx-x11proto-xinerama-dev (2:3.5.99.19-3+deb10u2)
- nx-X11 Xinerama extension wire protocol
- nxagent (2:3.5.99.19-3+deb10u2)
- Nested Xserver (aka NX Agent) supporting the NX compression protocol
- nxproxy (2:3.5.99.19-3+deb10u2)
- NX proxy
- nxt-firmware (1.29-20120908+dfsg-7)
- Improved firmware for LEGO Mindstorms NXT bricks
- nyancat (1.5.1-1+build1~deb10u1)
- Animated terminal Nyancat
- nyancat-server (1.5.1-1+build1~deb10u1)
- Animated terminal Nyancat server configurations
- nypatchy (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - patch pre-processor for source code
- nyquist (3.12+ds-3)
- language for music composition and sound synthesis
- nyx (2.1.0-2)
- terminal status monitor for tor
- nzb (0.2-1.1)
- Usenet binary grabber
- o-saft (19.01.19-1)
- SSL advanced forensic tool
- oaklisp (1.3.7-2)
- Object-oriented dialect of Scheme
- oaklisp-doc (1.3.7-2)
- Object-oriented dialect of Scheme, documentation
- oar-common (2.5.8-1+deb10u1)
- OAR batch scheduler common package
- oar-doc (2.5.8-1+deb10u1)
- OAR batch scheduler documentation package
- oar-node (2.5.8-1+deb10u1)
- OAR batch scheduler node package
- oar-restful-api (2.5.8-1+deb10u1)
- OAR web services
- oar-server (2.5.8-1+deb10u1)
- OAR batch scheduler server package
- oar-server-mysql (2.5.8-1+deb10u1)
- OAR batch scheduler MySQL server backend package
- oar-server-pgsql (2.5.8-1+deb10u1)
- OAR batch scheduler PostgreSQL server backend package
- oar-user (2.5.8-1+deb10u1)
- OAR batch scheduler user package
- oar-user-mysql (2.5.8-1+deb10u1)
- OAR batch scheduler MySQL user backend package
- oar-user-pgsql (2.5.8-1+deb10u1)
- OAR batch scheduler PostgreSQL user backend package
- oar-web-status (2.5.8-1+deb10u1)
- OAR batch scheduler visualization tool package
- oasis (0.4.10-2+b2 [armhf], 0.4.10-2+b1 [amd64, arm64, i386])
- Build-system generation for OCaml projects -- binaries
- oath-dbg (2.6.1-1.3)
- OATH Toolkit debugging symbols
- oathtool (2.6.1-1.3)
- OATH Toolkit oathtool command line tool
- obconf (1:2.0.4+git20150213-2)
- preferences manager for Openbox window manager
- obconf-qt (0.14.1-1)
- Openbox configuration with a Qt interface
- obconf-qt-l10n (0.14.1-1)
- Language package for obconf-qt
- obdgpslogger (0.16-1.3+b2)
- suite of tools to log OBDII and GPS data
- obex-data-server (0.4.6-1+b2)
- D-Bus service for OBEX client and server side functionality
- obexfs (0.11-2+b1)
- mount filesystem of ObexFTP capable devices
- obexftp (0.24-5+b5)
- file transfer utility for devices that use the OBEX protocol
- obfs4proxy (0.0.7-4+deb10u1) [security]
- pluggable transport proxy for Tor, implementing obfs4
- obfsproxy (0.2.13-3)
- pluggable transport proxy for Tor
- obitools (1.2.12+dfsg-2)
- programs to analyze NGS data in a DNA metabarcoding context
- objc++-compiler
- virtueel pakket geboden door gobjc++-7, gobjc++, gobjc++-8
- objc++-compiler-aarch64-linux-gnu
- virtueel pakket geboden door gobjc++-8-aarch64-linux-gnu
- objc++-compiler-alpha-linux-gnu
- virtueel pakket geboden door gobjc++-8-alpha-linux-gnu
- objc++-compiler-arm-linux-gnueabi
- virtueel pakket geboden door gobjc++-8-arm-linux-gnueabi
- objc++-compiler-arm-linux-gnueabihf
- virtueel pakket geboden door gobjc++-8-arm-linux-gnueabihf
- objc++-compiler-hppa-linux-gnu
- virtueel pakket geboden door gobjc++-8-hppa-linux-gnu
- objc++-compiler-i686-linux-gnu
- virtueel pakket geboden door gobjc++-8-i686-linux-gnu
- objc++-compiler-m68k-linux-gnu
- virtueel pakket geboden door gobjc++-8-m68k-linux-gnu
- objc++-compiler-mips-linux-gnu
- virtueel pakket geboden door gobjc++-8-mips-linux-gnu
- objc++-compiler-mips64-linux-gnuabi64
- virtueel pakket geboden door gobjc++-8-mips64-linux-gnuabi64
- objc++-compiler-mips64el-linux-gnuabi64
- virtueel pakket geboden door gobjc++-8-mips64el-linux-gnuabi64
- objc++-compiler-mipsel-linux-gnu
- virtueel pakket geboden door gobjc++-8-mipsel-linux-gnu
- objc++-compiler-mipsisa32r6-linux-gnu
- virtueel pakket geboden door gobjc++-8-mipsisa32r6-linux-gnu
- objc++-compiler-mipsisa32r6el-linux-gnu
- virtueel pakket geboden door gobjc++-8-mipsisa32r6el-linux-gnu
- objc++-compiler-mipsisa64r6-linux-gnuabi64
- virtueel pakket geboden door gobjc++-8-mipsisa64r6-linux-gnuabi64
- objc++-compiler-mipsisa64r6el-linux-gnuabi64
- virtueel pakket geboden door gobjc++-8-mipsisa64r6el-linux-gnuabi64
- objc++-compiler-powerpc-linux-gnu
- virtueel pakket geboden door gobjc++-8-powerpc-linux-gnu
- objc++-compiler-powerpc-linux-gnuspe
- virtueel pakket geboden door gobjc++-8-powerpc-linux-gnuspe
- objc++-compiler-powerpc64-linux-gnu
- virtueel pakket geboden door gobjc++-8-powerpc64-linux-gnu
- objc++-compiler-powerpc64le-linux-gnu
- virtueel pakket geboden door gobjc++-8-powerpc64le-linux-gnu
- objc++-compiler-riscv64-linux-gnu
- virtueel pakket geboden door gobjc++-8-riscv64-linux-gnu
- objc++-compiler-s390x-linux-gnu
- virtueel pakket geboden door gobjc++-8-s390x-linux-gnu
- objc++-compiler-sh4-linux-gnu
- virtueel pakket geboden door gobjc++-8-sh4-linux-gnu
- objc++-compiler-sparc64-linux-gnu
- virtueel pakket geboden door gobjc++-8-sparc64-linux-gnu
- objc++-compiler-x86-64-linux-gnu
- virtueel pakket geboden door gobjc++-8-x86-64-linux-gnu
- objc++-compiler-x86-64-linux-gnux32
- virtueel pakket geboden door gobjc++-8-x86-64-linux-gnux32
- objc-compiler
- virtueel pakket geboden door clang-7, clang-11, clang-6.0, clang-13, gobjc-8, gobjc, gobjc-7
- objc-compiler-aarch64-linux-gnu
- virtueel pakket geboden door gobjc-8-aarch64-linux-gnu
- objc-compiler-alpha-linux-gnu
- virtueel pakket geboden door gobjc-8-alpha-linux-gnu
- objc-compiler-arm-linux-gnueabi
- virtueel pakket geboden door gobjc-8-arm-linux-gnueabi
- objc-compiler-arm-linux-gnueabihf
- virtueel pakket geboden door gobjc-8-arm-linux-gnueabihf
- objc-compiler-hppa-linux-gnu
- virtueel pakket geboden door gobjc-8-hppa-linux-gnu
- objc-compiler-i686-linux-gnu
- virtueel pakket geboden door gobjc-8-i686-linux-gnu
- objc-compiler-m68k-linux-gnu
- virtueel pakket geboden door gobjc-8-m68k-linux-gnu
- objc-compiler-mips-linux-gnu
- virtueel pakket geboden door gobjc-8-mips-linux-gnu
- objc-compiler-mips64-linux-gnuabi64
- virtueel pakket geboden door gobjc-8-mips64-linux-gnuabi64
- objc-compiler-mips64el-linux-gnuabi64
- virtueel pakket geboden door gobjc-8-mips64el-linux-gnuabi64
- objc-compiler-mipsel-linux-gnu
- virtueel pakket geboden door gobjc-8-mipsel-linux-gnu
- objc-compiler-mipsisa32r6-linux-gnu
- virtueel pakket geboden door gobjc-8-mipsisa32r6-linux-gnu
- objc-compiler-mipsisa32r6el-linux-gnu
- virtueel pakket geboden door gobjc-8-mipsisa32r6el-linux-gnu
- objc-compiler-mipsisa64r6-linux-gnuabi64
- virtueel pakket geboden door gobjc-8-mipsisa64r6-linux-gnuabi64
- objc-compiler-mipsisa64r6el-linux-gnuabi64
- virtueel pakket geboden door gobjc-8-mipsisa64r6el-linux-gnuabi64
- objc-compiler-powerpc-linux-gnu
- virtueel pakket geboden door gobjc-8-powerpc-linux-gnu
- objc-compiler-powerpc-linux-gnuspe
- virtueel pakket geboden door gobjc-8-powerpc-linux-gnuspe
- objc-compiler-powerpc64-linux-gnu
- virtueel pakket geboden door gobjc-8-powerpc64-linux-gnu
- objc-compiler-powerpc64le-linux-gnu
- virtueel pakket geboden door gobjc-8-powerpc64le-linux-gnu
- objc-compiler-riscv64-linux-gnu
- virtueel pakket geboden door gobjc-8-riscv64-linux-gnu
- objc-compiler-s390x-linux-gnu
- virtueel pakket geboden door gobjc-8-s390x-linux-gnu
- objc-compiler-sh4-linux-gnu
- virtueel pakket geboden door gobjc-8-sh4-linux-gnu
- objc-compiler-sparc64-linux-gnu
- virtueel pakket geboden door gobjc-8-sparc64-linux-gnu
- objc-compiler-x86-64-linux-gnu
- virtueel pakket geboden door gobjc-8-x86-64-linux-gnu
- objc-compiler-x86-64-linux-gnux32
- virtueel pakket geboden door gobjc-8-x86-64-linux-gnux32
- (1.0-4)
- Graphical menu editor for openbox
- obs-build (20180831-2)
- scripts for building RPM/debian packages for multiple distributions
- obs-plugins (22.0.3+dfsg1-1)
- recorder and streamer for live video content (plugins)
- obs-studio (22.0.3+dfsg1-1)
- recorder and streamer for live video content
- obsession (20140608-2+b1)
- Session management helpers for lightweight desktop environments
- obsidian-icon-theme (3.5-1)
- Intuitive Faenza-like icon theme
- oca-addons-account
- virtueel pakket geboden door oca-core
- oca-addons-account-analytic-default
- virtueel pakket geboden door oca-core
- oca-addons-account-asset
- virtueel pakket geboden door oca-core
- oca-addons-account-bank-statement-import
- virtueel pakket geboden door oca-core
- oca-addons-account-budget
- virtueel pakket geboden door oca-core
- oca-addons-account-cancel
- virtueel pakket geboden door oca-core
- oca-addons-account-cash-basis-base-account
- virtueel pakket geboden door oca-core
- oca-addons-account-check-printing
- virtueel pakket geboden door oca-core
- oca-addons-account-invoicing
- virtueel pakket geboden door oca-core
- oca-addons-account-lock
- virtueel pakket geboden door oca-core
- oca-addons-account-payment
- virtueel pakket geboden door oca-core
- oca-addons-account-tax-python
- virtueel pakket geboden door oca-core
- oca-addons-account-test
- virtueel pakket geboden door oca-core
- oca-addons-account-voucher
- virtueel pakket geboden door oca-core
- oca-addons-analytic
- virtueel pakket geboden door oca-core
- oca-addons-anonymization
- virtueel pakket geboden door oca-core
- oca-addons-association
- virtueel pakket geboden door oca-core
- oca-addons-auth-crypt
- virtueel pakket geboden door oca-core
- oca-addons-auth-ldap
- virtueel pakket geboden door oca-core
- oca-addons-auth-oauth
- virtueel pakket geboden door oca-core
- oca-addons-auth-signup
- virtueel pakket geboden door oca-core
- oca-addons-barcodes
- virtueel pakket geboden door oca-core
- oca-addons-base
- virtueel pakket geboden door oca-core
- oca-addons-base-address-city
- virtueel pakket geboden door oca-core
- oca-addons-base-address-extended
- virtueel pakket geboden door oca-core
- oca-addons-base-automation
- virtueel pakket geboden door oca-core
- oca-addons-base-gengo
- virtueel pakket geboden door oca-core
- oca-addons-base-geolocalize
- virtueel pakket geboden door oca-core
- oca-addons-base-iban
- virtueel pakket geboden door oca-core
- oca-addons-base-import
- virtueel pakket geboden door oca-core
- oca-addons-base-import-module
- virtueel pakket geboden door oca-core
- oca-addons-base-setup
- virtueel pakket geboden door oca-core
- oca-addons-base-sparse-field
- virtueel pakket geboden door oca-core
- oca-addons-base-vat
- virtueel pakket geboden door oca-core
- oca-addons-base-vat-autocomplete
- virtueel pakket geboden door oca-core
- oca-addons-board
- virtueel pakket geboden door oca-core
- oca-addons-bus
- virtueel pakket geboden door oca-core
- oca-addons-calendar
- virtueel pakket geboden door oca-core
- oca-addons-calendar-sms
- virtueel pakket geboden door oca-core
- oca-addons-contacts
- virtueel pakket geboden door oca-core
- oca-addons-crm
- virtueel pakket geboden door oca-core
- oca-addons-crm-livechat
- virtueel pakket geboden door oca-core
- oca-addons-crm-phone-validation
- virtueel pakket geboden door oca-core
- oca-addons-crm-project
- virtueel pakket geboden door oca-core
- oca-addons-decimal-precision
- virtueel pakket geboden door oca-core
- oca-addons-delivery
- virtueel pakket geboden door oca-core
- oca-addons-document
- virtueel pakket geboden door oca-core
- oca-addons-event
- virtueel pakket geboden door oca-core
- oca-addons-event-sale
- virtueel pakket geboden door oca-core
- oca-addons-fetchmail
- virtueel pakket geboden door oca-core
- oca-addons-fleet
- virtueel pakket geboden door oca-core
- oca-addons-gamification
- virtueel pakket geboden door oca-core
- oca-addons-gamification-sale-crm
- virtueel pakket geboden door oca-core
- oca-addons-google-account
- virtueel pakket geboden door oca-core
- oca-addons-google-calendar
- virtueel pakket geboden door oca-core
- oca-addons-google-drive
- virtueel pakket geboden door oca-core
- oca-addons-google-spreadsheet
- virtueel pakket geboden door oca-core
- oca-addons-hr
- virtueel pakket geboden door oca-core
- oca-addons-hr-attendance
- virtueel pakket geboden door oca-core
- oca-addons-hr-contract
- virtueel pakket geboden door oca-core
- oca-addons-hr-expense
- virtueel pakket geboden door oca-core
- oca-addons-hr-expense-check
- virtueel pakket geboden door oca-core
- oca-addons-hr-gamification
- virtueel pakket geboden door oca-core
- oca-addons-hr-holidays
- virtueel pakket geboden door oca-core
- oca-addons-hr-maintenance
- virtueel pakket geboden door oca-core
- oca-addons-hr-org-chart
- virtueel pakket geboden door oca-core
- oca-addons-hr-payroll
- virtueel pakket geboden door oca-core
- oca-addons-hr-payroll-account
- virtueel pakket geboden door oca-core
- oca-addons-hr-recruitment
- virtueel pakket geboden door oca-core
- oca-addons-hr-recruitment-survey
- virtueel pakket geboden door oca-core
- oca-addons-hr-timesheet
- virtueel pakket geboden door oca-core
- oca-addons-hr-timesheet-attendance
- virtueel pakket geboden door oca-core
- oca-addons-http-routing
- virtueel pakket geboden door oca-core
- oca-addons-hw-blackbox-be
- virtueel pakket geboden door oca-core
- oca-addons-hw-escpos
- virtueel pakket geboden door oca-core
- oca-addons-hw-posbox-homepage
- virtueel pakket geboden door oca-core
- oca-addons-hw-posbox-upgrade
- virtueel pakket geboden door oca-core
- oca-addons-hw-proxy
- virtueel pakket geboden door oca-core
- oca-addons-hw-scale
- virtueel pakket geboden door oca-core
- oca-addons-hw-scanner
- virtueel pakket geboden door oca-core
- oca-addons-hw-screen
- virtueel pakket geboden door oca-core
- oca-addons-iap
- virtueel pakket geboden door oca-core
- oca-addons-im-livechat
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ae
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ar
- virtueel pakket geboden door oca-core
- oca-addons-l10n-at
- virtueel pakket geboden door oca-core
- oca-addons-l10n-au
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be-hr-payroll
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be-hr-payroll-account
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be-hr-payroll-fleet
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be-intrastat
- virtueel pakket geboden door oca-core
- oca-addons-l10n-be-invoice-bba
- virtueel pakket geboden door oca-core
- oca-addons-l10n-bo
- virtueel pakket geboden door oca-core
- oca-addons-l10n-br
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ca
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ch
- virtueel pakket geboden door oca-core
- oca-addons-l10n-cl
- virtueel pakket geboden door oca-core
- oca-addons-l10n-cn
- virtueel pakket geboden door oca-core
- oca-addons-l10n-cn-small-business
- virtueel pakket geboden door oca-core
- oca-addons-l10n-cn-standard
- virtueel pakket geboden door oca-core
- oca-addons-l10n-co
- virtueel pakket geboden door oca-core
- oca-addons-l10n-cr
- virtueel pakket geboden door oca-core
- oca-addons-l10n-de
- virtueel pakket geboden door oca-core
- oca-addons-l10n-de-skr03
- virtueel pakket geboden door oca-core
- oca-addons-l10n-de-skr04
- virtueel pakket geboden door oca-core
- oca-addons-l10n-do
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ec
- virtueel pakket geboden door oca-core
- oca-addons-l10n-es
- virtueel pakket geboden door oca-core
- oca-addons-l10n-et
- virtueel pakket geboden door oca-core
- oca-addons-l10n-eu-service
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr-certification
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr-fec
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr-hr-payroll
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr-pos-cert
- virtueel pakket geboden door oca-core
- oca-addons-l10n-fr-sale-closing
- virtueel pakket geboden door oca-core
- oca-addons-l10n-generic-coa
- virtueel pakket geboden door oca-core
- oca-addons-l10n-gr
- virtueel pakket geboden door oca-core
- oca-addons-l10n-gt
- virtueel pakket geboden door oca-core
- oca-addons-l10n-hn
- virtueel pakket geboden door oca-core
- oca-addons-l10n-hr
- virtueel pakket geboden door oca-core
- oca-addons-l10n-hu
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in-hr-payroll
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in-purchase
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in-sale
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in-schedule6
- virtueel pakket geboden door oca-core
- oca-addons-l10n-in-stock
- virtueel pakket geboden door oca-core
- oca-addons-l10n-it
- virtueel pakket geboden door oca-core
- oca-addons-l10n-jp
- virtueel pakket geboden door oca-core
- oca-addons-l10n-lu
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ma
- virtueel pakket geboden door oca-core
- oca-addons-l10n-multilang
- virtueel pakket geboden door oca-core
- oca-addons-l10n-mx
- virtueel pakket geboden door oca-core
- oca-addons-l10n-nl
- virtueel pakket geboden door oca-core
- oca-addons-l10n-no
- virtueel pakket geboden door oca-core
- oca-addons-l10n-nz
- virtueel pakket geboden door oca-core
- oca-addons-l10n-pa
- virtueel pakket geboden door oca-core
- oca-addons-l10n-pe
- virtueel pakket geboden door oca-core
- oca-addons-l10n-pl
- virtueel pakket geboden door oca-core
- oca-addons-l10n-pt
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ro
- virtueel pakket geboden door oca-core
- oca-addons-l10n-sa
- virtueel pakket geboden door oca-core
- oca-addons-l10n-sg
- virtueel pakket geboden door oca-core
- oca-addons-l10n-si
- virtueel pakket geboden door oca-core
- oca-addons-l10n-syscohada
- virtueel pakket geboden door oca-core
- oca-addons-l10n-th
- virtueel pakket geboden door oca-core
- oca-addons-l10n-tr
- virtueel pakket geboden door oca-core
- oca-addons-l10n-uk
- virtueel pakket geboden door oca-core
- oca-addons-l10n-us
- virtueel pakket geboden door oca-core
- oca-addons-l10n-uy
- virtueel pakket geboden door oca-core
- oca-addons-l10n-ve
- virtueel pakket geboden door oca-core
- oca-addons-l10n-vn
- virtueel pakket geboden door oca-core
- oca-addons-link-tracker
- virtueel pakket geboden door oca-core
- oca-addons-lunch
- virtueel pakket geboden door oca-core
- oca-addons-mail
- virtueel pakket geboden door oca-core
- oca-addons-maintenance
- virtueel pakket geboden door oca-core
- oca-addons-mass-mailing
- virtueel pakket geboden door oca-core
- oca-addons-mass-mailing-event
- virtueel pakket geboden door oca-core
- oca-addons-mass-mailing-event-track
- virtueel pakket geboden door oca-core
- oca-addons-membership
- virtueel pakket geboden door oca-core
- oca-addons-mrp
- virtueel pakket geboden door oca-core
- oca-addons-mrp-byproduct
- virtueel pakket geboden door oca-core
- oca-addons-mrp-repair
- virtueel pakket geboden door oca-core
- oca-addons-note
- virtueel pakket geboden door oca-core
- oca-addons-note-pad
- virtueel pakket geboden door oca-core
- oca-addons-pad
- virtueel pakket geboden door oca-core
- oca-addons-pad-project
- virtueel pakket geboden door oca-core
- oca-addons-payment
- virtueel pakket geboden door oca-core
- oca-addons-payment-adyen
- virtueel pakket geboden door oca-core
- oca-addons-payment-authorize
- virtueel pakket geboden door oca-core
- oca-addons-payment-buckaroo
- virtueel pakket geboden door oca-core
- oca-addons-payment-ogone
- virtueel pakket geboden door oca-core
- oca-addons-payment-paypal
- virtueel pakket geboden door oca-core
- oca-addons-payment-payumoney
- virtueel pakket geboden door oca-core
- oca-addons-payment-sips
- virtueel pakket geboden door oca-core
- oca-addons-payment-stripe
- virtueel pakket geboden door oca-core
- oca-addons-payment-transfer
- virtueel pakket geboden door oca-core
- oca-addons-phone-validation
- virtueel pakket geboden door oca-core
- oca-addons-point-of-sale
- virtueel pakket geboden door oca-core
- oca-addons-portal
- virtueel pakket geboden door oca-core
- oca-addons-pos-cache
- virtueel pakket geboden door oca-core
- oca-addons-pos-data-drinks
- virtueel pakket geboden door oca-core
- oca-addons-pos-discount
- virtueel pakket geboden door oca-core
- oca-addons-pos-mercury
- virtueel pakket geboden door oca-core
- oca-addons-pos-reprint
- virtueel pakket geboden door oca-core
- oca-addons-pos-restaurant
- virtueel pakket geboden door oca-core
- oca-addons-pos-sale
- virtueel pakket geboden door oca-core
- oca-addons-procurement-jit
- virtueel pakket geboden door oca-core
- oca-addons-product
- virtueel pakket geboden door oca-core
- oca-addons-product-email-template
- virtueel pakket geboden door oca-core
- oca-addons-product-expiry
- virtueel pakket geboden door oca-core
- oca-addons-product-extended
- virtueel pakket geboden door oca-core
- oca-addons-product-margin
- virtueel pakket geboden door oca-core
- oca-addons-project
- virtueel pakket geboden door oca-core
- oca-addons-project-timesheet-holidays
- virtueel pakket geboden door oca-core
- oca-addons-purchase
- virtueel pakket geboden door oca-core
- oca-addons-purchase-mrp
- virtueel pakket geboden door oca-core
- oca-addons-purchase-requisition
- virtueel pakket geboden door oca-core
- oca-addons-rating
- virtueel pakket geboden door oca-core
- oca-addons-rating-project
- virtueel pakket geboden door oca-core
- oca-addons-report-intrastat
- virtueel pakket geboden door oca-core
- oca-addons-resource
- virtueel pakket geboden door oca-core
- oca-addons-sale
- virtueel pakket geboden door oca-core
- oca-addons-sale-crm
- virtueel pakket geboden door oca-core
- oca-addons-sale-expense
- virtueel pakket geboden door oca-core
- oca-addons-sale-management
- virtueel pakket geboden door oca-core
- oca-addons-sale-margin
- virtueel pakket geboden door oca-core
- oca-addons-sale-mrp
- virtueel pakket geboden door oca-core
- oca-addons-sale-order-dates
- virtueel pakket geboden door oca-core
- oca-addons-sale-payment
- virtueel pakket geboden door oca-core
- oca-addons-sale-service-rating
- virtueel pakket geboden door oca-core
- oca-addons-sale-stock
- virtueel pakket geboden door oca-core
- oca-addons-sale-timesheet
- virtueel pakket geboden door oca-core
- oca-addons-sales-team
- virtueel pakket geboden door oca-core
- oca-addons-sms
- virtueel pakket geboden door oca-core
- oca-addons-stock
- virtueel pakket geboden door oca-core
- oca-addons-stock-account
- virtueel pakket geboden door oca-core
- oca-addons-stock-dropshipping
- virtueel pakket geboden door oca-core
- oca-addons-stock-landed-costs
- virtueel pakket geboden door oca-core
- oca-addons-stock-picking-batch
- virtueel pakket geboden door oca-core
- oca-addons-survey
- virtueel pakket geboden door oca-core
- oca-addons-survey-crm
- virtueel pakket geboden door oca-core
- oca-addons-test-access-rights
- virtueel pakket geboden door oca-core
- oca-addons-test-assetsbundle
- virtueel pakket geboden door oca-core
- oca-addons-test-convert
- virtueel pakket geboden door oca-core
- oca-addons-test-converter
- virtueel pakket geboden door oca-core
- oca-addons-test-documentation-examples
- virtueel pakket geboden door oca-core
- oca-addons-test-exceptions
- virtueel pakket geboden door oca-core
- oca-addons-test-impex
- virtueel pakket geboden door oca-core
- oca-addons-test-inherit
- virtueel pakket geboden door oca-core
- oca-addons-test-inherits
- virtueel pakket geboden door oca-core
- oca-addons-test-limits
- virtueel pakket geboden door oca-core
- oca-addons-test-main-flows
- virtueel pakket geboden door oca-core
- oca-addons-test-mimetypes
- virtueel pakket geboden door oca-core
- oca-addons-test-new-api
- virtueel pakket geboden door oca-core
- oca-addons-test-performance
- virtueel pakket geboden door oca-core
- oca-addons-test-pylint
- virtueel pakket geboden door oca-core
- oca-addons-test-read-group
- virtueel pakket geboden door oca-core
- oca-addons-test-uninstall
- virtueel pakket geboden door oca-core
- oca-addons-theme-bootswatch
- virtueel pakket geboden door oca-core
- oca-addons-theme-default
- virtueel pakket geboden door oca-core
- oca-addons-transifex
- virtueel pakket geboden door oca-core
- oca-addons-utm
- virtueel pakket geboden door oca-core
- oca-addons-web
- virtueel pakket geboden door oca-core
- oca-addons-web-diagram
- virtueel pakket geboden door oca-core
- oca-addons-web-editor
- virtueel pakket geboden door oca-core
- oca-addons-web-kanban-gauge
- virtueel pakket geboden door oca-core
- oca-addons-web-planner
- virtueel pakket geboden door oca-core
- oca-addons-web-settings-dashboard
- virtueel pakket geboden door oca-core
- oca-addons-web-tour
- virtueel pakket geboden door oca-core
- oca-addons-website
- virtueel pakket geboden door oca-core
- oca-addons-website-blog
- virtueel pakket geboden door oca-core
- oca-addons-website-crm
- virtueel pakket geboden door oca-core
- oca-addons-website-crm-partner-assign
- virtueel pakket geboden door oca-core
- oca-addons-website-crm-phone-validation
- virtueel pakket geboden door oca-core
- oca-addons-website-customer
- virtueel pakket geboden door oca-core
- oca-addons-website-event
- virtueel pakket geboden door oca-core
- oca-addons-website-event-questions
- virtueel pakket geboden door oca-core
- oca-addons-website-event-sale
- virtueel pakket geboden door oca-core
- oca-addons-website-event-track
- virtueel pakket geboden door oca-core
- oca-addons-website-form
- virtueel pakket geboden door oca-core
- oca-addons-website-form-project
- virtueel pakket geboden door oca-core
- oca-addons-website-forum
- virtueel pakket geboden door oca-core
- oca-addons-website-forum-doc
- virtueel pakket geboden door oca-core
- oca-addons-website-gengo
- virtueel pakket geboden door oca-core
- oca-addons-website-google-map
- virtueel pakket geboden door oca-core
- oca-addons-website-hr
- virtueel pakket geboden door oca-core
- oca-addons-website-hr-recruitment
- virtueel pakket geboden door oca-core
- oca-addons-website-links
- virtueel pakket geboden door oca-core
- oca-addons-website-livechat
- virtueel pakket geboden door oca-core
- oca-addons-website-mail
- virtueel pakket geboden door oca-core
- oca-addons-website-mail-channel
- virtueel pakket geboden door oca-core
- oca-addons-website-mass-mailing
- virtueel pakket geboden door oca-core
- oca-addons-website-membership
- virtueel pakket geboden door oca-core
- oca-addons-website-partner
- virtueel pakket geboden door oca-core
- oca-addons-website-payment
- virtueel pakket geboden door oca-core
- oca-addons-website-quote
- virtueel pakket geboden door oca-core
- oca-addons-website-rating
- virtueel pakket geboden door oca-core
- oca-addons-website-rating-project
- virtueel pakket geboden door oca-core
- oca-addons-website-sale
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-comparison
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-delivery
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-digital
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-management
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-options
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-stock
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-stock-options
- virtueel pakket geboden door oca-core
- oca-addons-website-sale-wishlist
- virtueel pakket geboden door oca-core
- oca-addons-website-slides
- virtueel pakket geboden door oca-core
- oca-addons-website-theme-install
- virtueel pakket geboden door oca-core
- virtueel pakket geboden door oca-core
- oca-core (11.0.20180730-1)
- Odoo Community business app
- ocaml (4.05.0-11)
- ML language implementation with a class-based object system
- ocaml-4.05.0
- virtueel pakket geboden door ocaml
- ocaml-base (4.05.0-11)
- Runtime system for OCaml bytecode executables
- ocaml-base-4.05.0
- virtueel pakket geboden door ocaml-base
- ocaml-base-nox (4.05.0-11)
- Runtime system for OCaml bytecode executables (no X)
- ocaml-base-nox-4.05.0
- virtueel pakket geboden door ocaml-base-nox
- ocaml-batteries-included (2.6.0-1)
- Batteries included: OCaml development platform - metapackage
- ocaml-best-compilers (= 4.05.0-11)
- virtueel pakket geboden door ocaml-nox
- ocaml-book-en (1.0-5) [non-free]
- English book: "Developing applications with Objective Caml"
- ocaml-book-fr (1.0-5) [non-free]
- French book: "Developpement d'applications avec Objective Caml"
- ocaml-compiler-libs (4.05.0-11)
- OCaml interpreter and standard libraries
- ocaml-compiler-libs-4.05.0
- virtueel pakket geboden door ocaml-compiler-libs
- ocaml-core (3.12.0.1)
- OCaml core tools (metapackage)
- ocaml-doc (4.05-1) [non-free]
- Documentation for OCaml
- ocaml-findlib (1.7.3-2+b2 [armhf], 1.7.3-2+b1 [amd64, arm64, i386])
- management tool for OCaml libraries
- ocaml-interp (4.05.0-11)
- OCaml interactive interpreter and standard libraries
- ocaml-interp-4.05.0
- virtueel pakket geboden door ocaml-interp
- ocaml-libs (3.12.0.1)
- OCaml core libraries (metapackage)
- ocaml-melt (1.4.0-2+b2 [armhf], 1.4.0-2+b1 [amd64, arm64, i386])
- LaTeX with OCaml (tools)
- ocaml-mode (4.05.0-11)
- major mode for editing Objective Caml in Emacs
- ocaml-native-compilers (= 4.05.0-11)
- virtueel pakket geboden door ocaml-nox
- ocaml-nox (4.05.0-11)
- ML implementation with a class-based object system (no X)
- ocaml-nox-4.05.0
- virtueel pakket geboden door ocaml-nox
- ocaml-obuild (0.1.10-3)
- simple package build system for OCaml
- ocaml-qtest (2.9-2)
- Inline (Unit) Tests for OCaml (Executable)
- ocaml-source (4.05.0-11)
- Sources for Objective Caml
- ocaml-source-4.05.0
- virtueel pakket geboden door ocaml-source
- ocaml-tools (20120103-5)
- tools for OCaml developers
- ocaml-ulex (1.1-3+b2 [armhf], 1.1-3+b1 [amd64, arm64, i386])
- OCaml lexer generator with Unicode support
- ocaml-ulex-5zau7
- virtueel pakket geboden door ocaml-ulex
- ocaml-ulex-z0sp9
- virtueel pakket geboden door ocaml-ulex
- ocaml-ulex08 (0.8-10+b10 [armhf], 0.8-10+b9 [amd64, i386], 0.8-10+b5 [arm64])
- OCaml lexer generator with Unicode support - CamlP5 version
- ocaml-ulex08-scxo6
- virtueel pakket geboden door ocaml-ulex08
- ocaml-ulex08-u6n04
- virtueel pakket geboden door ocaml-ulex08
- ocamlbuild (0.11.0-3+b1 [armhf], 0.11.0-3 [amd64, arm64, i386])
- Build tool for building OCaml library and programs
- ocamldsort (0.16.0-5+b2 [armhf], 0.16.0-5+b1 [amd64, arm64, i386])
- dependency sorter for OCaml source files
- ocamlify (0.0.2-5)
- include files in OCaml code
- ocamlmakefile (6.37.0-3)
- general makefile for the Objective Caml programming language
- ocamlmod (0.0.9-1)
- generate OCaml modules from source files
- ocamlviz (1.01-2+b7 [armhf], 1.01-2+b6 [amd64, arm64, i386])
- real-time profiling tools for Objective Caml (clients)
- ocamlwc (0.3-15)
- count the lines of code and comments in OCaml sources
- ocamlweb (1.41-1)
- Literate programming tool for Objective Caml
- occt-draw (7.3.0+dfsg1-5)
- Open CASCADE Technology command interpreter and graphical test system
- occt-misc (7.3.0+dfsg1-5)
- OCCT CAE platform shared library miscellaneous files
- oce-draw (0.18.2-3)
- OpenCASCADE Community Edition CAE platform shared library
- ocfs2-tools (1.8.5-7)
- tools for managing OCFS2 cluster filesystems
- ocfs2-tools-dev (1.8.5-7)
- tools for managing OCFS2 cluster filesystems - development files
- ocl-icd-dev (2.2.12-2)
- Development files to build a ICD Loader
- ocl-icd-libopencl1 (2.2.12-2)
- Generic OpenCL ICD Loader
- ocl-icd-opencl-dev (2.2.12-2)
- OpenCL development files
- oclgrind (18.3-2)
- OpenCL device simulator
- ocp-indent (1.7.0-1)
- OCaml indentation tool for emacs and vim - runtime
- ocplib-simplex-ocaml-dev (0.4-1)
- simplex library for solving linear inequalities
- ocproxy (1.60-1+b1)
- SOCKS proxy for openconnect
- ocrad (0.27-2)
- optical character recognition program
- ocrfeeder (0.8.1-4)
- Document layout analysis and optical character recognition system
- ocrmypdf (8.0.1+dfsg-1)
- add an OCR text layer to PDF files
- ocrmypdf-doc (8.0.1+dfsg-1)
- add an OCR text layer to PDF files - documentation
- ocrodjvu (0.10.4-1)
- tool to perform OCR on DjVu documents
- ocserv (0.12.2-3)
- OpenConnect VPN server compatible with Cisco AnyConnect VPN
- ocsinventory-agent (2:2.4.2-3)
- Hardware and software inventory tool (client)
- ocsinventory-reports (2.5+dfsg1-1+deb10u1) [security]
- Hardware and software inventory tool (Administration Console)
- ocsinventory-server (2.5+dfsg1-1+deb10u1) [security]
- Hardware and software inventory tool (Communication Server)
- octave (4.4.1-5)
- GNU Octave language for numerical computations
- octave-arduino (0.3.0-2)
- Octave Arduino Toolkit
- octave-bart (0.4.04-2)
- Octave bindings for BART
- octave-bim (1.1.5-6)
- PDE solver using a finite element/volume approach in Octave
- octave-biosig (1.9.3-2)
- Octave bindings for BioSig library
- octave-bsltl (1.1.1-2)
- biospeckle laser tool library for Octave
- octave-cgi (0.1.2-2)
- Common Gateway Interface for Octave
- octave-common (4.4.1-5)
- architecture-independent files for octave
- octave-communications (1.2.1-7)
- communications package for Octave
- octave-communications-common (1.2.1-7)
- communications package for Octave (arch-indep files)
- octave-control (3.1.0-3)
- computer-aided control system design (CACSD) for Octave
- octave-data-smoothing (1.3.0-7)
- functions to do data smoothing on noisy data
- octave-dataframe (1.2.0-4)
- manipulate data in Octave similar to R data.frame
- octave-dicom (0.2.1-5)
- manipulate DICOM files in Octave
- octave-divand (1.1.2+dfsg-4)
- n-dimensional interpolation for Octave
- octave-doc (4.4.1-5)
- documentation of the GNU Octave language
- octave-doctest (0.6.1-2)
- documentation tests for Octave
- octave-econometrics (1:1.1.1-6)
- econometrics functions for Octave
- octave-financial (0.5.3-2)
- financial package for Octave
- octave-fits (1.0.7-3)
- manipulation of FITS files in Octave
- octave-fpl (1.3.5-5)
- plot data on unstructured triangular and tetrahedral meshes in Octave
- octave-fuzzy-logic-toolkit (0.4.5-2)
- fuzzy logic toolkit for Octave
- octave-ga (0.10.0-6)
- genetic optimization code for Octave
- octave-gdf (0.1.2-2.1+b3)
- IO library for the GDF -- Octave interface
- octave-general (2.1.0-2)
- provide extra general functions for Octave
- octave-geometry (3.0.0-9)
- geometric computing functions for Octave
- octave-gsl (2.1.1-2)
- GSL binding for Octave
- octave-htmldoc (4.4.1-5)
- transitional package for Octave HTML documentation
- octave-image (2.10.0-2)
- image manipulation for Octave
- octave-image-acquisition (0.2.2-2)
- image acquisition package for Octave
- octave-info (4.4.1-5)
- transitional package for Octave info documentation
- octave-instrument-control (0.3.1-2)
- instrument control for Octave
- octave-interval (3.2.0-4)
- real-valued interval arithmetic for Octave
- octave-interval-doc (3.2.0-4)
- real-valued interval arithmetic for Octave (arch-indep files)
- octave-io (2.4.12-2)
- input/output data functions for Octave
- octave-level-set (0.3.0-6)
- level-set toolbox for Octave
- octave-linear-algebra (2.2.2-7)
- additional linear-algebra functions for Octave
- octave-lssa (0.1.3-2)
- least squares spectral analysis for Octave
- octave-ltfat (2.3.1+dfsg-2)
- Large Time/Frequency Analysis Toolbox
- octave-ltfat-common (2.3.1+dfsg-2)
- Large Time/Frequency Analysis Toolbox (arch-indep files)
- octave-mapping (1.2.1-4)
- geographical mapping functions for Octave
- octave-miscellaneous (1.2.1-5)
- miscellaneous tools for Octave
- octave-missing-functions (1.0.2-8)
- finds functions that are in Matlab but not in Octave
- octave-mpi (1.2.0-6)
- Octave toolbox for parallel computing using MPI
- octave-msh (1.0.10-7)
- create and manage meshes for FE or FV solvers in Octave
- octave-mvn (1.1.0-2)
- multivariate normal distribution clustering for Octave
- octave-nan (3.1.4-5)
- handles data with and without missing values in Octave
- octave-ncarray (1.0.4-2)
- access NetCDF files as a multi-dimensional array in Octave
- octave-netcdf (1.0.12-2)
- Matlab compatible NetCDF interface for Octave
- octave-nlopt (2.4.2+dfsg-8+b1)
- nonlinear optimization library -- GNU Octave package
- octave-nurbs (1.3.13-5)
- non-uniform rational B-splines for Octave
- octave-octclip (1.0.8-5)
- boolean operations with polygons in Octave
- octave-octproj (1.1.5-4)
- GNU Octave bindings to PROJ.4
- octave-openems (0.0.35+dfsg.1-3)
- Octave interface for openems
- octave-optics (0.1.3-2)
- optics functions for Octave
- octave-optim (1.5.3-2)
- unconstrained non-linear optimization toolkit for Octave
- octave-optiminterp (0.3.5-2)
- optimal interpolation package for Octave
- octave-parallel (3.1.3-2)
- parallel execution of Octave in clusters of computers
- octave-pfstools (2.1.0-3+b5)
- octave bindings for pfstools
- octave-plplot (5.14.0+dfsg-3)
- Octave support for PLplot, a plotting library
- octave-psychtoolbox-3 (3.0.15.20190207.dfsg1-1)
- toolbox for vision research -- Octave bindings
- octave-quaternion (2.4.0-5)
- quaternion package for Octave
- octave-queueing (1.2.6-5)
- Queueing Networks and Markov chains analysis for Octave
- octave-secs1d (0.0.9-6)
- semi conductor simulator in 1D for Octave
- octave-secs2d (0.0.8-10)
- semi conductor simulator in 2D for Octave
- octave-secs3d (0.0.1-2)
- Drift-Diffusion simulator for 3d semiconductor devices in Octave
- octave-signal (1.4.0-3)
- signal processing functions for Octave
- octave-sockets (1.2.0-4)
- communication through Internet sockets in Octave
- octave-sparsersb (1.0.6-2)
- RSB sparse matrix manipulation for Octave
- octave-specfun (1.1.0-5)
- special mathematical functions for Octave
- octave-splines (1.3.2-6)
- cubic spline functions for Octave
- octave-statistics (1.4.0-5)
- additional statistical functions for Octave
- octave-stk (2.5.1-2)
- (not so) Small Toolbox for Kriging
- octave-strings (1.2.0-4)
- additional string manipulation functions for Octave
- octave-struct (1.0.15-2)
- additional structure manipulation functions for Octave
- octave-symbolic (2.7.1-2)
- symbolic package for Octave
- octave-tsa (4.4.5-4)
- time series analysis in Octave
- octave-vibes (0.2.0-3)
- VIBes API to easily display results in Octave
- octave-vlfeat (0.9.21+dfsg0-3+b1)
- Computer vision library focussing on visual features and clustering
- octave-vrml (1.0.13-5)
- VRML functions for Octave
- octave-zenity (0.5.7-9)
- simple graphical user interfaces using zenity in Octave
- octave-zeromq (1.3.0-4)
- ZeroMQ binding for Octave
- octavia-agent (3.0.0-3+deb10u1)
- OpenStack Load Balancer as a Service - Agent
- octavia-api (3.0.0-3+deb10u1)
- OpenStack Load Balancer as a Service - API frontend
- octavia-common (3.0.0-3+deb10u1)
- OpenStack Load Balancer as a Service - Common files
- octavia-doc (3.0.0-3+deb10u1)
- OpenStack Load Balancer Service - documentation
- octavia-health-manager (3.0.0-3+deb10u1)
- OpenStack Load Balancer Service - Health manager
- octavia-housekeeping (3.0.0-3+deb10u1)
- OpenStack Load Balancer Service - Housekeeping manager
- octavia-worker (3.0.0-3+deb10u1)
- OpenStack Load Balancer Service - Worker
- octocatalog-diff (1.5.4-1)
- compile and compare Puppet catalogs from 2 branches, versions, etc
- octomap-tools (1.8.1+dfsg-1)
- Tools for 3D occupancy grid mapping
- octovis (1.8.1+dfsg-1)
- Visualization tool for OctoMap
- odb (2.4.0-10)
- C++ Object-Relational Mapping (ORM)
- odbc-mdbtools (0.7.1-6)
- MDB tools ODBC driver
- odbc-postgresql (1:11.00.0000-1)
- ODBC driver for PostgreSQL
- odbcinst (2.3.6-0.1)
- Helper program for accessing odbc ini files
- odbcinst1debian2 (2.3.6-0.1)
- Support library for accessing odbc ini files
- oddjob (0.34.4-1)
- D-Bus service which runs odd jobs -- daemon
- oddjob-mkhomedir (0.34.4-1)
- Oddjob helper which creates and populates home directories
- odil (0.10.0-3)
- C++11 library for the DICOM standard (application)
- odin (2.0.3-1)
- develop, simulate and run magnetic resonance sequences
- odot (1.3.0-0.1)
- task list manager written in Gtk2-Perl
- ods2tsv (0.7.0-2)
- ODS to TSV file converter
- odt2txt (0.5-1+b2)
- simple converter from OpenDocument Text to plain text
- office2003-schemas (1.0-1) [non-free]
- Office 2003 XML Schemas - xsd
- offlineimap (7.2.3+dfsg1-1)
- IMAP/Maildir synchronization and reader support
- ofono (1.21-1)
- Mobile telephony stack (daemon)
- ofono-dev (1.21-1)
- Mobile telephony stack (development files)
- ofono-phonesim (1.21-1)
- Modem emulator used by the oFono mobile telephony stack
- ofx (1:0.9.14-1+deb10u1)
- Open Financial Exchange programs
- ofxstatement (0.6.1-1)
- tool to convert proprietary bank statement to OFX format
- ofxstatement-plugins (20181208)
- set of plugins for ofxstatement
- ogamesim (1.18-3)
- Console Ogame Simulator
- ogamesim-www (0.34-3)
- WWW GUI for ogamesim
- ogdi-bin (3.2.1+ds-4)
- Open Geographic Datastore Interface Library -- utilities
- oggfwd (0.2-6+b2)
- basic icecast source client for audio and video streaming
- oggvideotools (0.9.1-5)
- toolbox for manipulating and creating Ogg video files
- oggvideotools-dbg (0.9.1-5)
- toolbox for manipulating and creating Ogg video files (debug symbols)
- oggz-tools (1.1.1-7)
- utilities for mangling Ogg streams
- ogmrip (1.0.1-1+b2)
- Application for ripping and encoding DVD
- ogmrip-doc (1.0.1-1)
- Application for ripping and encoding DVD - Documentation files
- ogmrip-plugins (1.0.1-1+b2)
- Application for ripping and encoding DVD - plugins
- ogmtools (1:1.5-4+b1)
- Tools for manipulating Ogg multimedia streams
- ogre-1.9-doc (1.9.0+dfsg1-12)
- 3D Object-Oriented Graphics Rendering Engine (documentation)
- ogre-1.9-tools (1.9.0+dfsg1-12+b1)
- 3D Object-Oriented Graphics Rendering Engine (tools)
- ohai (13.8.0-1)
- Detects data about your operating system and reports it in JSON
- ohcount (3.1.1-2)
- Source code line counter
- ohcount-doc (3.1.1-2)
- Source code line counter - Documentation
- oidentd (2.3.2-1)
- replacement ident daemon
- oidua (0.16.1-9)
- audio file metadata lister
- oinkmaster (2.0-4)
- Snort rules manager
- okteta (5:0.25.5-1)
- hexadecimal editor for binary files
- okteta-dev (5:0.25.5-1)
- Development files for Okteta
- okular (4:17.12.2-2.2+deb10u1)
- universal document viewer
- okular-backend-odp (1:3.1.0+dfsg-5)
- Okular backend for ODP documents
- okular-backend-odt (1:3.1.0+dfsg-5)
- Okular backend for ODT documents
- okular-dev (4:17.12.2-2.2+deb10u1)
- development files for the Okular libraries
- (4:17.12.2-2.2+deb10u1)
- additional document format support for Okular
- okular-mobile (4:17.12.2-2.2+deb10u1)
- mobile support for Okular
- okumura-clsfiles
- virtueel pakket geboden door texlive-lang-japanese
- ola (0.10.7.nojsmin-1+b1)
- Open Lighting Architecture
- ola-python (0.10.7.nojsmin-1)
- Open Lighting Architecture - Python Classes
- ola-rdm-tests (0.10.7.nojsmin-1)
- Open Lighting Architecture - RDM Responder Tests
- oldsys-preseed (3.22)
- Preseed debian-installer from an existing device
- olive-editor (20181223-2)
- Professional open-source NLE video editor
- olpc-kbdshim (27-1+b2)
- OLPC XO keyboard support daemon
- olpc-kbdshim-common
- virtueel pakket geboden door olpc-kbdshim
- olpc-kdbshim-hal
- virtueel pakket geboden door olpc-kbdshim
- olpc-powerd (23-2+b2)
- OLPC XO power management support daemon
- olpc-xo1-hw (0.4)
- Support for OLPC XO-1 hardware
- olsrd (0.6.6.2-1)
- optimized link-state routing daemon (unik-olsrd)
- olsrd-gui (0.6.6.2-1)
- GTK GUI to control and get info about olsrd
- olsrd-plugins (0.6.6.2-1)
- various plugins to enhance olsrd
- omake (0.9.8.5-3-9+b5 [armhf], 0.9.8.5-3-9+b4 [amd64, arm64, i386])
- build system with automated dependency analysis
- omake-doc (0.9.8.5-3-9)
- documentation for OMake
- omega-rpg (1:0.90-pa9-16+b2)
- text-based roguelike game
- omegat (3.6.0.10+dfsg-1)
- Computer Assisted Translation (CAT) tool
- omins (0.2.0-7.1)
- collection of LADSPA plugins aimed at modular synthesizers
- omnievents (1:2.6.2-5+b1)
- omniORB event service
- omnievents-doc (1:2.6.2-5)
- omniORB event service documentation
- omniidl (4.2.2-0.9+b1)
- omniORB IDL to C++ and Python compiler
- omniorb (4.2.2-0.9+b1)
- IOR and naming service utilities for omniORB
- omniorb-doc (4.2.2-0.9)
- omniORB documentation
- omniorb-idl (4.2.2-0.9)
- omniORB CORBA services idl files
- omniorb-nameserver (4.2.2-0.9+b1)
- omniORB naming service
- onak (0.5.0-1)
- OpenPGP Key Server
- onboard (1.4.1-4+b1)
- Simple On-screen Keyboard
- onboard-common (1.4.1-4)
- Simple On-screen Keyboard (common files)
- onboard-data (1.4.1-4)
- Language model files for the word suggestion feature of Onboard
- ondir (0.2.3+git0.55279f03-1)
- Automate tasks specific to certain directories in the shell
- oneisenough (0.40-4)
- 2D platform game about the epic struggle of balls
- oneko (1.2.sakura.6-14)
- cat chases the cursor (now a mouse) around the screen
- oneliner-el (0.3.6-8)
- extensions of Emacs standard shell-mode
- onesixtyone (0.3.2-1+b2)
- fast and simple SNMP scanner
- onetime (1.122-1)
- command-line encryption using the "one-time pad" method
- onionbalance (0.1.8-4)
- Tor hidden service load balancer
- onioncircuits (0.5-4)
- GTK+ application to display Tor circuits and streams
- onionshare (1.3.2-1)
- Share a file over Tor Hidden Services anonymously and securely
- only (0.0.6.0-2)
- grep-like tool for filtering on words or lines
- onscripter (20181218-1)
- Visual novel games engine compatible to NScripter
- ontospy (0~20190225~dfsg1-1)
- query, inspect and visualize RDF/OWL ontologies - CLI tool
- ooo-thumbnailer (0.2-5.1)
- thumbnailer for OpenOffice.org documents
- ooo2dbk (2.1.0-1.1)
- converts OpenOffice.org SXW documents to DocBook XML
- ooohg (09.2013-2) [non-free]
- Set of 1600 free of charge maps for libreoffice/openoffice.org
- opa-address-resolution (10.8.0.0.201+dfsg.1-1)
- Omni-Path fabric address resolution manager
- opa-basic-tools (10.8.0.0.201+dfsg.1-1)
- Tools to manage an Omni-Path Architecture fabric
- opa-fastfabric (10.8.0.0.201+dfsg.1-1)
- Management node tools for an Omni-Path Architecture fabric
- opa-fm (10.8.0.0.202+dfsg.1-2)
- Intel Omni-Path Fabric Management Software
- opal-utils (6.2-1)
- OPAL firmware utilities
- opalmod (0.2.2)
- A set of Perl modules for various tasks
- opam (2.0.3-1+deb10u1)
- package manager for OCaml
- opam-doc (2.0.3-1+deb10u1)
- package manager for OCaml (documentation)
- opam-installer (2.0.3-1+deb10u1)
- Utility handling (un)installation of Opam .install files
- opari (1.1+dfsg-7)
- OpenMP Pragma And Region Instrumentor - translation tool
- opari2 (2.0.4-1)
- OpenMP Pragma And Region Instrumentor - translation tool
- open-adventure (1.4+git20170917.0.d512384-2)
- colossal cave adventure, the 1995 430-point version
- open-cobol (2.2-5)
- transitional dummy package for gnucobol
- open-infrastructure-apache-icons (20170701-3)
- Additional utilities for Apache (transitional package)
- open-infrastructure-apache-tools (20170701-3)
- Additional utilities for Apache
- open-infrastructure-ceph-tools (20180915-3)
- Additional utilities to manage Ceph
- open-infrastructure-container-tools (20190301-lts2-1~deb10u1)
- manage systemd-nspawn containers
- open-infrastructure-storage-tools (20180915-3)
- Additional utilities to manage Ceph (transitional package)
- open-infrastructure-system-boot (20190202-1)
- Boot Debian-based system images
- open-infrastructure-system-build (20190202-1)
- Build Debian-based system images
- open-infrastructure-system-config (20190202-1)
- Configure Debian-based system images
- open-infrastructure-system-images (20190202-1)
- Debian-based system images
- open-invaders (0.3-4.3+b1)
- Space Invaders clone
- open-invaders-data (0.3-4.3)
- Space Invaders clone (data package)
- open-iscsi (2.0.874-7.1)
- iSCSI initiator tools
- open-iscsi-udeb (2.0.874-7.1)
- Configure iSCSI
- open-isns-discoveryd (0.97-3)
- Internet Storage Name Service - iSNS discovery daemon
- open-isns-server (0.97-3)
- Internet Storage Name Service - iSNS server
- open-isns-utils (0.97-3)
- Internet Storage Name Service - client utilities
- open-jtalk (1.11-1)
- Japanese text-to-speech system
- open-jtalk-mecab-naist-jdic (1.11-1)
- NAIST Japanese Dictionary for Open JTalk
- open-vm-tools (2:10.3.10-1+deb10u6) [security]
- Open VMware Tools for virtual machines hosted on VMware (CLI)
- open-vm-tools-desktop (2:10.3.10-1+deb10u6) [security]
- Open VMware Tools for virtual machines hosted on VMware (GUI)
- open-vm-tools-dev (2:10.3.10-1+deb10u6) [security]
- Open VMware Tools for virtual machines hosted on VMware (development)
- openafs-client (1.8.2-1+deb10u1)
- AFS distributed filesystem client support
- openafs-dbserver (1.8.2-1+deb10u1)
- AFS distributed filesystem database server
- openafs-doc (1.8.2-1+deb10u1)
- AFS distributed filesystem documentation
- openafs-fileserver (1.8.2-1+deb10u1)
- AFS distributed filesystem file server
- openafs-fuse (1.8.2-1+deb10u1)
- AFS distributed file system experimental FUSE client
- openafs-krb5 (1.8.2-1+deb10u1)
- AFS distributed filesystem Kerberos 5 integration
- openafs-modules-dkms (1.8.2-1+deb10u1)
- AFS distributed filesystem kernel module DKMS source
- openafs-modules-source (1.8.2-1+deb10u1)
- AFS distributed filesystem kernel module source
- openal-info (1:1.19.1-1)
- Informational utility for the OpenAL audio API
- openalpr (2.3.0-1.1)
- Command line program to operate the OpenALPR library
- openalpr-daemon (2.3.0-1.1)
- Daemon to run OpenALPR in the background
- openalpr-utils (2.3.0-1.1)
- Utilities for the OpenALPR library
- openambit (0.3-2)
- utilities for Suunto Ambit sport watches
- openarena (0.8.8+dfsg-2)
- Snelle 3D-first-person-shooter
- openarena-081-maps (0.8.5split-11)
- OpenArena game data - maps from 0.8.1
- openarena-081-misc (0.8.5split-11)
- OpenArena game data - miscellaneous files from 0.8.1
- openarena-081-players (0.8.5split-11)
- OpenArena game data - player graphics from 0.8.1
- openarena-081-players-mature (0.8.5split-11)
- OpenArena game data - "mature" player graphics from 0.8.1
- openarena-081-textures (0.8.5split-11)
- OpenArena game data - textures from 0.8.1
- openarena-085-data (0.8.5split-11)
- OpenArena game data - 0.8.5 update
- openarena-088-data (0.8.8-9)
- OpenArena game data
- openarena-data (0.8.5split-11)
- OpenArena game data
- openarena-oacmp1 (3-4)
- OpenArena Community Map Pack 1
- openarena-server (0.8.8+dfsg-2)
- server and game logic for the game OpenArena
- openbabel (2.4.1+dfsg-3)
- Chemical toolbox utilities (cli)
- openbabel-dbg (2.4.1+dfsg-3)
- Chemical toolbox library (debugging symbols)
- openbabel-gui (2.4.1+dfsg-3)
- Chemical toolbox utilities (graphical user interface)
- openbios-ppc (1.1.git20181001-1)
- PowerPC Open Firmware
- openbios-sparc (1.1.git20181001-1)
- SPARC Open Firmware
- openbox (3.6.1-8)
- standards-compliant, fast, light-weight and extensible window manager
- openbox-dev (3.6.1-8)
- development files for the openbox window manager
- openbox-gnome-session (3.6.1-8)
- command line utility to run Openbox as GNOME session
- openbox-kde-session (3.6.1-8)
- command line utility to run Openbox as KDE SC session
- openbox-lxde-session (0.99.2-3)
- LXDE session manager and configuration files
- (0.8.0+hg20161009-1)
- openbox pipe-menu to display entries in *.desktop files
- openbsc-dev (1.1.0-2)
- Header file needed by tools tightly integrated
- openbsd-inetd (0.20160825-4)
- OpenBSD Internet Superserver
- openbve-data (1.4.0.10+dfsg-1)
- realistic 3D train/railway simulator (GUI icons and data)
- opencaster (3.2.2+dfsg-1.1+b1)
- MPEG2 transport stream data generator and packet manipulator
- opencc (1.0.5-2)
- simplified-traditional Chinese conversion tool
- opencfu (3.9.0-3)
- count cell colonies (CFUs) on agar plates by processing digital pictures
- openchrome-tool (1:0.6.0-3+b1)
- Tool for debugging the OpenChrome display driver
- opencity (0.0.6.5stable-4)
- 3D city simulator game
- opencity-data (0.0.6.5stable-4)
- data for opencity, a 3D city simulator game
- opencl-1.2-html-doc (1.0~svn33624-4)
- OpenCL 1.2 documentation - html pages
- opencl-1.2-man-doc (1.0~svn33624-4)
- OpenCL 1.2 documentation - man pages (experimental)
- (2.2~2019.01.17-g49f07d3-1)
- OpenCL (Open Computing Language) C header files
- (2.0.10+git26-g806646c-1)
- C++ headers for OpenCL development
- (2.0.10+git26-g806646c-1)
- documentation for C++ OpenCL headers
- opencl-dev
- virtueel pakket geboden door ocl-icd-opencl-dev
- (2.2~2019.01.17-g49f07d3-1)
- OpenCL (Open Computing Language) header files
- opencl-icd
- virtueel pakket geboden door pocl-opencl-icd, nvidia-opencl-icd, nvidia-legacy-340xx-opencl-icd, mesa-opencl-icd, beignet-opencl-icd, nvidia-legacy-390xx-opencl-icd
- opencl-icd-1.1-1
- virtueel pakket geboden door pocl-opencl-icd
- opencl-icd-1.2-1
- virtueel pakket geboden door pocl-opencl-icd
- openclipart (1:0.18+dfsg-15)
- Open Clip Art Library
- openclipart-libreoffice (1:0.18+dfsg-15)
- clip art for OpenOffice.org/LibreOffice gallery
- openclipart-png (1:0.18+dfsg-15)
- clip art in PNG format
- openclipart-svg (1:0.18+dfsg-15)
- clip art in SVG format
- openclonk (8.1-1+b1)
- multiplayer game of strategy, action and skill
- openclonk-data (8.1-1)
- multiplayer game of strategy, action and skill - data
- opencollada-dev (0.1.0~20160714.0ec5063+dfsg1-2+b1)
- Stream based reader and writer library for COLLADA files (development files)
- opencollada-tools (0.1.0~20160714.0ec5063+dfsg1-2+b1)
- Command line tool for validating COLLADA files
- opencolorio-doc (1.1.0~dfsg0-5)
- complete color management solution - documentation
- opencolorio-tools (1.1.0~dfsg0-5)
- complete color management solution - utilities
- openconnect (8.02-1+deb10u1)
- open client for Cisco AnyConnect, Pulse, GlobalProtect VPN
- opencryptoki (3.8.1+dfsg-3.1)
- PKCS#11 implementation (daemon)
- openctm-doc (1.0.3+dfsg1-2)
- Documentation for OpenCTM library and tools
- openctm-tools (1.0.3+dfsg1-2+b1)
- Tools for compression of 3D triangle meshes
- opencubicplayer (1:0.1.21-5)
- UNIX port of Open Cubic Player
- opencubicplayer-doc (1:0.1.21-5)
- Documentation for UNIX port of Open Cubic Player
- opencv-data (3.2.0+dfsg-6)
- development data for opencv
- opencv-doc (3.2.0+dfsg-6)
- OpenCV documentation and examples
- opendbx-doc (1.4.6-13)
- Opendbx documentation
- opendbx-utils (1.4.6-13+b1)
- Utility applications using libopendbx
- opendbx-utils
- virtueel pakket geboden door opendbx-utils
- opendict (0.6.8-1)
- computer dictionary for several dictionary formats
- opendict-plugins-lingvosoft (0.8-2.1) [contrib]
- plugins for OpenDict - LingvoSoft Online Dictionaries
- opendkim (2.11.0~alpha-12+deb10u1) [security]
- Milter implementation of DomainKeys Identified Mail
- opendkim-tools (2.11.0~alpha-12+deb10u1) [security]
- Set of command line tools for OpenDKIM
- opendmarc (1.3.2-6+deb10u4) [security]
- Milter implementation of DMARC
- opendnssec (1:2.1.3-2)
- dependency package to install full OpenDNSSEC suite
- opendnssec-common (1:2.1.3-2)
- common configuration files for OpenDNSSEC suite
- opendnssec-doc (1:2.1.3-2)
- documentation for OpenDNSSEC suite
- opendnssec-enforcer (1:2.1.3-2)
- tool to prepare DNSSEC keys (common package)
- opendnssec-enforcer-backend
- virtueel pakket geboden door opendnssec-enforcer-mysql, opendnssec-enforcer-sqlite3
- opendnssec-enforcer-mysql (1:2.1.3-2)
- tool to prepare DNSSEC keys (MySQL backend)
- opendnssec-enforcer-sqlite3 (1:2.1.3-2)
- tool to prepare DNSSEC keys (sqlite3 backend)
- opendnssec-signer (1:2.1.3-2)
- daemon to sign DNS zone files periodically
- openems (0.0.35+dfsg.1-3)
- Electromagnetic simulator
- openexr (2.2.1-4.1+deb10u2) [security]
- command-line tools for the OpenEXR image format
- openexr-doc (2.2.1-4.1+deb10u2) [security]
- documentation and examples for the OpenEXR image format
- openexr-viewers (1.0.1-6+b4)
- viewer for images in the OpenEXR format
- openfoam (1812+dfsg1-2)
- Open source toolbox for Computational Fluid Dynamics (CFD) - binaries
- openfoam-examples (1812+dfsg1-2)
- Open source toolbox for Computational Fluid Dynamics (CFD) - examples
- openfortivpn (1.8.1-1)
- Fortinet client for PPP+SSL VPN tunnel services
- opengl-4-html-doc (1.0~svn33624-1)
- OpenGL 4 documentation - html pages
- opengl-4-man-doc (1.0~svn33624-1)
- OpenGL 4 documentation - man pages (experimental)
- openguides (0.82-2)
- web application for managing a collaboratively-written city guide
- openhackware (0.4.1+git-20140423.c559da7c-4.1)
- OpenFirmware emulator for PowerPC
- openhpi (3.8.0-2)
- SAF's HPI: Abstracted interface for managing computer hardware
- openhpi-clients (3.8.0-2)
- OpenHPI example client programs
- openhpi-plugin-dynamic-simulator (3.8.0-2)
- OpenHPI plugin module for a dynamic simulator
- openhpi-plugin-ilo2-ribcl (3.8.0-2)
- OpenHPI plugin module for HP's ProLiant rackmount servers
- openhpi-plugin-ipmi (3.8.0-2)
- OpenHPI plugin module for OpenIPMI
- openhpi-plugin-ipmidirect (3.8.0-2)
- OpenHPI plugin module for direct IPMI over LAN (RMCP) or SMI
- openhpi-plugin-oa-soap (3.8.0-2)
- OpenHPI plugin module for HPE's BladeSystem c-Class
- openhpi-plugin-ov-rest (3.8.0-2)
- OpenHPI plugin module for HPE's Synergy enclosures
- openhpi-plugin-simulator (3.8.0-2)
- OpenHPI plugin module for a simulator that works without hardware
- openhpi-plugin-slave (3.8.0-2)
- OpenHPI plugin module for slave plugin
- openhpi-plugin-snmp-bc (3.8.0-2)
- OpenHPI plugin module for IBM's BladeCenter or RSA over SNMP
- openhpi-plugin-sysfs (3.8.0-2)
- OpenHPI plugin module for the sysfs filesystem
- openhpi-plugin-test-agent (3.8.0-2)
- OpenHPI plugin module for test agent plugin
- openhpi-plugin-watchdog (3.8.0-2)
- OpenHPI plugin module for the Linux watchdog interface
- openhpid (3.8.0-2)
- OpenHPI daemon, supports gathering of manageability information
- openid-connect-provider
- virtueel pakket geboden door lemonldap-ng
- openid-connect-relying-party
- virtueel pakket geboden door lemonldap-ng
- openigtlink-doc (1.11.0-4)
- Open IGT Link is a simple network protocol - documentation
- openigtlink-examples (1.11.0-4)
- Open IGT Link is a simple network protocol - examples
- openimageio-tools (2.0.5~dfsg0-1+deb10u2) [security]
- Library for reading and writing images - command line tools
- openipmi (2.0.25-2.1)
- Intelligent Platform Management Interface (for servers)
- openjade (1.4devel1-21.3+b1)
- Implementation of the DSSSL language
- openjazz (20190106-1) [contrib]
- Jazz Jackrabbit™ game engine reimplementation
- openjdk-11-dbg (11.0.23+9-1~deb10u1) [security]
- Java runtime based on OpenJDK (debugging symbols)
- openjdk-11-demo (11.0.23+9-1~deb10u1) [security]
- Java runtime based on OpenJDK (demos and examples)
- openjdk-11-doc (11.0.23+9-1~deb10u1) [security]
- OpenJDK Development Kit (JDK) documentation
- openjdk-11-jdk (11.0.23+9-1~deb10u1) [security]
- OpenJDK Development Kit (JDK)
- openjdk-11-jdk-headless (11.0.23+9-1~deb10u1) [security]
- OpenJDK Development Kit (JDK) (headless)
- openjdk-11-jre (11.0.23+9-1~deb10u1) [security]
- OpenJDK Java runtime, using Hotspot JIT
- openjdk-11-jre-dcevm (11.0.12+7-1~deb10u1)
- Alternative VM for OpenJDK 11 with enhanced class redefinition
- openjdk-11-jre-headless (11.0.23+9-1~deb10u1) [security]
- OpenJDK Java runtime, using Hotspot JIT (headless)
- openjdk-11-jre-zero (11.0.23+9-1~deb10u1 [amd64, arm64, i386], 11.0.4+11-1~deb10u1 [armhf]) [security]
- Alternative JVM for OpenJDK, using Zero
- openjdk-11-source (11.0.23+9-1~deb10u1) [security]
- OpenJDK Development Kit (JDK) source files
- openjfx (11.0.2+1-1)
- JavaFX/OpenJFX - Rich client application platform for Java
- openjfx-source (11.0.2+1-1)
- JavaFX/OpenJFX - Rich client application platform for Java (sources)
- openldap-utils
- virtueel pakket geboden door ldap-utils
- openlp (2.4.6-1)
- Church lyrics projection application
- openlugaru (1.2-4)
- transitional package
- openlugaru-data (1.2-4)
- transitional package - data
- openmcdf (1.5.4-3)
- Structured Storage Explorer
- openmpi-bin (3.1.3-11)
- high performance message passing library -- binaries
- openmpi-common (3.1.3-11)
- high performance message passing library -- common files
- openmpi-doc (3.1.3-11)
- high performance message passing library -- man pages
- openmpt123 (0.4.3-1+deb10u1)
- module music library based on OpenMPT -- music player
- openms (2.4.0-real-1)
- package for LC/MS data management and analysis
- openms-common (2.4.0-real-1)
- package for LC/MS data management and analysis - shared data
- openms-doc (2.4.0-real-1)
- package for LC/MS data management and analysis - documentation
- openmsx (0.15.0-2+b1 [amd64], 0.15.0-2 [arm64, armhf, i386])
- MSX emulator that aims for perfection
- openmsx-catapult (0.15.0-1)
- GUI for openMSX
- openmsx-data (0.15.0-2)
- datafiles for openMSX, an MSX emulator
- openmsx-debugger (0.1~git20170806-1)
- Graphical debugger for openMSX
- openmw (0.45.0-2) [contrib]
- Reimplementation of The Elder Scrolls III: Morrowind
- openmw-cs (0.45.0-2) [contrib]
- Replacement of The Elder Scrolls Construction Set
- openmw-data (0.45.0-2) [contrib]
- Resources for the OpenMW engine
- openmw-launcher (0.45.0-2) [contrib]
- Launcher for OpenMW using the Qt-Gui-Toolkit
- openmx (3.8.5+dfsg1-1)
- package for nano-scale material simulations
- openmx-data (3.8.5+dfsg1-1)
- package for nano-scale material simulations (data)
- openni-doc (1.5.4.0+dfsg-2)
- developer documentation for OpenNI frameworks
- openni-utils (1.5.4.0+dfsg-2)
- debug and test utilities OpenNI framework
- openni2-doc (2.2.0.33+dfsg-11)
- developer documentation for OpenNI frameworks
- openni2-utils (2.2.0.33+dfsg-11)
- debug and test utilities OpenNI2 framework
- openntpd (1:6.2p3-4)
- OpenBSD NTP daemon
- openobex-apps (1.7.2-1)
- Applications for OpenOBEX
- openocd (0.10.0-5)
- Open on-chip JTAG debug solution for ARM and MIPS systems
- openoffice.org-hyphenation-pl
- virtueel pakket geboden door hyphen-pl
- openoffice.org-hyphenation-ru
- virtueel pakket geboden door hyphen-ru
- openoffice.org-spellcheck-an
- virtueel pakket geboden door hunspell-an
- openoffice.org-spellcheck-ca
- virtueel pakket geboden door hunspell-ca
- openoffice.org-spellcheck-eo
- virtueel pakket geboden door myspell-eo
- openoffice.org-spellcheck-es
- virtueel pakket geboden door myspell-es
- openoffice.org-spellcheck-eu
- virtueel pakket geboden door hunspell-eu
- openoffice.org-spellcheck-fo
- virtueel pakket geboden door myspell-fo
- openoffice.org-spellcheck-fr-fr
- virtueel pakket geboden door myspell-fr-gut
- openoffice.org-spellcheck-nb
- virtueel pakket geboden door myspell-nb
- openoffice.org-spellcheck-nn
- virtueel pakket geboden door myspell-nn
- openoffice.org-spellcheck-tl
- virtueel pakket geboden door myspell-tl
- openoffice.org-spellcheck-uz
- virtueel pakket geboden door hunspell-uz
- openoffice.org-thesaurus-de
- virtueel pakket geboden door mythes-de
- openoffice.org-thesaurus-de-ch
- virtueel pakket geboden door mythes-de-ch
- openoffice.org-thesaurus-en-au
- virtueel pakket geboden door mythes-en-au
- openoffice.org-thesaurus-pl
- virtueel pakket geboden door mythes-pl
- openoffice.org-updatedicts
- virtueel pakket geboden door dictionaries-common
- openoffice.org2-thesaurus
- virtueel pakket geboden door mythes-en-au
- openorienteering-mapper (0.8.4-1+b1)
- Orienteering map drawing application
- openoverlayrouter (1.2.2+ds1-1)
- deploy programmable overlay networks
- openpgp-applet (1.1-3)
- GNOME applet for OpenPGP text encryption
- openpref (0.1.3-2+b1)
- card game against two virtual players
- openprinting-ppds (20181217-2)
- OpenPrinting printer support - PostScript PPD files
- openrazer-daemon (2.4.0+dfsg-1)
- OpenRazer peripheral drivers (daemon)
- openrazer-doc (2.4.0+dfsg-1)
- OpenRazer peripheral drivers (documentation)
- openrazer-driver-dkms (2.4.0+dfsg-1)
- OpenRazer peripheral drivers (DKMS)
- openrazer-kernel-modules-dkms
- virtueel pakket geboden door openrazer-driver-dkms
- openrazer-meta (2.4.0+dfsg-1)
- OpenRazer peripheral drivers (metapackage)
- openrc (0.40.3-1)
- dependency based service manager (runlevel change mechanism)
- openresolv (3.8.0-1)
- management framework for resolv.conf
- openrocket (15.03.5) [contrib]
- Model Rocket Simulator
- openrpt (3.3.14-2+b2)
- graphical SQL report writer, designer and rendering engine
- opensaml-schemas (3.0.1-1)
- Security Assertion Markup Language library (XML schemas)
- opensaml-tools (3.0.1-1)
- Security Assertion Markup Language command-line tools
- opensaml2-schemas (3.0.1-1)
- transitional package
- opensaml2-tools (3.0.1-1)
- transitional package
- opensc (0.19.0-1+deb10u3) [security]
- Smart card utilities with support for PKCS#15 compatible cards
- opensc-pkcs11 (0.19.0-1+deb10u3) [security]
- Smart card utilities with support for PKCS#15 compatible cards
- openscad (2019.01~RC2-2+deb10u1)
- script file based graphical CAD environment
- openscad-dbg (2019.01~RC2-2+deb10u1)
- script file based graphical CAD environment (debugging symbols)
- openscad-mcad (2019.02-1)
- library for the OpenSCAD 3D modeling software
- openscad-testing (2019.01~RC2-2+deb10u1)
- script file based graphical CAD environment (test suite)
- openscad-testing-data (2019.01~RC2-2+deb10u1)
- script file based graphical CAD environment (test suite data)
- openscap-daemon (0.1.10-3)
- Daemon for infrastructure continuous SCAP compliance checks
- openscenegraph (3.2.3+dfsg1-3)
- 3D scene graph, utilities and examples (binaries)
- openscenegraph-3.4 (3.4.1+dfsg1-5)
- 3D scene graph, utilities and examples (binaries)
- openscenegraph-3.4-doc (3.4.1+dfsg1-5)
- 3D scene graph, documentation
- openscenegraph-3.4-examples (3.4.1+dfsg1-5)
- 3D scene graph, examples (sources)
- openscenegraph-doc (3.2.3+dfsg1-3)
- 3D scene graph, documentation
- openscenegraph-examples (3.2.3+dfsg1-3)
- 3D scene graph, examples (sources)
- openscenegraph-plugin-osgearth (2.10.0+dfsg-1+b1)
- OpenSceneGraph plugins for osgEarth
- opense-basic (1:3.1.2-3)
- Free software ROM for the Sinclair ZX Spectrum
- openshot (2.4.3+dfsg1-1)
- create and edit videos and movies (transitional package)
- openshot-doc (2.4.3+dfsg1-1)
- documentation for openshot-qt (transitional package)
- openshot-qt (2.4.3+dfsg1-1)
- create and edit videos and movies
- openshot-qt-doc (2.4.3+dfsg1-1)
- documentation for openshot-qt
- openslide-tools (3.4.1+dfsg-4)
- Manipulation and conversion tools for OpenSlide
- opensm (3.3.21-2)
- InfiniBand subnet manager
- opensm-doc (3.3.21-2)
- Documentation for the InfiniBand subnet manager
- opensmtpd (6.0.3p1-5+deb10u4)
- secure, reliable, lean, and easy-to configure SMTP server
- (5.7.1-4+b2)
- addons for the OpenSMTPD SMTP server
- opensp (1.5.2-13+b1)
- OpenJade group's SGML parsing tools
- openssh-client (1:7.9p1-10+deb10u4) [security]
- secure shell (SSH) client, for secure access to remote machines
- openssh-client-ssh1 (1:7.5p1-11)
- secure shell (SSH) client for legacy SSH1 protocol
- openssh-client-udeb (1:7.9p1-10+deb10u2)
- secure shell client for the Debian installer
- openssh-known-hosts (0.6.2-1)
- download, filter and merge known_hosts for OpenSSH
- openssh-server (1:7.9p1-10+deb10u4) [security]
- secure shell (SSH) server, for secure access from remote machines
- openssh-server-udeb (1:7.9p1-10+deb10u2)
- secure shell server for the Debian installer
- openssh-sftp-server (1:7.9p1-10+deb10u4) [security]
- secure shell (SSH) sftp server module, for SFTP access from remote machines
- openssh-tests (1:7.9p1-10+deb10u4) [security]
- OpenSSH regression tests
- openssl (1.1.1n-0+deb10u6) [security]
- Secure Sockets Layer toolkit - cryptographic utility
- openssn (1.4-3)
- modern submarine tactical simulator
- openssn-data (1.4-3)
- modern submarine tactical simulator (data)
- openstack-clients (0.25)
- Metapackage to install all Openstack clients
- openstack-cloud-identity (0.25)
- Metapackage to install an Openstack keystone
- openstack-cloud-services (0.25)
- Metapackage to install all Openstack service dependencies
- openstack-cluster-installer (21)
- automatic PXE and puppet-master installer for OpenStack
- openstack-cluster-installer-cli (21)
- automatic PXE and puppet-master installer for OpenStack - API client
- openstack-cluster-installer-poc (21)
- automatic PXE and puppet-master installer for OpenStack - PoC
- openstack-compute-node (0.25)
- Metapackage to install an Openstack compute node
- openstack-dashboard (3:14.0.2-3+deb10u3) [security]
- web application to control an OpenStack cloud
- openstack-dashboard-apache (3:14.0.2-3+deb10u3) [security]
- web application to control an OpenStack cloud - Apache support
- openstack-debian-images (1.36+deb10u1)
- script to build a Debian image for OpenStack
- openstack-deploy (0.25)
- Tools to deploy OpenStack
- openstack-pkg-tools (91)
- Tools and scripts for building Openstack packages in Debian
- openstack-proxy-node (0.25)
- Metapackage to install an Openstack proxy node
- openstack-puppet-modules (0.25)
- Metapackage to install all OpenStack puppet modules
- openstack-tempest-ci (0.25)
- validate OpenStack Debian packages using tempest and openstack-deploy
- openstack-tempest-ci-live-booter (0.25)
- configures a server to boot a custom live image to test OpenStack
- openstack-toaster (0.25)
- Metapackage to install all of Openstack services at once
- openstv (1.6.1-1.2)
- single transferable vote and instant runoff voting software
- opensurgsim-doc (0.7.0-8)
- Free platform for surgical simulation - documentation
- opensvc (1.8~20170412-3)
- Tools to drive OpenSVC services
- openthesaurus-de-text (20160424-3)
- German Text Thesaurus for e.g. ding
- openttd (1.8.0-2)
- reimplementation of Transport Tycoon Deluxe with enhancements
- openttd-data (1.8.0-2)
- common data files for the OpenTTD game
- openttd-opengfx (0.5.4-2)
- free graphics set for use with the OpenTTD game
- openttd-openmsx (0.3.1-5)
- free music set for use with the OpenTTD game
- openttd-opensfx (0.2.3-6) [non-free]
- sound set for use with the OpenTTD game
- opentyrian (2.1.20130907+dfsg-3) [contrib]
- open-source port of the DOS shoot-em-up Tyrian
- openuniverse (1.0beta3.1+dfsg-6)
- 3D Universe Simulator
- openuniverse-common (1.0beta3.1+dfsg-6)
- 3D Universe Simulator data files
- openvanilla-imgeneric (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method
- openvanilla-imgeneric-data-all (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - data files meta package
- openvanilla-imgeneric-data-ja (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Japanese data files
- openvanilla-imgeneric-data-ko (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Korean data files
- openvanilla-imgeneric-data-th (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Thai data files
- openvanilla-imgeneric-data-vi (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Vietnamese data files
- openvanilla-imgeneric-data-zh-cn (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Chinese (Simplified) data files
- openvanilla-imgeneric-data-zh-hk (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Chinese (Hongkong) data files
- openvanilla-imgeneric-data-zh-tw (0.9.0a1.3+dfsg1-3)
- libraries of openvanilla input method - Chinese (Traditional) data files
- openvas (9.0.3)
- remote network security auditor - dummy package
- openvas-cli (1.4.5-2)
- Command Line Tools for OpenVAS
- openvas-manager (7.0.3-1)
- Manager Module of OpenVAS
- openvas-manager-common (7.0.3-1)
- architecture independent files for openvas-manager
- openvas-nasl (9.0.3-1+b1)
- remote network security auditor - nasl tool
- openvas-scanner (5.1.3-2)
- remote network security auditor - scanner
- openvpn (2.4.7-1+deb10u1)
- virtual private network daemon
- openvpn-auth-ldap (2.0.3-6.1+b2)
- OpenVPN LDAP authentication module
- openvpn-auth-radius (2.1-7)
- OpenVPN RADIUS authentication module
- openvpn-systemd-resolved (1.2.7-1)
- integrates OpenVPN with systemd-resolved
- openvswitch-common (2.10.7+ds1-0+deb10u5) [security]
- Open vSwitch common components
- openvswitch-dbg (2.10.7+ds1-0+deb10u5) [security]
- Debug symbols for Open vSwitch packages
- openvswitch-dev (2.10.7+ds1-0+deb10u5) [security]
- Open vSwitch development package
- openvswitch-pki (2.10.7+ds1-0+deb10u5) [security]
- Open vSwitch public key infrastructure dependency package
- openvswitch-switch (2.10.7+ds1-0+deb10u5) [security]
- Open vSwitch switch implementations
- openvswitch-test
- virtueel pakket geboden door openvswitch-common
- openvswitch-testcontroller (2.10.7+ds1-0+deb10u5) [security]
- Simple controller for testing OpenFlow setups
- openvswitch-vtep (2.10.7+ds1-0+deb10u5) [security]
- Open vSwitch VTEP utilities
- openwince-include (0.3.2-4)
- Common include files for the open wince project
- openwince-jtag (0.5.1-7)
- allows programming jtag capable devices such as CPUs or FPGAs
- openyahtzee (1.9.3-2)
- classic dice game of Yahtzee
- openzwave (1.5+ds-6)
- Sample Program for libopenzwave
- openzwave-controlpanel (0.2a+git20161006.a390f35-2) [non-free]
- OpenZWave Control Panel
- opgpcard (0.1.4-1)
- tool to create printable business cards including OpenPGP
- ophcrack (3.8.0-2)
- Microsoft Windows password cracker using rainbow tables (gui)
- ophcrack-cli (3.8.0-2)
- Microsoft Windows password cracker using rainbow tables (cmdline)
- oping (1.10.0-2.1+b1)
- sends ICMP_ECHO requests to network hosts
- opl3-soundfont (1.0-3)
- OPL3 SoundFont that simulates the sound of an OPL3 chip
- opt (3.19-1.3+b1)
- Options Parsing Tool library
- optcomp (1.6-2+b2 [armhf], 1.6-2+b1 [amd64, arm64, i386])
- syntax extension for optional compilation with cpp-like directives (tools)
- optgeo (2.25-1)
- simulator for geometrical optics
- opticalraytracer (3.2-1.1)
- Virtual lens design workshop
- optipng (0.7.7-1)
- advanced PNG (Portable Network Graphics) optimizer
- opus-tools (0.1.10-1)
- Opus codec command line tools
- opus-tools-dbg (0.1.10-1)
- debugging symbols for opus-tools
- ora2pg (20.0-1)
- Oracle/MySQL to PostgreSQL database schema converter
- orage (4.12.1-6)
- Agenda voor de Xfce-werkomgeving
- orage-data (4.12.1-6)
- Calendar for Xfce Desktop Environment (data files)
- orbital-eunuchs-sniper (1.30+svn20070601-4+b2)
- anti-terrorist, pro-Eunuchs, satellite sniping game
- orbital-eunuchs-sniper-data (1.30+svn20070601-4)
- game data files for the game Orbital Eunuchs Sniper
- orca (3.30.1-2)
- Scriptable screen reader
- orca-sops (1.0.2-2)
- simple orca plugin system
- oregano (0.84.41+dfsg.1-1)
- tool for schematical capture of electronic circuits
- org-mode (9.1.14+dfsg-3+deb10u2) [security]
- Transition Package, org-mode to elpa-org
- org-mode
- virtueel pakket geboden door elpa-org
- org-mode-doc (9.1.14-1) [non-free]
- keep notes, maintain ToDo lists, and do project planning in emacs
- origami (1.2.7+really0.7.4-1.1)
- command-line management tool for Folding @ Home clients
- origami-pdf (2.0.0-1)
- PDF analysis and manipulation tool
- original-awk (2012-12-20-6)
- The original awk described in "The AWK Programming Language"
- oroborus (2.0.20+b1 [armhf], 2.0.20 [amd64, arm64, i386])
- A lightweight themeable windowmanager for X
- orpie (1.5.2-2+b1)
- RPN calculator for the terminal
- orthanc (1.5.6+dfsg-1+deb10u1) [security]
- Lightweight, RESTful DICOM server for medical imaging
- orthanc-dev (1.5.6+dfsg-1+deb10u1) [security]
- Orthanc development files
- orthanc-dicomweb (0.6+dfsg-1)
- Plugin to extend Orthanc with support of WADO and DICOMweb
- orthanc-doc (1.5.6+dfsg-1+deb10u1) [security]
- Documentation of Orthanc
- orthanc-imagej (1.2+dfsg-1)
- ImageJ plugin to import images from Orthanc
- orthanc-mysql (2.0-2)
- Plugins to use MySQL or MariaDB as a database back-end to Orthanc
- orthanc-postgresql (3.2-1)
- Plugins to use PostgreSQL as a database back-end to Orthanc
- orthanc-webviewer (2.5-1)
- Web viewer of medical images for Orthanc
- orthanc-wsi (0.6-2)
- Whole-slide imaging support for Orthanc (digital pathology)
- orville-write (2.55-3+b1)
- An alternative to the standard write program
- os-autoinst (4.5.1527308405.8b586d5-4.1)
- automated testing of Operating Systems
- os-brick-common (2.5.5-1)
- Library for managing local volume attaches - common files
- os-prober (1.77)
- utility to detect other OSes on a set of drives
- os-prober
- virtueel pakket geboden door os-prober-udeb
- os-prober-udeb (1.77)
- utility to detect other OSes on a set of drives
- os8 (2.1-7) [non-free]
- DEC OS8 images for a PDP-8 emulator
- osc (0.164.2-1)
- Open Build Service commander
- osc-plugins-dput (20180227.1)
- dput plugin for OpenSUSE (buildsystem) commander
- osdclock (0.5-24)
- Clock using the XOSD library
- osdsh (0.7.0-10.3)
- overlays your screen with various system information
- osgearth (2.10.0+dfsg-1+b1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (binaries)
- osgearth-data (2.10.0+dfsg-1)
- Dynamic 3D terrain rendering toolkit for OpenSceneGraph (data)
- osinfo-db (0.20181120-1+deb10u1)
- Operating system database files
- osinfo-db-tools (1.1.0-1)
- libosinfo database tools
- oslo-messaging-zmq-receiver (8.1.4-1+deb10u1)
- Oslo Messaging ZeroMQ receiver daemon
- osm2navit
- virtueel pakket geboden door maptool
- osm2pgrouting (2.3.6-1)
- Tool to import OpenStreetMap data into a pgRouting database
- osm2pgsql (0.96.0+ds-2+b1)
- OpenStreetMap data to PostgreSQL converter
- osmcoastline (2.2.4-1)
- Extract coastline data from OpenStreetMap planet file
- osmctools (0.9-2)
- Some tools to manipulate OpenStreetMap files
- osmium-tool (1.10.0-1)
- Command line tool for working with OpenStreetMap data
- osmo (0.4.2-2)
- personal organizer for GTK+
- osmo-bsc (1.3.0-2)
- GSM Base Station Controller
- osmo-bsc-bs11-utils (1.3.0-2)
- Command line utilities for Siemens BS-11 BTS
- osmo-bsc-ipaccess-utils (1.3.0-2)
- Command line utilities for ip.access nanoBTS
- osmo-bsc-meas-utils (1.3.0-2)
- Command line utilities to manage measurement reports
- osmo-bsc-mgcp (1.4.0-1)
- Osmocom's Legacy Media Gateway
- osmo-bts (0.8.1-2)
- Base Transceiver Station for GSM
- osmo-fl2k (0.1.0+20180423git9e79bde-2)
- use a VGA USB adapter as DAC and SDR transmitter
- osmo-gbproxy (1.3.0-2)
- GPRS Gb Interface Proxy
- osmo-ggsn (1.2.2-4)
- Osmocom Gateway GPRS Support Node (GGSN)
- osmo-gtphub (1.3.0-2)
- Proxy for GTP traffic between multiple SGSNs and GGSNs
- osmo-hlr (0.2.1-3)
- Osmocom Home Location Register
- osmo-hnbgw (0.3.0-5)
- osmocom Home Node B Gateway
- osmo-libasn1c-dev (0.9.31-2)
- asn1c runtime code as shared library - development files
- osmo-libasn1c0 (0.9.31-2)
- asn1c runtime code as shared library
- osmo-mgw (1.4.0-1)
- Osmocom's Media Gateway for 2G and 3G circuit-switched mobile networks
- osmo-msc (1.2.0-3)
- Osmocom's Mobile Switching Center for 2G and 3G mobile networks
- osmo-pcu (0.5.1-1)
- Osmocom GPRS/EDGE Packet Control Unit (PCU)
- osmo-sdr (0.1.8.effcaa7-7+b1)
- Software defined radio support for OsmoSDR hardware (tools)
- osmo-sgsn (1.3.0-2)
- Serving GPRS Support Node for 2G and 3G networks
- osmo-stp (0.10.0-4)
- Osmocom SIGTRAN STP (Signaling Transfer Point)
- osmo-trx (0.4.0-1+b3)
- SDR transceiver that implements Layer 1 of a GSM BTS
- osmocom-bs11-utils (1.1.0-2)
- Command line utilities for Siemens BS-11 BTS
- osmocom-bsc (1.1.0-2)
- GSM Base Station Controller
- osmocom-bsc-nat (1.1.0-2)
- Osmocom Base Station Controller Network Address Translation
- osmocom-ipaccess-utils (1.1.0-2)
- Command line utilities for ip.access nanoBTS
- osmocom-nitb (1.1.0-2)
- GSM Network-in-a-Box, implements BSC, MSC, SMSC, HLR, VLR
- osmose-emulator (1.4-1)
- Sega Master System and Game Gear console emulator
- osmosis (0.47-4)
- Command line OpenStreetMap data processor
- osmpbf-bin (1.3.3-11+deb10u1)
- OpenStreetMap PBF file format library - tools
- ospics (0.73-8)
- Some images of operating system logos/mascots
- osptoolkit (4.13.0-1)
- Open source client side development kit for Open Settlement Protocol
- oss-compat (7)
- Open Sound System (OSS) compatibility package
- oss-compat
- virtueel pakket geboden door osspd
- oss-preserve (1.1-6+b2)
- Program to save/restore OSS mixer settings
- ossim-core (2.6.2-1)
- OSSIM core utilities
- osslsigncode (2.0+really2.5-4+deb10u1) [security]
- Authenticode signing tool
- osspd (1.3.2-11)
- OSS Proxy Daemon: Userland OSS emulation
- osspd-alsa (1.3.2-11)
- OSS Proxy Daemon: ALSA backend (experimental)
- osspd-backend
- virtueel pakket geboden door osspd-alsa, osspd-pulseaudio
- osspd-pulseaudio (1.3.2-11)
- OSS Proxy Daemon: PulseAudio backend
- ostinato (0.9-2+b1)
- Packet/Traffic Generator and Analyzer
- ostree (2019.1-1)
- content-addressed filesystem for operating system binaries
- ostree-tests (2019.1-1)
- content-addressed filesystem for operating system binaries - tests
- otags (4.05.1-1+b1 [armhf], 4.05.1-1 [amd64, arm64, i386])
- tags file generator for OCaml
- otb-bin (6.6.1+dfsg-1+b1)
- ORFEO Toolbox command line applications
- otb-bin-qt (6.6.1+dfsg-1+b1)
- ORFEO Toolbox graphical user interface applications
- otb-i18n (6.6.1+dfsg-1)
- ORFEO Toolbox translations
- otb-qgis (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - otbQgisDescriptor
- otb-testdriver (6.6.1+dfsg-1+b1)
- ORFEO Toolbox library - OTBTestDriver
- otcl-dbg (1.14+dfsg-4)
- debug symbol of OTcl (libotcl1 and otcl-shells)
- otcl-shells (1.14+dfsg-4)
- OTcl shells
- otf-stix
- virtueel pakket geboden door fonts-stix
- otf-trace (1.12.5+dfsg-4)
- Open Trace Format support library - development files
- otf-yozvox-yozfont-antique
- virtueel pakket geboden door fonts-yozvox-yozfont-antique
- otf-yozvox-yozfont-cute
- virtueel pakket geboden door fonts-yozvox-yozfont-cute
- otf-yozvox-yozfont-edu
- virtueel pakket geboden door fonts-yozvox-yozfont-edu
- otf-yozvox-yozfont-new-kana
- virtueel pakket geboden door fonts-yozvox-yozfont-new-kana
- otf-yozvox-yozfont-standard-kana
- virtueel pakket geboden door fonts-yozvox-yozfont-standard-kana
- otf2bdf (3.1-4.1)
- generate BDF bitmap fonts from OpenType outline fonts
- othman (0.5.1-1.1) [non-free]
- electronic Quran browser
- otp (1:1.2.2-1+b1)
- Generator for One Time Pads or Passwords
- otpw-bin (1.5-2)
- OTPW programs for generating OTPW lists
- otrs (6.0.16-2+deb10u1) [non-free] [security]
- Open Ticket Request System (OTRS 6)
- otrs2 (6.0.16-2+deb10u1) [non-free] [security]
- Open Ticket Request System
- out-of-order (1.0-2) [non-free]
- comedy science fiction adventure game
- outguess (1:0.2.2-1)
- universal steganographic tool
- overgod (1.0-5)
- bi-directional scrolling arcade game
- overgod-data (1.0-5)
- graphics and audio data for overgod
- ovirt-guest-agent (1.0.15.dfsg-1)
- daemon that resides within guest virtual machines
- ovmf (0~20181115.85588389-3+deb10u3)
- UEFI firmware for 64-bit x86 virtual machines
- ovn-central (2.10.7+ds1-0+deb10u5) [security]
- OVN central components
- ovn-common
- virtueel pakket geboden door openvswitch-common
- ovn-controller-vtep (2.10.7+ds1-0+deb10u5) [security]
- OVN vtep controller
- ovn-docker
- virtueel pakket geboden door openvswitch-common
- ovn-host (2.10.7+ds1-0+deb10u5) [security]
- OVN host components
- ow-shell (3.2p3+dfsg1-2+deb10u1)
- shell utilities to talk to an 1-Wire owserver
- ow-tools (3.2p3+dfsg1-2+deb10u1)
- tools to monitor or inspect a ow-server link
- owfs (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support
- owfs-common (3.2p3+dfsg1-2+deb10u1)
- common files used by any of the OWFS programs
- owfs-doc (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: Documentation for owfs
- owfs-fuse (3.2p3+dfsg1-2+deb10u1)
- 1-Wire filesystem
- owftpd (3.2p3+dfsg1-2+deb10u1)
- FTP daemon providing access to 1-Wire networks
- owhttpd (3.2p3+dfsg1-2+deb10u1)
- HTTP daemon providing access to 1-Wire networks
- owncloud-client (2.5.1.10973+dfsg-1)
- folder synchronization with an ownCloud server - GUI
- owncloud-client-cmd (2.5.1.10973+dfsg-1)
- folder synchronization with an ownCloud server - cmd client
- owncloud-client-data (2.5.1.10973+dfsg-1)
- ownCloudSync folder synchronization - shared data
- owncloud-client-doc (2.5.1.10973+dfsg-1)
- ownCloudSync folder synchronization - documentation
- owncloud-client-l10n (2.5.1.10973+dfsg-1)
- ownCloudSync folder synchronization - localization
- owserver (3.2p3+dfsg1-2+deb10u1)
- Backend server for 1-Wire control
- owslib-doc (0.17.1-1+deb10u1) [security]
- Client library for Open Geospatial (OGC) web services (Documentation)
- owx (0~20110415-3.1+b2)
- utility to program Wouxun dual-band handheld radios
- oxref (1.00.07-1)
- cross reference utility
- oxygen-icon-theme (5:5.54.0-1)
- Oxygen icon theme
- oxygen-sounds (4:5.14.5-1)
- Sounds for the Oxygen desktop theme
- oxygencursors (0.0.2012-06-kde4.8-2.1)
- Oxygen mouse cursor theme
- oysttyer (2.10.0-1) [non-free]
- console Twitter client
- oz (0.16.0-2)
- install virtual machine guest OSs with minimal input the user
- p0f (3.09b-2)
- Passive OS fingerprinting tool
- p10cfgd (1.0-16)
- Remote configuration daemon for Gracilis Packeten
- p11-kit (0.23.15-2+deb10u1)
- p11-glue utilities
- p11-kit-modules (0.23.15-2+deb10u1)
- p11-glue proxy and trust modules
- p4vasp (0.3.30+dfsg-5)
- visualization suite for the Vienna Ab-initio Simulation Package (VASP)
- p7zip (16.02+dfsg-6)
- 7zr file archiver with high compression ratio
- p7zip-full (16.02+dfsg-6)
- 7z and 7za file archivers with high compression ratio
- p7zip-rar (16.02-3) [non-free]
- non-free rar module for p7zip
- p910nd (0.97-1+b1)
- small printer daemon intended for diskless workstations
- pacemaker (2.0.1-5+deb10u2)
- cluster resource manager
- pacemaker-cli-utils (2.0.1-5+deb10u2)
- cluster resource manager command line utilities
- pacemaker-common (2.0.1-5+deb10u2)
- cluster resource manager common files
- pacemaker-dev (2.0.1-5+deb10u2)
- cluster resource manager development
- pacemaker-doc (2.0.1-5+deb10u2)
- cluster resource manager HTML documentation
- pacemaker-remote (2.0.1-5+deb10u2)
- cluster resource manager proxy daemon for remote nodes
- pacemaker-resource-agents (2.0.1-5+deb10u2)
- cluster resource manager general resource agents
- pachi (1:1.0-9)
- Platform game featuring Pachi el marciano
- pachi-data (1:1.0-9)
- Platform game featuring Pachi el marciano (data files)
- package-update-indicator (2.0-1)
- Notify about available software updates
- packagekit (1.1.12-5)
- Biedt een pakketbeheerservice
- packagekit-command-not-found (1.1.12-5)
- Offer to install missing programs automatically
- packagekit-docs (1.1.12-5)
- Documentation for PackageKit
- packagekit-gtk3-module (1.1.12-5)
- Install fonts automatically using PackageKit
- packagekit-tools (1.1.12-5)
- Provides PackageKit command-line tools
- packagesearch (2.7.10+b1 [amd64], 2.7.10 [arm64, armhf, i386])
- GUI for searching packages and viewing package information
- packaging-dev (0.8)
- convenient tools to develop packages
- packaging-tutorial (0.24)
- introduction to Debian packaging
- packer (1.3.4+dfsg-4+deb10u1) [security]
- tool for creating machine images for multiple platforms
- packeth (1.6.5-2+b2)
- Ethernet packet generator
- packit (1.6.1-2)
- network packet generator and capture tool
- packup (0.6-3)
- CUDF solver based on pseudo-Boolean constraints
- pacman (10-17.2+b2)
- Chase Monsters in a Labyrinth
- pacman4console (1.3-1+b4)
- ncurses-based pacman game
- paco (2:0.10-1.1)
- Transitional package to pull in porg
- pacpl (6.1.0-1)
- multi-purpose audio converter/ripper/tagger script
- pads (1.2-11.1+b1)
- Passive Asset Detection System
- padthv1 (0.8.6-1)
- old-school polyphonic additive synthesizer - standalone app
- padthv1-common (0.8.6-1)
- old-school polyphonic additive synthesizer - common files
- padthv1-lv2 (0.8.6-1)
- old-school polyphonic additive synthesizer - LV2 plugin
- paexec (1.1.0-1)
- execute tasks in parallel
- page-crunch (1.0.1-3)
- PDF and PS manipulation for printing needs
- pagein (0.01.01-1)
- tool to force swapped out pages to be resident in memory
- pagekite (0.5.9.3-2+deb10u1)
- Make localhost servers publicly visible
- pagemon (0.01.14-1)
- interactive memory/page monitoring tool
- pages2epub (0.9.6-2)
- Apple Pages to EPUB converter
- pages2odt (0.9.6-2)
- Apple Pages text documents to OpenDocument converter
- pagetools (0.1-3)
- Automatic deskew and bounding box determination for scanned page images
- painintheapt (0.20181201-1)
- Pester people about available package updates by email or jabber
- paje-dbg (1.3.4-3)
- Debug symbols for the Paje libraries
- paje.app (1.98-1+b7)
- generic visualization tool (Gantt chart and more)
- pajeng (1.3.4-3)
- space-time view and associated tools for Paje trace files
- pakcs (2.1.1-2)
- Portland Aachen Kiel Curry Compiler
- pal (0.4.3-8.1+b4)
- command-line calendar program that can keep track of events
- pal2nal (14.1-2)
- converts proteins to genomic DNA alignment
- palapeli (4:18.04.1-1)
- jigsaw puzzle game
- palapeli-data (4:18.04.1-1)
- data files for palapeli jigsaw puzzle game
- palbart (2.13-2)
- Enhanced version of the PAL PDP8 assembler
- paleomix (1.2.13.3-1)
- pipelines and tools for the processing of ancient and modern HTS data
- palo (2.00)
- Linux boot loader for HP PA-RISC
- palp (2.1-5)
- Package for Analyzing Lattice Polytopes
- pam-dbus-notify (0.2.1-3)
- Handler for the pam-dbus module, using notification-daemon
- pam-doc
- virtueel pakket geboden door libpam-doc
- pam-pkcs11-dbg (0.6.9-3+b1)
- Fully featured PAM module for using PKCS#11 smart cards (debug)
- pamix (1.6~git20180112.ea4ab3b-3)
- Pulseaudio terminal mixer based in pavucontrol
- paml (4.9h+dfsg-1)
- Phylogenetic Analysis by Maximum Likelihood (PAML)
- paml-doc (4.9h+dfsg-1)
- Documentation for PAML
- pamtester (0.1.2-4)
- utility program to test the PAM facility
- pamu2fcfg (1.0.7-1+deb10u1)
- universal 2nd factor (U2F) PAM module command-line helper tool
- pan (0.145-1)
- newsreader based on GTK2, which looks like Forte Agent
- pandoc (2.2.1-3+deb10u1) [security]
- general markup converter
- pandoc-citeproc (0.14.3.1-4+b3)
- Pandoc support for Citation Style Language - tools
- pandoc-citeproc-preamble (1.2.3+b1)
- insert a preamble before pandoc-citeproc's bibliography
- pandoc-data (2.2.1-3+deb10u1) [security]
- general markup converter - data files
- pandoc-sidenote (0.19.0.0-2+b2)
- footnotes-to-sidenotes converter for Pandoc
- pandora-build (0.98-1.1)
- Build macros for libdrizzle, libmemcached, drizzle and gearmand
- pandorafms-agent (4.1-1)
- Pandora FMS - The Flexible Monitoring System (agent)
- pango1.0-tests (1.42.4-8~deb10u1)
- Layout and rendering of internationalized text - installed tests
- pango1.0-tools (1.42.4-8~deb10u1)
- Development utilities for Pango
- pangoterm (0~bzr607-2)
- GTK/Pango-based terminal
- pangzero (1.4.1+git20121103-4)
- action game that involves popping balloons with a harpoon
- panko-api (5.0.0-5)
- Event storage dispatcher for Ceilometer - API server
- panko-common (5.0.0-5)
- Event storage dispatcher for Ceilometer - common files
- panko-doc (5.0.0-5)
- Event storage dispatcher for Ceilometer - Documentation
- panoramisk (1.0-1.1)
- asyncio based library to play with asterisk (Python 3 binary)
- paperkey (1.6-1)
- extract just the secret information out of OpenPGP secret keys
- papi-examples (5.7.0+dfsg-2)
- PAPI example files and test programs
- papi-tools (5.7.0+dfsg-2)
- PAPI utilities
- papirus-icon-theme (20190203-1)
- Papirus open source icon theme for Linux
- paprass (2.06-2)
- Manager of electronic documents
- paprefs (1.0-1)
- PulseAudio Preferences
- paps (0.6.8-7.1)
- UTF-8 to PostScript converter using Pango
- par (1.52-3+b2)
- Paragraph reformatter
- par2 (0.8.0-1)
- PAR 2.0 compatible file verification and repair tool
- paraclu (9-2)
- Parametric clustering of genomic and transcriptomic features
- parafly (0.0.2013.01.21-4)
- parallel command processing using OpenMP
- parallel (20161222-1.1)
- build and execute command lines from standard input in parallel
- paramiko-doc (2.4.2-0.1+deb10u1) [security]
- Make ssh v2 connections with Python (Documentation)
- paraview (5.4.1+dfsg4-3.1+b2)
- Parallel Visualization Application
- paraview-dev (5.4.1+dfsg4-3.1+b2)
- Parallel Visualization Application. Development header files
- paraview-doc (5.4.1+dfsg4-3.1)
- Parallel Visualization Application. Comprehensive documentation
- paraview-python (5.4.1+dfsg4-3.1+b2)
- Parallel Visualization Application. python-support
- parcellite (1.2.1-3)
- lightweight GTK+ clipboard manager
- parchive (1.1-4.1+b1)
- Use PAR files to reconstruct missing parts of multi-part archives
- parchives (1.1.2-1)
- archive manager for UKUI
- parcimonie (0.11.0-1)
- privacy-friendly helper to refresh a GnuPG keyring
- paredit-el (24-3)
- transitional dummy package for elpa-paredit
- paredit-el
- virtueel pakket geboden door elpa-paredit
- pari-doc (2.11.1-2)
- PARI/GP Computer Algebra System documentation
- pari-elldata (0.20161017-1)
- PARI/GP Computer Algebra System elliptic curves (elldata)
- pari-galdata (0.20080411-2)
- PARI/GP Computer Algebra System Galois resolvents (galdata)
- pari-galpol (4.0-1)
- PARI/GP Computer Algebra System Galois polynomial database
- pari-gp (2.11.1-2)
- PARI/GP Computer Algebra System binaries
- pari-gp2c (0.0.11pl1-1)
- PARI/GP GP to C compiler
- pari-seadata (0.20090618-1)
- PARI/GP Computer Algebra System modular polynomials (seadata)
- paris-traceroute (0.93+git20160927-1)
- multipath traceroute
- parl-data (1.9.18)
- recipes to install DebianParl blends
- parl-desktop (1.9.18)
- DebianParl desktop for parliamentary work
- parl-desktop-eu (1.9.18)
- DebianParl desktop for parliamentary work - EU
- parl-desktop-strict (1.9.18)
- DebianParl desktop for parliamentary work - strict
- parl-desktop-world (1.9.18)
- DebianParl desktop for parliamentary work - global
- parlatype (1.5.6-1)
- Minimal audio player for manual speech transcription
- parlatype-libreoffice-helpers (1.5.6-1)
- Set of macros for LibreOffice
- parley (4:17.08.3-1.1)
- vocabulary trainer
- parley-data (4:17.08.3-1.1)
- data files for the Parley vocabulary trainer
- parmetis-doc (4.0.3-5) [non-free]
- Parallel Graph Partitioning and Sparse Matrix Ordering Lib - Docs
- parmetis-test (4.0.3-5+b1) [non-free]
- Parallel Graph Partitioning and Sparse Matrix Ordering Tests
- parole (1.0.1-1)
- media player based on GStreamer framework
- parole-dev (1.0.1-1)
- development files for Parole media player
- parprouted (0.70-3)
- transparent IP (Layer 3) proxy ARP bridging tool
- parsec47 (0.2.dfsg1-9)
- retromodern hispeed shmup
- parsec47-data (0.2.dfsg1-9)
- retromodern hispeed shmup - game data
- parser3 (3.4.5-4)
- Parser 3, HTML-embedded scripting language (metapackage)
- parser3-cgi (3.4.5-4)
- Parser 3, HTML-embedded scripting language (CGI binary)
- parser3-common (3.4.5-4)
- Common files for packages built from the Parser 3 source
- parser3-dev (3.4.5-4)
- Files for Parser 3 module development
- parser3-mysql (10.7-4)
- MySQL driver for Parser 3
- parsewiki (0.4.3-2)
- Documentation System Based on ASCII Text
- parsinsert (1.04-4)
- Parsimonious Insertion of unclassified sequences into phylogenetic trees
- parsinsert-testdata (1.04-4)
- Test data for parsinsert
- parsnp (1.2+dfsg-5)
- rapid core genome multi-alignment
- partclone (0.3.11-1+b3)
- Utility to clone and restore a partition
- partconf-find-partitions (1.52)
- debian-installer utility for finding partitions
- partconf-mkfstab (1.52)
- debian-installer utility for creating fstab file
- parted (3.2-25)
- disk partition manipulator
- parted-doc (3.2-25)
- disk partition manipulator - documentation
- parted-udeb (3.2-25)
- Manually partition a hard drive (parted)
- partimage-doc (20050720-4)
- Partition Image User Documentation
- partitioned-harddrives
- virtueel pakket geboden door partman-base
- partitionmanager (3.3.1-5)
- file, disk and partition management for KDE
- partman-auto (149+deb10u1)
- Automatically partition storage devices (partman)
- partman-auto-crypto (30)
- Automatically partition storage devices using crypto and LVM
- partman-auto-lvm (76)
- Automatically partition storage devices using LVM
- partman-auto-raid (41)
- Allow preseeded RAID installs
- partman-base (208)
- Partition the storage devices (partman)
- partman-basicfilesystems (148)
- Add to partman support for ext2, linux-swap, fat16, fat32 and ntfs
- partman-basicmethods (69)
- Basic partition usage methods for partman
- partman-btrfs (49)
- Add to partman support for btrfs
- partman-crypto (103)
- Add to partman support for block device encryption
- partman-crypto-dm (103)
- Add to partman support for dm-crypt encryption
- partman-efi (80)
- Add to partman support for EFI System Partitions
- partman-ext3 (103)
- Add to partman support for ext3 and ext4
- partman-filesystem
- virtueel pakket geboden door partman-basicfilesystems, partman-jfs, partman-xfs, partman-btrfs, partman-ext3
- partman-iscsi (61)
- Adds support for iSCSI to partman
- partman-jfs (57)
- Add support for jfs to partman
- partman-lvm (130)
- Adds support for LVM to partman
- partman-md (90)
- Add to partman support for MD
- partman-method
- virtueel pakket geboden door partman-basicmethods
- partman-multipath (6)
- Adds support for multipath block devices to partman
- partman-nbd (0.60)
- Adds support for NBD to partman
- partman-partitioning (130)
- Partitioning operations for partman
- partman-target (115)
- Provides partman with ability to prepare /target
- partman-utils (208)
- Utilities related to partitioning
- partman-xfs (66)
- Add to partman support for xfs
- pasco (20040505-3)
- Internet Explorer cache forensic analysis tool
- pasdoc (0.15.0-1)
- documentation tool for Pascal source code
- pasmo (0.5.3-6+b2)
- easy to use Z80 cross-assembler
- pass (1.7.3-2)
- lightweight directory-based password manager
- pass-extension-otp (1.2.0-1)
- pass extension for managing one-time-password tokens
- pass-extension-tail (1.1.1-2)
- password-store extension to avoid printing the password
- pass-extension-tomb (1.1-4)
- lightweight directory-based password manager (tomb extension)
- pass-extension-tomb-basic (0.0.1+20170729+7512ad6-3)
- lightweight directory-based password manager (tomb extension)
- pass-git-helper (0.4-1)
- Git credential helper interfacing with pass
- passage (4+dfsg1-3)
- game about the passage through life
- passenger (5.0.30-1.1)
- Rails and Rack support
- passenger-doc (5.0.30-1.1)
- Rails and Rack support for Apache2 - Documentation
- passwd (1:4.5-1.1)
- beheer van gebruikerswachtwoorden en groepen
- passwdqc (1.3.0-1+b1)
- password strength checking and policy enforcement toolset
- password-gorilla (1.6.0~git20180203.228bbbb-1)
- cross-platform password manager
- passwordmaker-cli (1.5+dfsg-3.1+b1)
- creates unique, secure passwords - CLI version
- passwordsafe (1.06+dfsg-1+deb10u1)
- Simple & Secure Password Management
- passwordsafe-common (1.06+dfsg-1+deb10u1)
- architecture independent files for Password Safe
- pastebinit (1.5-2)
- command-line pastebin client
- pasystray (0.7.1-1)
- PulseAudio controller for the system tray
- pata-modules
- virtueel pakket geboden door pata-modules-4.19.0-21-amd64-di, pata-modules-4.19.0-21-armmp-di, pata-modules-4.19.0-20-armmp-di, pata-modules-4.19.0-21-686-pae-di, pata-modules-4.19.0-21-686-di, pata-modules-4.19.0-20-amd64-di, pata-modules-4.19.0-20-686-di, pata-modules-4.19.0-20-686-pae-di
- pata-modules-4.19.0-20-686-di (4.19.235-1)
- PATA drivers
- pata-modules-4.19.0-20-686-pae-di (4.19.235-1)
- PATA drivers
- pata-modules-4.19.0-20-amd64-di (4.19.235-1)
- PATA drivers
- pata-modules-4.19.0-20-armmp-di (4.19.235-1)
- PATA drivers
- pata-modules-4.19.0-21-686-di (4.19.249-2)
- PATA drivers
- pata-modules-4.19.0-21-686-pae-di (4.19.249-2)
- PATA drivers
- pata-modules-4.19.0-21-amd64-di (4.19.249-2)
- PATA drivers
- pata-modules-4.19.0-21-armmp-di (4.19.249-2)
- PATA drivers
- patat (0.8.2.1-1)
- Terminal-based presentations using Pandoc
- patator (0.7-2)
- Multi-purpose brute-forcer
- patch (2.7.6-3+deb10u1)
- Het toepassen van een diff bestand toe op een origineel
- patchage (1.0.0~dfsg0-0.2)
- modular patch bay for Jack audio and Alsa Midi
- patchelf (0.9+52.20180509-1)
- modify properties of ELF executables
- patchutils (0.3.4-2)
- Utilities to work with patches
- pathogen (1.1.1-5)
- Puzzle game about matching 3D model structures
- pathological (1.1.3-15)
- puzzle game involving paths and marbles
- pathological-music
- virtueel pakket geboden door pathological
- patman (1.2.2+dfsg-5)
- rapid alignment of short sequences to large databases
- patool (1.12-3)
- command line archive file manager
- patroni (1.5.5-2)
- PostgreSQL High Availability with ZooKeeper, etcd, Consul, or Kubernetes
- patroni-doc (1.5.5-2)
- PostgreSQL High Availability (documentation)
- paulstretch (2.2-2-4)
- Extreme sound time-stretch
- pavucontrol (3.0-4)
- PulseAudio volumebeheer
- pavucontrol-qt (0.14.1-1)
- Qt port of volume control pavucontrol
- pavucontrol-qt-l10n (0.14.1-1)
- Language package for pavucontrol-qt
- pavumeter (0.9.3-4+b3)
- PulseAudio Volume Meter
- paw (1:2.14.04.dfsg.2-9.1+b4)
- Physics Analysis Workstation - a graphical analysis program
- paw++ (1:2.14.04.dfsg.2-9.1+b4)
- Physics Analysis Workstation (Lesstif-enhanced version)
- paw-binary
- virtueel pakket geboden door paw++, paw
- paw-common (1:2.14.04.dfsg.2-9.1)
- Physics Analysis Workstation (common files)
- paw-demos (1:2.14.04.dfsg.2-9.1)
- Physics Analysis Workstation examples and tests
- pawserv (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - distributed PAW and file transfer servers
- pax (1:20190224-1)
- Portable Archive Interchange (cpio, pax, tar)
- pax-britannica (1.0.0-3)
- one-button multi-player real-time strategy game
- pax-britannica-data (1.0.0-3)
- data files for pax-britannica game
- pax-utils (1.2.4-1)
- Security-focused ELF files checking tool
- paxctl (0.9-1+b1)
- new PaX control program for using the PT_PAX_FLAGS marking
- paxctld (1.2.1-1)
- Daemon to automatically set appropriate PaX flags
- paxtest (1:0.9.14-2+b1)
- Test suite for the PaX kernel patch
- pbalign (0.3.2-1)
- map Pacific Biosciences reads to reference DNA sequences
- pbalign-doc (0.3.2-1)
- documentation for pbalign
- pbbamtools (0.19.0+dfsg-4)
- processing Pacific Biosciences binary alignment/map files
- pbbarcode (0.8.0-5)
- annotate PacBio sequencing reads with barcode information
- pbdagcon (0.3+git20161121.0000000+ds-1.1)
- sequence consensus using directed acyclic graphs
- pbgenomicconsensus (2.3.2-5)
- Pacific Biosciences variant and consensus caller
- pbh5tools (0.8.0+git20170929.58d54ff+dfsg-1)
- tools for manipulating Pacific Biosciences HDF5 files
- pbhoney (15.8.24+dfsg-3)
- genomic structural variation discovery
- pbjelly (15.8.24+dfsg-3)
- genome assembly upgrading tool
- pbmwbmp
- virtueel pakket geboden door netpbm
- pbsim (1.0.3+git20180330.e014b1d+dfsg-1)
- simulator for PacBio sequencing reads
- pbsuite (15.8.24+dfsg-3)
- software for Pacific Biosciences sequencing data
- pbuilder (0.230.4)
- personal package builder for Debian packages
- pbzip2 (1.1.9-1+b1)
- parallel bzip2 implementation
- pcal (4.11.0-3+b1)
- generate Postscript calendars without X
- pcalendar (3.4.1-3)
- track menstrual cycles and predict fertility periods
- pcapfix (1.1.4-2)
- repairs broken pcap and pcapng files
- pcaputils (0.8-1+b2)
- specialized libpcap utilities
- pcb (1:4.2.0-2)
- printed circuit board (pcb) design program - metapackage
- pcb-common (1:4.2.0-2)
- printed circuit board (pcb) design program - common files
- pcb-gtk (1:4.2.0-2)
- printed circuit board (pcb) design program - GTK+ interface
- pcb-lesstif (1:4.2.0-2)
- printed circuit board (pcb) design program - LessTif interface
- pcb-rnd (2.1.1-1)
- Modular Printed Circuit Board layout tool
- pcb2gcode (1.1.4-git20120902-1.1+b2)
- command-line tool for engraving PCBs using CNCs
- pcb2gcode-dbg (1.1.4-git20120902-1.1+b2)
- debugging symbols for pcb2gcode
- pcc (1.2.0~DEVEL+20181216-1)
- Portable C Compiler
- pccts (1.33MR33-6+b2)
- The Purdue Compiler Construction Tool Set (PCCTS).
- pcf2bdf (1.05-1+b2)
- convert X11 font from PCF to BDF format
- pchar (1.5-4)
- Characterize the bandwidth, latency and loss on network links
- pciutils (1:3.5.2-1)
- Linux PCI hulpprogramma's
- pciutils-dev
- virtueel pakket geboden door libpci-dev
- pciutils-udeb (1:3.5.2-1)
- Linux PCI Utilities (udeb)
- pcl-tools (1.9.1+dfsg1-10)
- Point Cloud Library - point cloud processing tools
- pcmanfm (1.3.1-1)
- extremely fast and lightweight file manager
- pcmanfm-dbg (1.3.1-1)
- extremely fast and lightweight file manager (debug)
- pcmanfm-qt (0.14.1-3)
- extremely fast and lightweight file and desktop icon manager
- pcmanfm-qt-l10n (0.14.1-3)
- Language package for pcmanfm-qt
- pcmanx-gtk2 (1.3-2)
- user-friendly telnet client mainly targets BBS users
- pcmcia-modules
- virtueel pakket geboden door pcmcia-modules-4.19.0-21-686-di, pcmcia-modules-4.19.0-20-686-di, pcmcia-modules-4.19.0-21-amd64-di, pcmcia-modules-4.19.0-20-686-pae-di, pcmcia-modules-4.19.0-20-amd64-di, pcmcia-modules-4.19.0-21-686-pae-di
- pcmcia-modules-4.19.0-20-686-di (4.19.235-1)
- Common PCMCIA drivers
- pcmcia-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Common PCMCIA drivers
- pcmcia-modules-4.19.0-20-amd64-di (4.19.235-1)
- Common PCMCIA drivers
- pcmcia-modules-4.19.0-21-686-di (4.19.249-2)
- Common PCMCIA drivers
- pcmcia-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Common PCMCIA drivers
- pcmcia-modules-4.19.0-21-amd64-di (4.19.249-2)
- Common PCMCIA drivers
- pcmcia-storage-modules
- virtueel pakket geboden door pcmcia-storage-modules-4.19.0-20-686-di, pcmcia-storage-modules-4.19.0-20-amd64-di, pcmcia-storage-modules-4.19.0-21-686-pae-di, pcmcia-storage-modules-4.19.0-21-686-di, pcmcia-storage-modules-4.19.0-20-686-pae-di, pcmcia-storage-modules-4.19.0-21-amd64-di
- pcmcia-storage-modules-4.19.0-20-686-di (4.19.235-1)
- PCMCIA storage drivers
- pcmcia-storage-modules-4.19.0-20-686-pae-di (4.19.235-1)
- PCMCIA storage drivers
- pcmcia-storage-modules-4.19.0-20-amd64-di (4.19.235-1)
- PCMCIA storage drivers
- pcmcia-storage-modules-4.19.0-21-686-di (4.19.249-2)
- PCMCIA storage drivers
- pcmcia-storage-modules-4.19.0-21-686-pae-di (4.19.249-2)
- PCMCIA storage drivers
- pcmcia-storage-modules-4.19.0-21-amd64-di (4.19.249-2)
- PCMCIA storage drivers
- pcmciautils (018-10)
- PCMCIA utilities for Linux 2.6
- pcmciautils-udeb (018-10)
- PCMCIA utilities for Linux 2.6
- pconsole (1.0-14)
- parallel interactive shell console
- pcp (4.3.2+really4.3.1-0.1)
- System level performance monitoring and performance management
- pcp-conf (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot runtime configuration
- pcp-doc (4.3.2+really4.3.1-0.1)
- Documentation and tutorial for the Performance Co-Pilot
- pcp-export-pcp2graphite (4.3.2+really4.3.1-0.1)
- Tool for exporting data from PCP to Graphite
- pcp-export-pcp2influxdb (4.3.2+really4.3.1-0.1)
- Tool for exporting data from PCP to InfluxDB
- pcp-export-zabbix-agent (4.3.2+really4.3.1-0.1)
- Module for exporting PCP metrics to Zabbix agent
- pcp-gui (4.3.2+really4.3.1-0.1)
- Visualisation tools for the Performance Co-Pilot toolkit
- pcp-gui-testsuite
- virtueel pakket geboden door pcp-testsuite
- pcp-import-collectl2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from collectl into PCP archive logs
- pcp-import-ganglia2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from ganglia into PCP archive logs
- pcp-import-iostat2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from iostat into PCP archive logs
- pcp-import-mrtg2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from MRTG into PCP archive logs
- pcp-import-sar2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from sar into PCP archive logs
- pcp-import-sheet2pcp (4.3.2+really4.3.1-0.1)
- Tool for importing data from a spreadsheet into PCP archive logs
- pcp-manager (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot (PCP) manager daemon
- pcp-testsuite (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot (PCP) Test Suite
- pcp-webapi (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot (PCP) web API service
- pcpqa
- virtueel pakket geboden door pcp-testsuite
- pcre2-utils (10.32-5+deb10u1) [security]
- New Perl Compatible Regular Expression Library - utilities
- pcredz (0.9-1)
- Extracts authentication credentials from network captures
- pcregrep (2:8.39-12)
- grep utility that uses perl 5 compatible regexes.
- pcs (0.10.1-2+deb10u1) [security]
- Pacemaker Configuration System
- pcs-snmp (0.10.1-2+deb10u1) [security]
- Pacemaker Configuration System SNMP agent
- pcsc-ifd-handler
- virtueel pakket geboden door libifd-cyberjack6, libgcr410, libgempc430, libgempc410, libasedrive-serial, libccid, libasedrive-usb, libacsccid1
- pcsc-tools (1.5.4-1)
- Some tools to use with smart cards and PC/SC
- pcscd (1.8.24-1)
- Middleware to access a smart card using PC/SC (daemon side)
- pcsx2 (1.5.0~gfc1d9aef0+dfsg-1)
- Playstation 2 emulator
- pcsxr (1.9.94-4)
- Sony PlayStation emulator
- pct-scanner-scripts (0.0.4-3)
- scan and create merged DjVu and PDF documents for archiving
- pd
- virtueel pakket geboden door puredata-core
- pd-3dp (1:0.14.1+darcs20180201-2)
- openGL extensions for PDP
- pd-ableton-link (0.4~repack-1+b10)
- integration of Ableton Link into Pure Data
- pd-ambix (0.1.1-1+b1)
- AMBIsonics eXchange objects for Pure Data
- pd-arraysize (0.1-4)
- Pd object to report the size of an array
- pd-aubio (0.4-1+b1)
- aubio external for PureData
- pd-autopreset (0.10-2)
- simple state saving for Pure Data
- pd-bassemu (0.3-5)
- Pd object for transistor bass emulation
- pd-beatpipe (0.1-5)
- realtime scheduler/event-delay/quantizer object for Pd
- pd-boids (1.1.1-4)
- Pd library for the "boids" flocking simulator algorithm
- pd-bsaylor (0.1-5)
- library of FFT-based Pd objects by Ben Saylor
- pd-chaos (0.2-3)
- Pd library for calculating various chaotic attractors
- pd-cmos (0.1~svn17647-3)
- digital logic emulator objects for Pure Data (Pd)
- pd-comport (0.2-3)
- Pd object for reading and writing to serial ports
- pd-creb (0.9.2+darcs20151027-3)
- Tom's bag of trick for Pure Data (Pd)
- pd-csound (2:1.01.0-1)
- Csound external for Pure Data
- pd-cxc (0.5.2-2)
- Pd library for working with patterns and numbers
- pd-cyclone (0.2~beta3-4)
- Pd library of clones of Max/MSP 4.5 objects
- pd-deken (0.5.1-1)
- Externals wrangler for Pure Data
- pd-deken-apt (0.5.1-1)
- Externals wrangler for Pure Data (APT backend)
- pd-earplug (0.2-4)
- binaural filter based on KEMAR impulse measurement for Pd
- pd-ekext (0.1.1-3)
- Pd objects for music information retrieval and polyphony control
- pd-ext13 (0.17.1-4)
- collection of file and message objects for Pd by dieb13
- pd-extendedview (0.5~repack-2)
- toolkit for panoramic image creation and projection mapping
- pd-fftease (2.5.2.git20121005-2)
- live spectral sound processors for Pd
- pd-flext-dev (0.6.0+git20161101.1.01318a94-3)
- Flext C++ external layer for Pd (development files)
- pd-flext-doc (0.6.0+git20161101.1.01318a94-3)
- Flext C++ external layer for Pd (API documentation)
- pd-flite (0.02.3-4)
- Speech synthesis for Pd
- pd-freeverb (1.2-4)
- studio-quality Schroeder/Moorer reverb as a Pd object
- pd-ggee (0.26-6)
- Pd library of GUI controls, synths, filters, and more
- pd-gil (0.1~20151118-3)
- Geometry Interaction Library for Pure Data / Gem
- pd-hcs (0.1-5)
- Pd library of experiments in UNIX, the Pd GUI, and more
- pd-hexloader (1.7-2)
- enable Pd-objects with funny characters
- pd-hid (0.7-3)
- Pd object for getting data from USB HID devices
- pd-iem (0.0.20181004-1)
- Pure Data - the IEM flavour
- pd-iem-adapfilt
- virtueel pakket geboden door pd-iemutils
- pd-iem-roomsim
- virtueel pakket geboden door pd-iemutils
- pd-iem-spec2
- virtueel pakket geboden door pd-iemutils
- pd-iem-tab
- virtueel pakket geboden door pd-iemutils
- pd-iem16
- virtueel pakket geboden door pd-iemutils
- pd-iemambi (0.2-5)
- Pd-objects for Ambisonics sound spatialization
- pd-iemguts (0.2.1-3)
- Pd-Objects for meta patching
- pd-iemlib (1.21-1)
- collection of general purpose objects and filters for Pure Data
- pd-iemmatrix (0.3.1-1)
- Pd-objects for simple matrix operations
- pd-iemnet (0.2.1-2)
- Pd library for low-level networking (IEM implementation)
- pd-iemtab
- virtueel pakket geboden door pd-iemutils
- pd-iemutils (0.0.20181004-1)
- collection of general purpose objects for Pure Data
- pd-import
- virtueel pakket geboden door puredata-import
- pd-jmmmp (0.1.1-2)
- collection of Pd GUI objects for musical performance
- pd-jsusfx (0.3.1-4)
- Jesusonic FX scripting language for audio DSP (Pure Data)
- pd-kollabs (2~repack-2)
- data management and state saving for Pure Data
- pd-lib-builder (0.5.1-1)
- common build system for Pure Data externals
- pd-libdir (1.11-4)
- provides support for the libdir library format for Pd
- pd-list-abs (0.1-3)
- library of list operations for Pd
- pd-log (0.1-2)
- small Pure Data library for logging
- pd-lua (0.7.3-2)
- Lua bindings for Pure Data
- pd-lyonpotpourri (2.0+git20121009-2)
- potpourri of Pd objects for synthesizing with audio
- pd-mapping (0.2.1-2)
- Pd library for creatively mapping data
- pd-markex (0.85-3)
- Pd library of misc objects from Mark Danks
- pd-maxlib (1.5.5-3)
- Pd library for analysing musical performance
- pd-mediasettings (0.1.1-5)
- programmatically modify the audio and MIDI settings from within Pd
- pd-mjlib (0.1.1-4)
- library of Pd objects for composing music
- pd-moonlib (0.4-1)
- library of Pd objects related to GUI control
- pd-motex (1.1.4-4)
- random collection of Pd objects by Iain Mott
- pd-mrpeach (0.1~svn17647-3)
- bag of tricks for Pure Data (Pd)
- pd-mrpeach-net (0.1~svn17647-3)
- Pd library for low-level networking
- pd-net
- virtueel pakket geboden door pd-mrpeach-net, pd-iemnet
- pd-nusmuk (20151113+repack-4)
- random collection of useful Pd objects
- pd-nusmuk-audio
- virtueel pakket geboden door pd-nusmuk
- pd-nusmuk-utils
- virtueel pakket geboden door pd-nusmuk
- pd-osc (1:0.1~svn17647-3)
- Open Sound Control for Pd
- pd-pan (0.1.2-2)
- library of stereo panning algorithms for Pd
- pd-pddp (0.2.1-2)
- support library for the Pure Data Documentation Project
- pd-pdogg (0.25.1-3)
- collection of Ogg/Vorbis objects for Pd
- pd-pdp (1:0.14.1+darcs20180201-2)
- Graphics system for Pd
- pd-pdstring (0.10.2-4)
- Pd-objects for string manipulation
- pd-pduino (0.5.1-2)
- interfacing with the Arduino from within Pure Data (Pd)
- pd-plugin (0.2.1-4)
- LADSPA and VST plug-in hosting for Pd
- pd-pmpd (0.9-5)
- physical modeling library for Pd
- pd-pool (0.2.2+git20161105.1.4b157f51-1)
- Hierarchical data storage for Pure Data
- pd-puremapping (20160130-2)
- Pd library for complex data mappings
- pd-purepd (0.1.1-2)
- library of standard objects re-implemented using Pd-vanilla
- pd-purest-json (1.4.2-4)
- Pd library for working with JSON data and RESTful webservices
- pd-py (0.2.2+git20170625.1.88fc77a-2)
- Python scripting objects for Pure Data
- pd-readanysf (0.43-3)
- Pd object for reading multiple audio file formats
- pd-rtclib (4.1-2)
- Real Time Composition Library for Pure Data (Pd)
- pd-scaf (1:0.14.1+darcs20180201-2)
- Simple Cellular Automaton Forth for PDP
- pd-sigpack (0.0.4.2-3)
- signal processing effects library for Pd
- pd-slip (0.1~svn17647-3)
- SLIP encoder/decoder for Pure Data (Pd)
- pd-smlib (0.12.2-2)
- Pd library for mapping DSP data to controls
- pd-syslog (0.1-2)
- syslog facilities for Pd
- pd-tclpd (0.3.0-3)
- Tcl objects for Pure Data (Pd)
- pd-testtools (0.1-2)
- unit test framework for Pure Data (Pd)
- pd-unauthorized (0.1-3)
- library of Pd objects for streaming and GUI control
- pd-upp (0.1.0-2)
- Universal Polyphonic Player for Pure Data
- pd-utils
- virtueel pakket geboden door puredata-utils
- pd-vbap (1.1-2)
- Pd library for Vector Base Amplitude Panning spatialization
- pd-wiimote (0.3.2-3)
- Pd object for accessing the wiimote controller
- pd-windowing (0.1-4)
- library of windowing functions in Pd
- pd-xbee (0.1~svn17647-3)
- interfacing with your XBee from within Pure Data (Pd)
- pd-xsample (0.3.2+git20170905.1.4441ae5-2)
- extended sample objects for Pure Data
- pd-zexy (2.2.8-1)
- General Purpose addon library for Pd
- pdal (1.8.0+ds-1+b2)
- Point Data Abstraction Library
- pdal-doc (1.8.0+ds-1)
- Point Data Abstraction Library - documentation
- pdb2pqr (2.1.1+dfsg-5)
- Preparation of protein structures for electrostatics calculations
- pdb2pqr-doc (2.1.1+dfsg-5)
- example files accompanying pdb2pqr
- pdd (1.3.1-1)
- Tiny date, time diff calculator
- pdepend (2.5.2-1)
- design quality metrics for PHP packages
- pdf-presenter-console (4.3.2-1)
- multi-monitor presentation tool (ala Keynote) for PDF files
- pdf-redact-tools (0.1.2-1)
- PDF Redact Tools helps with securely redacting and stripping
- pdf-viewer
- virtueel pakket geboden door xpdf, viewpdf.app, atril, okular, evince, zathura-pdf-poppler, mupdf, gv
- pdf2djvu (0.9.12-1+b1)
- PDF to DjVu converter
- pdf2svg (0.2.3-1)
- converts PDF documents to SVG files (one per page)
- pdfarranger (1.1.1-1)
- merge, split and re-arrange pages from PDF documents
- pdfchain (1:0.4.4.2-1+deb10u1)
- graphical user interface for the PDF Tool Kit
- pdfcrack (0.16-3+deb10u1) [security]
- PDF files password cracker
- pdfcube (0.0.5-2+b5 [amd64, armhf, i386], 0.0.5-2+b4 [arm64])
- PDF document viewer with 3D effects
- pdfcube-dbg (0.0.5-2+b5 [amd64, armhf, i386], 0.0.5-2+b4 [arm64])
- PDF document viewer with 3D effects - debug symbols
- pdfgrep (2.1.2-1)
- search in pdf files for strings matching a regular expression
- pdfminer-data (20181108+dfsg-3)
- PDF parser and analyser (encoding data)
- pdfmod (0.9.1-8.1)
- simple tool for modifying PDF documents
- pdfmod-dbg (0.9.1-8.1)
- simple tool for modifying PDF documents -- debugging symbols
- pdfpc
- virtueel pakket geboden door pdf-presenter-console
- pdfposter (0.7.post1-1)
- scale and tile PDF images/pages to print on multiple pages
- pdfresurrect (0.15-2)
- tool for extracting/scrubbing versioning data from PDF documents
- pdfsam (4.0.1-1)
- PDF Split and Merge
- pdfsandwich (0.1.7-1)
- Tool to generate "sandwich" OCR pdf files
- pdfshuffler (1.1.1-1)
- merge, split and re-arrange PDF documents - transitional package
- pdftk (2.02-5)
- transitional package for pdftk, a tool for manipulating PDF documents
- pdftk
- virtueel pakket geboden door pdftk-java
- pdftk-java (3.0.2-2)
- pdftk port to java - a tool for manipulating PDF documents
- pdftohtml
- virtueel pakket geboden door poppler-utils
- pdftoipe (1:7.2.7.2-1+b1)
- converts arbitrary PDF file to XML file readable by Ipe
- pdl (1:2.019-5+b1)
- perl data language: Perl extensions for numerics
- pdlapi-12
- virtueel pakket geboden door pdl
- pdlzip (1.10-3)
- data compressor based on the LZMA algorithm (simple version)
- pdns-backend
- virtueel pakket geboden door pdns-backend-mysql, pdns-backend-pgsql, pdns-backend-tinydns, pdns-backend-ldap, pdns-backend-opendbx, pdns-backend-odbc, pdns-backend-bind, pdns-backend-mydns, pdns-backend-pipe, pdns-backend-geoip, pdns-backend-remote, pdns-backend-sqlite3, pdns-backend-lua
- pdns-backend-bind (4.1.6-3+deb10u1)
- BIND backend for PowerDNS
- pdns-backend-geoip (4.1.6-3+deb10u1)
- geoip backend for PowerDNS
- pdns-backend-ldap (4.1.6-3+deb10u1)
- LDAP backend for PowerDNS
- pdns-backend-lua (4.1.6-3+deb10u1)
- Lua backend for PowerDNS
- pdns-backend-mydns (4.1.6-3+deb10u1)
- MyDNS compatibility backend for PowerDNS
- pdns-backend-mysql (4.1.6-3+deb10u1)
- generic MySQL backend for PowerDNS
- pdns-backend-odbc (4.1.6-3+deb10u1)
- generic UnixODBC backend for PowerDNS
- pdns-backend-opendbx (4.1.6-3+deb10u1)
- OpenDBX backend for PowerDNS
- pdns-backend-pgsql (4.1.6-3+deb10u1)
- generic PostgreSQL backend for PowerDNS
- pdns-backend-pipe (4.1.6-3+deb10u1)
- pipe/coprocess backend for PowerDNS
- pdns-backend-remote (4.1.6-3+deb10u1)
- remote backend for PowerDNS
- pdns-backend-sqlite3 (4.1.6-3+deb10u1)
- sqlite 3 backend for PowerDNS
- pdns-backend-tinydns (4.1.6-3+deb10u1)
- tinydns compatibility backend for PowerDNS
- pdns-recursor (4.1.11-1+deb10u1)
- PowerDNS Recursor
- pdns-server (4.1.6-3+deb10u1)
- extremely powerful and versatile nameserver
- pdns-tools (4.1.6-3+deb10u1)
- Tools for DNS debugging by PowerDNS
- pdsh (2.31-3+b1)
- Efficient rsh-like utility, for using hosts in parallel
- pdudaemon (0.0.7-2)
- daemon for controlling PDUs
- pdudaemon-client (0.0.7-2)
- client for pdudaemon
- pear-channels (0~20141011-1)
- PEAR channels for various projects
- pear-horde-channel
- virtueel pakket geboden door pear-channels
- pear-phpunit-channel
- virtueel pakket geboden door pear-channels
- pear-symfony-project-channel
- virtueel pakket geboden door pear-channels
- pear-symfony2-channel
- virtueel pakket geboden door pear-channels
- peco (0.5.1-1+b11)
- Simplistic interactive filtering tool
- pecomato (0.0.15-10)
- Picture-embedded contents manipulation tool
- peek (1.3.1-6)
- Simple animated GIF screen recorder with GUI
- peewee (3.7.0+dfsg-1)
- Command line Tools for python peewee (Python 3)
- peframe (5.0.1+git20170303.0.e482def+dfsg-3)
- open source tool to perform static analysis on PE malware
- peg (0.1.18-1)
- recursive-descent parser generators for C
- peg-e (1.2.6-1)
- peg elimination solitaire game
- peg-go (1.0.0-5+b10)
- implementation of a Packrat parser generator in Go
- peg-solitaire (2.2-2)
- Board game for one player with pegs
- pegasus-wms (4.4.0+dfsg-8)
- Scientific workflow management system for HTCondor
- pegasus-wms-doc (4.4.0+dfsg-8)
- Scientific workflow management system for HTCondor - documentation files
- pegjs
- virtueel pakket geboden door node-pegjs
- pegsolitaire (0.3-1)
- education game similar to Hi-Q
- pekwm (0.1.17-3)
- very light window manager
- pekwm-themes (1.0.5-3)
- themes for the pekwm window manager
- pelican (4.0.1+dfsg-1)
- blog aware, static website generator
- pelican-doc (4.0.1+dfsg-1)
- blog aware, static website generator (documentation)
- pem (0.7.9-2)
- command line personal expense manager
- pen (0.34.1-1)
- Load balancer for "simple" tcp and udp based protocols
- pencil2d (0.6.2-1)
- Create hand-drawn animation using both bitmap and vector graphics
- penguin-command (1.6.11-3+b1)
- missile command clone
- pente (2.2.5-8)
- five in a row game for X and the console
- pentium-builder (0.21)
- force pentium optimized compilation
- pentobi (16.2-1)
- clone of the strategy board game Blokus
- pentobi-kde-thumbnailer (16.2-1)
- clone of the strategy board game Blokus - KDE thumbnailer
- peony (1.1.5-1+b1)
- file manager for the UKUI desktop
- peony-admin (1.1.2-1)
- Add administrative actions to Peony's right-click menu
- peony-common (1.1.5-1)
- file manager for the UKUI desktop (common files)
- peony-extensions-common (1.1.2-1)
- Peony extensions (common files)
- peony-image-converter (1.1.2-1)
- Peony extension to mass resize or rotate images
- peony-open-terminal (1.1.2-1)
- Peony plugin for opening terminals in arbitrary local paths
- peony-sendto (1.1.2-1)
- integrates email clients and Pidgin into the Peony file manager
- peony-share (1.1.2-1)
- Allows one to quickly share a folder from the Peony file manager
- peony-wallpaper (1.1.2-1)
- Allows one to quickly set a wallpaper from folders
- peony-xattr-tags (1.1.2-1)
- Allows one to view extended attributes
- pep8 (1.7.1-3)
- Python PEP 8 code style checker - transitional package
- pep8-simul (8.1.3+git20180702~ds1-3)
- Pep/8 assembler and simulator
- pepper (0.3.3-3)
- Source code repository statistics and report tool
- pepperflashplugin-nonfree (1.8.8~deb10u1) [contrib]
- Pepper Flash Player - browser plugin (dummy package)
- perceptualdiff (1.2-2+b1)
- perceptual image comparison tool
- percol (0.2.1-3)
- interactive selection for UNIX pipes
- percona-toolkit (3.0.13-1)
- Command-line tools for MySQL and system tasks
- percona-xtradb-cluster-galera-25
- virtueel pakket geboden door galera-3
- perdition (2.2-3+b3)
- POP3 and IMAP4 Proxy server
- perdition-bdb
- virtueel pakket geboden door perdition
- perdition-ldap (2.2-3+b3)
- Library to allow perdition to access LDAP based popmaps
- perdition-mysql (2.2-3+b3)
- Library to allow perdition to access MySQL based popmaps
- perdition-odbc (2.2-3+b3)
- Library to allow perdition to access ODBC based popmaps
- perdition-postgresql (2.2-3+b3)
- Library to allow perdition to access PostgreSQL based popmaps
- perf-tools-unstable (1.0+git7ffb3fd-1)
- DTrace-like tools for Linux
- perforate (1.2-5.1)
- Utilities to save disk space
- performous (1.1+git20181118-2)
- karaoke game that allows user supplied songs
- performous-tools (1.1+git20181118-2)
- karaoke game that allows user supplied songs - tools
- perftest (4.4+0.5-1)
- Infiniband verbs performance tests
- perl (5.28.1-6+deb10u1)
- Larry Wall's Practical Extraction and Report Language
- perl-base (5.28.1-6+deb10u1)
- minimal Perl system
- perl-byacc (2.0-8+b1)
- Berkeley LALR parser generator, Perl version
- perl-cross-config
- virtueel pakket geboden door perl
- perl-cross-debian (0.0.5)
- Cross build support for Debian perl configurations
- perl-dbdabi-94
- virtueel pakket geboden door libdbi-perl
- perl-debug (5.28.1-6+deb10u1)
- debug-enabled Perl interpreter
- perl-depends (2016.1029+git8f67695-1)
- rough indicator of Perl module dependencies
- perl-doc (5.28.1-6+deb10u1)
- Perl documentation
- perl-ifeffit
- virtueel pakket geboden door libifeffit-perl
- perl-imagerapi-5
- virtueel pakket geboden door libimager-perl
- perl-mapscript
- virtueel pakket geboden door libmapscript-perl
- perl-modules
- virtueel pakket geboden door perl-modules-5.28
- perl-modules-5.28 (5.28.1-6+deb10u1)
- Core Perl modules
- perl-openssl-abi-1.1
- virtueel pakket geboden door perl-openssl-defaults
- perl-openssl-defaults (3)
- version compatibility baseline for Perl OpenSSL packages
- perl-stacktrace (0.09-3+b1)
- Create stack traces of a running perl processes
- perl-tk (1:804.033-2+b3)
- Perl module providing the Tk graphics library
- perl6 (6.d-2)
- Perl6 Compiler
- perl6-readline (0.1.4-3)
- Readline binding for Perl 6
- perl6-tap-harness (0.20180925-1)
- TAP test harness for Perl 6
- perl6-zef (0.6.2-2)
- Perl 6 package manager
- perlapi-5.28.0
- virtueel pakket geboden door perl-base
- perlapi-5.28.1
- virtueel pakket geboden door perl-base
- perlbal (1.80-3)
- Perl-based reverse proxy load balancer and web server
- perlbrew (0.86-1)
- script to manage perl installations in your $HOME
- perlconsole (0.4-4)
- small program that lets you evaluate Perl code interactively
- perlindex (1.606-1)
- Perl manual pages index and query application
- perlmagick (8:6.9.10.23+dfsg-2.1+deb10u7) [security]
- Perl interface to ImageMagick -- dummy package
- perlmagick
- virtueel pakket geboden door graphicsmagick-libmagick-dev-compat, libimage-magick-perl
- perlmagick (= 8:6.9.10.23+dfsg-2.1+deb10u1)
- virtueel pakket geboden door libimage-magick-q16-perl
- perlmagick (= 8:6.9.10.23+dfsg-2.1+deb10u7)
- virtueel pakket geboden door libimage-magick-q16-perl
- perlprimer (1.2.4-1)
- Graphical design of primers for PCR
- perlprimer-doc (1.2.4-1)
- Tutorial to perlprimer
- perltidy (20180220-1)
- Perl script indenter and reformatter
- perm (0.4.0-4)
- efficient mapping of short reads with periodic spaced seeds
- persepolis (3.0.1-1)
- Graphical download manager based on Aria2
- peruse (1.2+dfsg+20181001-1)
- comic book reader for KDE
- peruse-common (1.2+dfsg+20181001-1)
- common files for peruse
- pescetti (0.5-4)
- Bridge Pseudo-duplimate generator
- pesign (0.112-5)
- Signing utility for UEFI binaries
- petit (1.1.1-1)
- log analysis tool for syslog, apache and raw log files
- petitboot (13.05.29.14.00-g4dc604b-1+b7)
- ncurses version of petitboot, a kexec based bootloader
- petitboot-twin (13.05.29.14.00-g4dc604b-1+b7)
- Twin GUI version of petitboot, a kexec based bootloader
- petris (1.0.1-10+b1)
- Peter's Tetris - a Tetris(TM) clone
- petsc-complex3.10-dbg
- virtueel pakket geboden door libpetsc-complex3.10-dbg
- petsc-dev (3.10.3+dfsg1-5)
- Virtual package depending on latest PETSc development package
- petsc3.10-dbg
- virtueel pakket geboden door libpetsc-real3.10-dbg
- petsc3.10-doc (3.10.3+dfsg1-5)
- Documentation and examples for PETSc
- pev (0.80-4)
- text-based tool to analyze PE files
- pexec (1.0~rc8-4)
- Executing commands in parallel
- pfb2t1c2pfb (0.3-11)
- convert pfb into more compressible format and back
- pff-tools (20180714-1)
- utilities for MS Outlook PAB, PST and OST files
- pflogsumm (1.1.5-5)
- Postfix log entry summarizer
- pfm (2.0.8-3)
- PostgreSQL graphical client using Tcl/Tk
- pforth (21-12)
- portable Forth interpreter
- pfqueue (0.5.6-9+b3)
- interactive console-based tool to control MTA queues
- pfqueue-dbg (0.5.6-9+b3)
- interactive console-based tool to control MTA queues (debug)
- pfsglview (2.1.0-3+b5)
- command line HDR manipulation programs (OpenGL/GLUT viewer)
- pfstmo (2.1.0-3+b5)
- set of tone mapping operators
- pfstools (2.1.0-3+b5)
- command line HDR manipulation programs
- pfsview (2.1.0-3+b5)
- command line HDR manipulation programs (Qt viewer)
- pftools (3+dfsg-3)
- build and search protein and DNA generalized profiles
- pg-activity (1.4.0-1)
- Realtime PostgreSQL database server monitoring tool
- pg-backup-ctl (0.8)
- backup and recover PostgreSQL using log archiving
- pg-cloudconfig (0.10)
- Set optimized defaults for PostgreSQL in virtual environments
- pg-rage-terminator-11 (0.1.7-2)
- PostgreSQL background worker that kill random sessions.
- pgadmin3 (1.22.2-5)
- graphical administration tool for PostgreSQL
- pgadmin3-data (1.22.2-5)
- graphical administration tool for PostgreSQL - documentation
- pgagent (4.0.0-5)
- job scheduling engine for PostgreSQL
- pgbackrest (2.10-1)
- Reliable PostgreSQL Backup & Restore
- pgbackrest-doc (2.10-1)
- Documentation package for pgbackrest
- pgbadger (10.3-2)
- Fast PostgreSQL log analysis report
- pgbouncer (1.9.0-2)
- lightweight connection pooler for PostgreSQL
- pgcharts (1.0+2017-09-16-1) [non-free]
- turn your PostgreSQL queries into charts
- pgcli (1.9.1-3+deb10u1)
- CLI for Postgres
- pgdbf (0.6.2-1.1+b2)
- converter of XBase / FoxPro tables to PostgreSQL
- pgformatter (3.3-1)
- PostgreSQL SQL syntax beautifier
- pglistener (4)
- Postgres listener
- pgloader (3.6.1-1)
- extract, transform and load data into PostgreSQL
- pgmodeler (0.9.1-2)
- PostgreSQL database modeler GUI interface
- pgmodeler-common (0.9.1-2)
- pgmodeler's binary independent common files
- (18.10-1)
- Portable Game Notation (PGN) extractor
- pgn2web (0.4-2)
- convert PGN chess game files into webpages
- pgp
- virtueel pakket geboden door pgpgpg
- pgpdump (0.33-1)
- PGP packet visualizer
- pgpgpg (0.13-9.1+b1)
- Wrapper for using GnuPG in programs designed for PGP
- pgplot5 (5.2.2-19.3+b2) [non-free]
- large subroutine library for plotting scientific data
- pgpool2 (4.0.2-1)
- connection pool server and replication proxy for PostgreSQL
- pgqd (3.3-2)
- Queue maintenance daemon for PgQ
- pgreplay (1.2.0-2+b1 [amd64, armhf, i386], 1.2.0-2 [arm64])
- replay PostgreSQL log files
- pgstat (1.0.1-1)
- Collects PostgreSQL statistics the same way as a vmstat tool
- pgtap (0.99.0-2)
- Unit testing framework for PostgreSQL - metapackage
- pgtap-doc (0.99.0-2)
- Unit testing framework for PostgreSQL - documentation
- pgtop (3.7.0-18-gbbf1f12-3)
- PostgreSQL performance monitoring tool akin to top
- pgxnclient (1.2.1-6)
- command line client for the PostgreSQL Extension Network
- phalanx (22+d051004-14)
- Chess playing program
- phantomjs (2.1.1+dfsg-2)
- minimalistic headless WebKit-based browser with JavaScript API
- phasex (0.14.97-3)
- Phase Harmonic Advanced Synthesis EXperiment
- phast (1.4+dfsg-1)
- phylogenetic analysis with space/time models
- phenny (2~hg28-3)
- extensible IRC bot written in Python
- phing (2.16.1-1)
- PHP5 project build system based on Apache Ant
- phipack (0.0.20160614-3)
- PHI test and other tests of recombination
- phlipple (0.8.5-4+b1)
- reduce 3D shapes to a single square
- phlipple-data (0.8.5-4)
- reduce 3D shapes to a single square - game data
- phnxdeco (0.33-3+b2)
- Decompress flashfiles equipped with a PHOENIX BIOS
- phonon (4:4.10.2-1)
- multimedia framework from KDE using Qt 4 - metapackage
- phonon-backend
- virtueel pakket geboden door phonon-backend-null, phonon-backend-vlc, phonon-backend-gstreamer
- phonon-backend-gstreamer (4:4.9.0-1)
- Phonon GStreamer 1.0 backend
- phonon-backend-gstreamer-common (4:4.9.0-1)
- Phonon GStreamer 1.0.x backend icons
- phonon-backend-null (4:4.10.2-1)
- multimedia framework from KDE - null back-end (no real back-end)
- phonon-backend-vlc (0.10.2-1)
- Phonon VLC backend
- phonon4qt5 (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - metapackage
- phonon4qt5-backend
- virtueel pakket geboden door phonon4qt5-backend-gstreamer, phonon4qt5-backend-null, phonon4qt5-backend-vlc
- phonon4qt5-backend-gstreamer (4:4.9.0-1)
- Phonon Qt5 GStreamer 1.0 backend
- phonon4qt5-backend-null (4:4.10.2-1)
- multimedia framework from KDE using Qt 5 - null back-end (no real back-end)
- phonon4qt5-backend-vlc (0.10.2-1)
- Phonon4Qt5 VLC backend
- phonon4qt5experimental-abi-1
- virtueel pakket geboden door libphonon4qt5experimental4
- phononexperimental-abi-1
- virtueel pakket geboden door libphononexperimental4
- photo-uploader (0.12-4)
- Command line photo uploader
- photocollage (1.4.3-2.1)
- Graphical tool to make photo collage posters
- photofilmstrip (3.7.1-1)
- Slideshow creator with Ken Burns effect
- photoflare (1.5.7-1)
- Simple but powerful Image Editor
- photopc (3.07-1)
- Interface to digital still cameras
- phototonic (2.1-2)
- image viewer and organizer
- php (2:7.3+69)
- server-side, HTML-embedded scripting language (default)
- php
- virtueel pakket geboden door php7.3, uwsgi-plugin-php
- php-all-dev (2:69)
- package depending on all supported PHP development packages
- php-amqp (1.9.4-1)
- AMQP extension for PHP
- php-amqplib (2.8.1-1)
- pure PHP implementation of the AMQP protocol
- php-apcu (5.1.17+4.0.11-1)
- APC User Cache for PHP
- php-apcu-bc (1.0.4-4)
- APCu Backwards Compatibility Module
- php-ast (0.1.6-2+b2)
- AST extension for PHP 7
- php-auth-sasl (1.0.6-3)
- Abstraction of various SASL mechanism responses
- php-autoload
- virtueel pakket geboden door phpab
- php-bcmath (2:7.3+69)
- Bcmath module for PHP [default]
- php-bcmath
- virtueel pakket geboden door php7.3-bcmath
- php-bz2 (2:7.3+69)
- bzip2 module for PHP [default]
- php-bz2
- virtueel pakket geboden door php7.3-bz2
- php-cache-integration-tests (0.16.0-2)
- Integration tests for PSR-6 and PSR-16 cache implementations
- php-cache-lite (1.8.2-1)
- Fast and Safe little cache system
- php-cache-tag-interop (1.0.0-1)
- Framework interoperable interfaces for tags
- php-calendar
- virtueel pakket geboden door php7.3-common
- php-cas (1.3.6-1+deb10u1) [security]
- Central Authentication Service client library in php
- php-cboden-ratchet (0.4.1-2)
- PHP WebSocket library
- php-cgi (2:7.3+69)
- server-side, HTML-embedded scripting language (CGI binary) (default)
- php-cgi
- virtueel pakket geboden door php7.3-cgi
- php-cli (2:7.3+69)
- command-line interpreter for the PHP scripting language (default)
- php-cli
- virtueel pakket geboden door php7.3-cli
- php-cocur-slugify (3.1-1)
- Converts a string to a slug for PHP
- php-codecoverage (6.1.4+dfsg-1)
- collection, processing, and rendering for code coverage
- php-codesniffer (3.4.0-2)
- PHP, CSS and JavaScript coding standard analyzer and checker
- php-common (2:69)
- Common files for PHP packages
- php-composer-ca-bundle (1.1.4-1)
- utility library to find a path to the system CA bundle
- php-composer-semver (1.4.2-1)
- utilities, version constraint parsing and validation
- php-composer-spdx-licenses (1.5.0-1)
- SPDX licenses list and validation library
- php-composer-xdebug-handler (1.3.2-1)
- Restarts a process without xdebug
- php-console-commandline (1.2.1-1)
- A full featured command line options and arguments parser
- php-console-getopt
- virtueel pakket geboden door php-pear
- php-console-table (1.3.1-0.1)
- Library that makes it easy to build console style tables
- php-cpd
- virtueel pakket geboden door phpcpd
- php-ctype
- virtueel pakket geboden door php7.3-common
- php-curl (2:7.3+69)
- CURL module for PHP [default]
- php-curl
- virtueel pakket geboden door php7.3-curl
- php-date (1.4.7-3)
- Generic date/time handling class for PEAR
- php-db-dataobject (1.11.5-1)
- PHP PEAR module for object based SQL query building
- php-dba
- virtueel pakket geboden door php7.3-dba
- php-deepcopy (1.8.1-1)
- create deep copies (clones) of objects
- php-defuse-php-encryption (2.2.1-1)
- Simple Encryption in PHP
- php-depend
- virtueel pakket geboden door pdepend
- php-dev (2:7.3+69)
- Files for PHP module development (default)
- php-dflydev-fig-cookies (2.0.0-1)
- FIG Cookies for PHP
- php-directory-scanner (1.3.2-2)
- recursive directory scanner and filter
- php-doctrine-annotations (1.5.0really1.2.7-1)
- Docblock Annotations Parser - Doctrine component
- php-doctrine-bundle (1.10.2-1)
- bundle library - Doctrine component
- php-doctrine-cache (1.8.0-1)
- cache library - Doctrine component
- php-doctrine-cache-bundle (1.3.5-1)
- cache bundle library - Doctrine component
- php-doctrine-collections (1.5.0-1)
- Collections Abstraction library - Doctrine component
- php-doctrine-common (2.10.0-1)
- common extensions for Doctrine
- php-doctrine-data-fixtures (1.2.2-2)
- Data Fixtures for all Doctrine Object Managers
- php-doctrine-dbal (2.9.2-1)
- database abstraction layer for Doctrine
- php-doctrine-event-manager (1.0.0-1)
- Doctrine Event Manager component
- php-doctrine-inflector (1.2.0-1)
- string manipulations library - Doctrine component
- php-doctrine-instantiator (1.1.0-2)
- lightweight utility to instantiate objects in PHP
- php-doctrine-lexer (1.0.1-4)
- base lexer library - Doctrine component
- php-doctrine-orm (2.6.3+dfsg-1)
- tool for object-relational mapping
- php-doctrine-persistence (1.1.0-2)
- Doctrine Persistence abstractions
- php-doctrine-reflection (1.0.0-1)
- Doctrine Reflection component
- php-dom
- virtueel pakket geboden door php7.3-xml
- php-dompdf (0.6.2+dfsg-3+deb10u2) [security]
- HTML to PDF converter
- php-ds (1.2.6-2+b1)
- PHP extension providing efficient data structures for PHP 7
- php-elisp (1.21.0-1)
- transitional package, php-elisp to elpa-php-mode
- php-email-validator (2.1.7-1)
- A library for validating emails against several RFCs
- php-embed (3.3.9-1)
- Get info from any web service or page
- php-enchant (2:7.3+69)
- Enchant module for PHP [default]
- php-enchant
- virtueel pakket geboden door php7.3-enchant
- php-evenement (3.0.1-2)
- Very simple event dispatching library for PHP
- php-excimer (0.1.0~git20181204.9f02079-1)
- PHP extension that provides a non-static, non-global profiler
- php-exif
- virtueel pakket geboden door php7.3-common
- php-fabiang-sasl (1.0.0-1)
- PHP SASL2 Authentication Library
- php-facedetect (1.1.0+git20170801-2)
- Detect faces with PHP
- php-fdomdocument (1.6.6-1)
- extension to PHP's standard DOM
- php-fig-link-util (1.0.0-2)
- Common utility implementations for HTTP links
- php-file-iterator (2.0.2-1)
- FilterIterator implementation for PHP
- php-fileinfo
- virtueel pakket geboden door php7.3-common
- php-finder-facade (1.2.2-2)
- convenience wrapper for Symfony's Finder component
- php-finder-facade-doc (1.2.2-2)
- convenience wrapper for Symfony's Finder component - documentation
- php-font-lib (0.3.1+dfsg-3)
- read, parse, export and make subsets of different fonts
- php-fpdf (3:1.8.1.dfsg-2)
- PHP class to generate PDF files
- php-fpm (2:7.3+69)
- server-side, HTML-embedded scripting language (FPM-CGI binary) (default)
- php-fpm
- virtueel pakket geboden door php7.3-fpm
- php-ftp
- virtueel pakket geboden door php7.3-common
- php-fxsl (1.1.1-3)
- XSL wrapper and extension to XSLTProcessor
- php-gd (2:7.3+69)
- GD module for PHP [default]
- php-gd
- virtueel pakket geboden door php7.3-gd
- php-gearman (2.0.5+1.1.2-3)
- PHP wrapper to libgearman
- php-geoip (1.1.1-3)
- GeoIP module for PHP
- php-geos (1.0.0-4+b2)
- GEOS bindings for PHP
- php-geshi (1.0.8.11-3)
- Generic Syntax Highlighter
- php-getid3 (1.9.17+dfsg-1)
- scripts to extract information from multimedia files
- php-gettext (1.0.12-0.1)
- transitional dummy package for php-php-gettext
- php-gmagick (2.0.5~rc1+1.1.7~rc3-3)
- Provides a wrapper to the GraphicsMagick library
- php-gmp (2:7.3+69)
- GMP module for PHP [default]
- php-gmp
- virtueel pakket geboden door php7.3-gmp
- php-gnupg (1.4.0-3)
- PHP wrapper around the gpgme library
- php-guestfs (1:1.40.2-2)
- guest disk image management system - PHP bindings
- php-guzzlehttp-psr7 (1.4.2-0.1+deb10u2) [security]
- PSR-7 message implementation that also provides common utility methods
- php-horde (5.2.20+debian0-1+deb10u2)
- Horde base application
- php-horde-activesync (2.39.4-1)
- ActiveSync server library
- php-horde-alarm (2.2.10-3)
- Horde Alarm Libraries
- php-horde-ansel (3.0.9+debian0-1)
- Photo gallery application
- php-horde-argv (2.1.0-3)
- Horde command-line argument parsing package
- php-horde-auth (2.2.2-3)
- Horde Authentication API
- php-horde-autoloader (2.1.2-5)
- Horde Autoloader
- php-horde-browser (2.0.15-3)
- Horde Browser API
- php-horde-cache (2.5.5-3)
- Horde Caching API
- php-horde-cli (2.3.0-2)
- Command line interface library
- php-horde-compress (2.2.1-4)
- Horde Compression API
- php-horde-compress-fast (1.1.1-5)
- Fast Compression Library
- php-horde-constraint (2.0.3-5)
- Horde Constraint library
- php-horde-content (2.0.6-3)
- Tagging application
- php-horde-controller (2.0.5-1)
- Controller library
- php-horde-core (2.31.6+debian0-1)
- Core Horde Framework library
- php-horde-crypt (2.7.12-1)
- Cryptography library
- php-horde-crypt-blowfish (1.1.2-3)
- Blowfish Encryption Library
- php-horde-css-parser (1.0.11-3)
- Horde CSS Parser
- php-horde-cssminify (1.0.4-3)
- CSS Minification
- php-horde-data (2.1.4-5+deb10u1)
- Horde Data API
- php-horde-date (2.4.1-3)
- Horde Date package
- php-horde-date-parser (2.0.6-3)
- Horde Date Parser
- php-horde-dav (1.1.4-3)
- Horde library for WebDAV, CalDAV, CardDAV
- php-horde-db (2.4.0-3)
- Horde Database Libraries
- php-horde-editor (2.0.5+debian0-2)
- Horde Editor API
- php-horde-elasticsearch (1.0.4-3)
- Horde ElasticSearch client
- php-horde-exception (2.0.8-4)
- Horde Exception Handler
- php-horde-feed (2.0.4-5)
- Horde Feed libraries
- php-horde-form (2.0.18-3.1+deb10u1)
- Horde Form API
- php-horde-gollem (3.0.12-3+deb10u1)
- Web-based file manager
- php-horde-group (2.1.1-4)
- Horde User Groups System
- php-horde-groupware (5.2.22-3)
- Horde Groupware
- php-horde-hashtable (1.2.6-3)
- Horde Hash Table Interface
- php-horde-history (2.3.6-5)
- API for tracking the history of an object
- php-horde-http (2.1.7-3)
- Horde HTTP libraries
- php-horde-icalendar (2.1.8-1)
- iCalendar and vCard library
- php-horde-idna (1.1.1-3)
- IDNA backend normalization package
- php-horde-image (2.5.3-1)
- Image library
- php-horde-imap-client (2.29.16-1)
- IMAP client library
- php-horde-imp (6.2.22-1)
- Webmail application
- php-horde-imsp (2.0.10-3)
- IMSP API
- php-horde-ingo (3.2.16-3)
- An email filter rules manager
- php-horde-injector (2.0.5-5)
- Horde dependency injection container
- php-horde-itip (2.1.2-4)
- iTip invitation response handling
- php-horde-javascriptminify (1.1.5-3)
- Javascript Minification
- php-horde-javascriptminify-jsmin (1.0.2-5) [non-free]
- Horde Javascript Minifier - Jsmin PHP Driver
- php-horde-kolab-format (2.0.9-3)
- A package for reading/writing Kolab data formats
- php-horde-kolab-server (2.0.5-5)
- A package for manipulating the Kolab user database
- php-horde-kolab-session (2.0.3-5)
- A package managing an active Kolab session
- php-horde-kolab-storage (2.2.3-3)
- A package for handling Kolab data stored on an IMAP server
- php-horde-kronolith (4.2.25-1)
- Calendar and scheduling application
- php-horde-ldap (2.4.1-1)
- LDAP client library
- (1.2.5-3)
- Horde List Headers Parsing Library
- php-horde-lock (2.1.4-3)
- Horde Resource Locking System
- php-horde-log (2.3.0-3)
- Horde Logging library
- php-horde-logintasks (2.0.7-4)
- Horde Login Tasks System
- php-horde-lz4 (1.0.10-4+b2)
- Horde LZ4 Compression Extension
- php-horde-mail (2.6.4-3)
- Horde Mail Library
- php-horde-mail-autoconfig (1.0.3-4)
- Horde Mail Autoconfiguration
- php-horde-mapi (1.0.8-4)
- MAPI utility library
- php-horde-memcache (2.1.1-3)
- Horde Memcache API
- php-horde-mime (2.11.0-2)
- MIME library
- php-horde-mime-viewer (2.2.2-3+deb10u1) [security]
- Horde MIME Viewer Library
- php-horde-mnemo (4.2.14-3)
- A web based notes manager
- php-horde-nag (4.2.19-1)
- Task list application
- php-horde-nls (2.2.1-3)
- Native Language Support (NLS)
- php-horde-notification (2.0.4-5)
- Horde Notification System
- php-horde-oauth (2.0.4-3)
- Horde OAuth client/server
- php-horde-openxchange (1.0.1-3)
- Open-Xchange Connector
- php-horde-pack (1.0.7-3)
- Horde Pack Utility
- php-horde-passwd (5.0.7-3)
- Horde password changing application
- php-horde-pdf (2.0.7-5)
- Horde PDF library
- php-horde-perms (2.1.7-4)
- Horde Permissions System
- php-horde-prefs (2.9.0-3)
- Horde Preferences API
- php-horde-queue (1.1.5-3)
- Horde Queue
- php-horde-rdo (2.1.0-3)
- Rampage Data Objects
- php-horde-role (1.0.1-14)
- PEAR installer role used to install Horde components
- php-horde-routes (2.0.5-5)
- Horde Routes URL mapping system
- php-horde-rpc (2.1.8-3)
- Horde RPC API
- php-horde-scheduler (2.0.3-3)
- Horde Scheduler System
- php-horde-scribe (2.0.3-3)
- Scribe
- php-horde-secret (2.0.6-5)
- Secret Encryption API
- php-horde-serialize (2.0.5-5)
- Data Encapulation API
- php-horde-service-facebook (2.0.10-3)
- Horde Facebook client
- php-horde-service-gravatar (1.0.1-5)
- API accessor for gravatar.com
- (2.1.6-3)
- Horde Twitter client
- php-horde-service-urlshortener (2.0.3-3)
- Horde_Service_UrlShortener Class
- php-horde-service-weather (2.5.4-3)
- Horde Weather Provider
- php-horde-sesha (1.0.0~rc3-3)
- A simple Inventory App for Horde
- php-horde-sessionhandler (2.2.9-3)
- Horde Session Handler API
- php-horde-share (2.2.0-3)
- Horde Shared Permissions System
- php-horde-smtp (1.9.5-3)
- Horde SMTP Client
- php-horde-socket-client (2.1.2-1)
- Network socket client library
- php-horde-spellchecker (2.1.3-5)
- Spellcheck API
- php-horde-stream (1.6.3-5)
- Horde stream handler
- php-horde-stream-filter (2.0.4-5)
- Horde Stream filters
- php-horde-stream-wrapper (2.1.4-2)
- PHP stream wrappers library
- php-horde-support (2.2.0-3)
- Horde support package
- php-horde-syncml (2.0.7-4)
- Horde_SyncMl provides an API for processing SyncML requests
- php-horde-template (2.0.3-5)
- Horde Template System
- php-horde-test (2.6.3+debian0-3)
- Horde testing base classes
- php-horde-text-diff (2.2.0-3)
- Engine for performing and rendering text diffs
- php-horde-text-filter (2.3.5-3+deb10u2)
- Horde Text Filter API
- php-horde-text-filter-jsmin (1.0.2-5) [non-free]
- Horde Text Filter - Jsmin PHP Driver
- php-horde-text-flowed (2.0.3-5)
- Horde API for flowed text as per RFC 3676
- php-horde-thrift (2.0.3-3)
- Thrift
- php-horde-timeobjects (2.1.4-3)
- Horde timeobjects application
- php-horde-timezone (1.1.0-3)
- Timezone library
- php-horde-token (2.0.9-4)
- Horde Token API
- php-horde-translation (2.2.2-3)
- Horde translation library
- php-horde-trean (1.1.9-3+deb10u1)
- Web-based bookmarks application
- php-horde-tree (2.0.5-3)
- Horde Tree API
- php-horde-turba (4.2.23-1+deb10u1) [security]
- Address book application
- php-horde-url (2.2.6-3)
- Horde Url class
- php-horde-util (2.5.8-3)
- Horde Utility Libraries
- php-horde-vfs (2.4.0-3)
- Virtual File System API
- php-horde-view (2.0.6-5)
- Horde View API
- php-horde-webmail (5.2.22-3)
- Horde Groupware Webmail Edition
- php-horde-whups (3.0.12-3)
- Ticket-tracking application
- php-horde-wicked (2.0.8-3)
- Wiki application
- php-horde-xml-element (2.0.4-5)
- Horde Xml Element object
- php-horde-xml-wbxml (2.0.3-5)
- Horde_Xml_Wbxml provides an API for encoding and decoding WBXML documents used in SyncML and other wireless applications
- php-htmlawed (1.1.20-1)
- htmLawed PHP code to purify & filter HTML
- php-htmlpurifier (4.10.0-1)
- Standards-compliant HTML filter
- php-http (3.2.0+2.6.0-2+b1)
- PECL HTTP module for PHP Extended HTTP Support
- php-http-request (1.4.4-5)
- Provides an easy way to perform HTTP requests
- php-http-webdav-server (1.0.0RC8-1)
- WebDAV Server Baseclass
- php-icinga (2.6.2-3+deb10u1)
- PHP library to communicate with and use Icinga
- php-iconv
- virtueel pakket geboden door php7.3-common
- php-igbinary (3.0.0-1)
- igbinary PHP serializer
- php-illuminate-container (5.7.27-1)
- Illuminate Database, Container component
- php-illuminate-contracts (5.7.27-1)
- Illuminate Database, Contracts component
- php-illuminate-database (5.7.27-1+deb10u1)
- Illuminate Database
- php-illuminate-support (5.7.27-1)
- Illuminate Database, Support component
- php-image-text (0.7.0-2)
- Image_Text - Advanced text maipulations in images
- php-imagick (3.4.3-4.1)
- Provides a wrapper to the ImageMagick library
- php-imap (2:7.3+69)
- IMAP module for PHP [default]
- php-imap
- virtueel pakket geboden door php7.3-imap
- php-interbase (2:7.3+69)
- Interbase module for PHP [default]
- php-interbase
- virtueel pakket geboden door php7.3-interbase
- php-intl (2:7.3+69)
- Internationalisation module for PHP [default]
- php-intl
- virtueel pakket geboden door php7.3-intl
- php-invoker (2.0.0-1)
- Invoke callables with a timeout
- php-json (2:7.3+69)
- JSON module for PHP [default]
- php-json
- virtueel pakket geboden door php7.3-json
- php-json-schema (5.2.8-1)
- implementation of JSON schema
- php-klogger (1.2.1-2)
- simple logging class
- php-ldap (2:7.3+69)
- LDAP module for PHP [default]
- php-ldap
- virtueel pakket geboden door php7.3-ldap
- php-letodms-core (3.4.2-1)
- Document management system
- php-libsmbclient
- virtueel pakket geboden door php-smbclient
- php-libvirt-php (0.5.4-3+deb10u1)
- libvirt bindings for PHP
- php-loc
- virtueel pakket geboden door phploc
- php-lua (2.0.5+1.1.0-3)
- PHP Embedded lua interpreter
- php-luasandbox (3.0.3-2)
- PHP extension that provides a sandboxed Lua environment
- php-mail (1.3.0-1)
- Class that provides multiple interfaces for sending emails
- php-mail-mime (1.10.2-0.1)
- PHP PEAR module for creating MIME messages
- php-mailparse (3.0.3~dev20181093+2.1.7~dev20160128-1)
- Email message manipulation for PHP
- php-mapi (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - PHP MAPI bindings
- php-markdown (1.8.0-1)
- PHP library for rendering Markdown data
- php-math-biginteger (= 1.0.2+phpseclib)
- virtueel pakket geboden door php-seclib
- php-mbstring (2:7.3+69)
- MBSTRING module for PHP [default]
- php-mbstring
- virtueel pakket geboden door php7.3-mbstring
- php-mdb2 (2.5.0b5-2)
- database abstraction layer
- php-mdb2-driver-mysql (1.5.0b4-2)
- mysql MDB2 driver
- php-mdb2-driver-pgsql (1.5.0b4-2)
- pgsql MDB2 driver
- php-memcache (3.0.9~20170802.e702b5f-2)
- memcache extension module for PHP
- php-memcached (3.1.3+2.2.0-1)
- memcached extension module for PHP, uses libmemcached
- php-mf2 (0.3.0-0.1)
- Microformats2 is the simplest way to markup structured information in HTML
- php-mongodb (1.5.3-2)
- MongoDB driver for PHP
- php-monolog (1.24.0-1)
- send logs to various destination and web services
- php-msgpack (2.0.3-1)
- PHP extension for interfacing with MessagePack
- php-myclabs-deep-copy
- virtueel pakket geboden door php-deepcopy
- php-mysql (2:7.3+69)
- MySQL module for PHP [default]
- php-mysqli
- virtueel pakket geboden door php7.3-mysql
- php-mysqlnd
- virtueel pakket geboden door php7.3-mysql
- php-nesbot-carbon (1.27.0-1)
- simple PHP API extension for DateTime
- php-net-dime (1.0.2-3)
- The Net_DIME package implements DIME encoding and decoding
- php-net-dns2 (1.4.1-2)
- PHP5 Resolver library used to communicate with a DNS server
- php-net-ftp (1:1.4.0-2)
- Net_FTP provides an OO interface to the PHP FTP functions plus some additions
- php-net-idna2 (0.1.1-1)
- PHP Pear module for handling international domain names
- php-net-imap (1:1.1.3-2)
- Provides an implementation of the IMAP protocol
- php-net-ipv6 (1.3.0b4-1)
- Check and validate IPv6 addresses
- php-net-nntp (1.5.0-2)
- NNTP implementation
- php-net-publicsuffix (0.2-1)
- PHP module for detecting registered domains and public suffixes
- php-net-sieve (1.4.1-1)
- Handles talking to a sieve server
- php-net-smtp (1.8.0-1)
- PHP PEAR module implementing SMTP protocol
- php-net-socket (1.0.14-2)
- Network Socket Interface
- php-net-url (1.0.15-4)
- Easy parsing of Urls
- php-net-url2 (2.2.1-0.1)
- Class for parsing and handling URL
- php-net-whois (1.0.5-3.1)
- PHP PEAR module for querying whois services
- php-nrk-predis (1.0.0-1)
- Flexible and feature-complete PHP client library for the Redis key-value store
- php-oauth (2.0.2+1.2.3-3)
- OAuth 1.0 consumer and provider extension
- php-ocramius-proxy-manager
- virtueel pakket geboden door php-proxy-manager
- php-odbc (2:7.3+69)
- ODBC module for PHP [default]
- php-odbc
- virtueel pakket geboden door php7.3-odbc
- php-opcache
- virtueel pakket geboden door php7.3-opcache
- php-parser (3.1.5-1)
- convert PHP code into abstract syntax tree
- php-patchwork-utf8 (1.3.1-1)
- UTF-8 strings handling for PHP
- php-pclzip (2.8.2-4)
- ZIP archive manager class for PHP
- php-pdepend
- virtueel pakket geboden door pdepend
- php-pdo
- virtueel pakket geboden door php7.3-common
- php-pdo-dblib
- virtueel pakket geboden door php7.3-sybase
- php-pdo-firebird
- virtueel pakket geboden door php7.3-interbase
- php-pdo-mysql
- virtueel pakket geboden door php7.3-mysql
- php-pdo-odbc
- virtueel pakket geboden door php7.3-odbc
- php-pdo-pgsql
- virtueel pakket geboden door php7.3-pgsql
- php-pdo-sqlite
- virtueel pakket geboden door php7.3-sqlite3
- php-pear (1:1.10.6+submodules+notgz-1.1+deb10u2)
- PEAR Base System
- php-pecl-http (3.2.0+2.6.0-2)
- pecl_http module for PHP Extended HTTP Support [dummy]
- php-pecl-http-dev (3.2.0+2.6.0-2)
- pecl_http module for PHP Extended HTTP Support [dummy]
- php-pgsql (2:7.3+69)
- PostgreSQL module for PHP [default]
- php-pgsql
- virtueel pakket geboden door php7.3-pgsql
- php-phar
- virtueel pakket geboden door php7.3-common
- php-phar-io-manifest (1.0.3-1)
- reading phar.io manifest information from a PHP Archive (Phar)
- php-phar-io-version (2.0.1-1)
- handling version information and constraint
- php-php-gettext (1.0.12-0.1)
- read gettext MO files directly, without requiring anything other than PHP
- php-phpdbg (2:7.3+69)
- server-side, HTML-embedded scripting language (PHPDBG binary) (default)
- php-phpdbg
- virtueel pakket geboden door php7.3-phpdbg
- php-phpdocumentor-reflection-common (1.0.1-1)
- Common reflection classes - phpDocumentor component
- php-phpdocumentor-reflection-docblock (4.3.0-1)
- DocBlock parser - phpDocumentor component
- php-phpdocumentor-type-resolver (0.4.0-2)
- TypeResolver and FqsenResolver - phpDocumentor component
- php-phpseclib (2.0.30-2~deb10u3) [security]
- implementations of an arbitrary-precision integer arithmetic library
- php-phpseclib
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-aes
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-base
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-blowfish
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-des
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-hash
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-random
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-rc4
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-rijndael
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-rsa
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-tripledes
- virtueel pakket geboden door php-seclib
- php-phpseclib-crypt-twofish
- virtueel pakket geboden door php-seclib
- php-phpseclib-file-ansi
- virtueel pakket geboden door php-seclib
- php-phpseclib-file-asn1
- virtueel pakket geboden door php-seclib
- php-phpseclib-file-x509
- virtueel pakket geboden door php-seclib
- php-phpseclib-math-biginteger
- virtueel pakket geboden door php-seclib
- php-phpseclib-net-sftp
- virtueel pakket geboden door php-seclib
- php-phpseclib-net-ssh1
- virtueel pakket geboden door php-seclib
- php-phpseclib-net-ssh2
- virtueel pakket geboden door php-seclib
- php-phpseclib-system-ssh-agent
- virtueel pakket geboden door php-seclib
- php-phpspec-prophecy (1.8.0-1)
- object mocking framework - phpspec component
- php-pinba (1.1.0-5+b1)
- Pinba module for PHP
- php-pmd
- virtueel pakket geboden door phpmd
- php-posix
- virtueel pakket geboden door php7.3-common
- php-propro (2.1.0+1.0.2-2)
- propro module for PHP
- php-propro-dev (2.1.0+1.0.2-2)
- propro module for PHP development headers [dummy]
- php-proxy-manager (2.2.2-1)
- library providing utilities to operate with Object Proxies
- php-ps (1.4.1-1+b2)
- ps module for PHP
- php-pspell (2:7.3+69)
- pspell module for PHP [default]
- php-pspell
- virtueel pakket geboden door php7.3-pspell
- php-psr-cache (1.0.1-1)
- Common interface for caching libraries
- php-psr-cache-implementation (= 1.0)
- virtueel pakket geboden door php-symfony-cache, php-symfony
- php-psr-container (1.0.0-1)
- Common Container Interface (PHP FIG PSR-11)
- php-psr-container-implementation (= 1.0)
- virtueel pakket geboden door php-symfony, php-symfony-dependency-injection
- php-psr-http-message (1.0.1-1)
- Common interface for HTTP messages
- php-psr-http-message-implementation (= 1.0)
- virtueel pakket geboden door php-guzzlehttp-psr7
- php-psr-link (1.0.0-1)
- Common interfaces for HTTP links
- php-psr-log (1.1.0-1)
- common interface for logging libraries
- php-psr-log-implementation (= 1.0)
- virtueel pakket geboden door php-symfony-console, php-symfony, php-symfony-http-kernel
- php-psr-log-implementation (= 1.0.0)
- virtueel pakket geboden door php-monolog
- php-psr-simple-cache (1.0.1-1)
- Common interfaces for simple caching
- php-psr-simple-cache-implementation (= 1.0)
- virtueel pakket geboden door php-symfony, php-symfony-cache
- php-radius (1.4.0~b1-9)
- radius client library for PHP
- php-raintpl (3.1.0+dfsg-1)
- “the easiest” Template Engine for PHP
- php-random-compat (2.0.18-1)
- PHP 5.x polyfill for random_bytes() and random_int() from PHP 7
- php-raphf (2.0.0+1.1.2-4)
- raphf module for PHP
- php-raphf-dev (2.0.0+1.1.2-4)
- raphf module for PHP development headers [dummy]
- php-ratchet-pawl (0.3.4-1)
- Asynchronous WebSocket client for RatchetPHP
- php-ratchet-rfc6455 (0.2.4-2)
- Implementation of the WebSocket protocol for PHP
- php-react-cache (0.5.0-1)
- Async, promise-based cache component for ReactPHP
- php-react-child-process (0.5.2-2)
- Event-driven library for executing child processes with ReactPHP
- php-react-dns (0.4.16-1)
- Asynchronous DNS resolver for ReactPHP
- php-react-event-loop (1.0.0-1)
- ReactPHP's core reactor event loop for evented I/O
- php-react-http (0.8.3-3)
- Event-driven, streaming HTTP/HTTPS server for ReactPHP
- php-react-promise (2.7.0-1)
- lightweight implementation of CommonJS Promises/A for PHP
- php-react-promise-stream (1.1.1-3)
- Link between promises and streams in ReactPHP
- php-react-promise-timer (1.5.0-2)
- Trivial implementation of timeouts for promises on top of ReactPHP
- php-react-socket (1.1.0-1)
- Asynchronous client and server socket connections for ReactPHP
- php-react-stream (1.0.0-2)
- Event-driven streams for non-blocking I/O in ReactPHP
- php-readline (2:7.3+69)
- readline module for PHP [default]
- php-readline
- virtueel pakket geboden door php7.3-readline
- php-recode (2:7.3+69)
- recode module for PHP [default]
- php-recode
- virtueel pakket geboden door php7.3-recode
- php-redis (4.2.0-1)
- PHP extension for interfacing with Redis
- php-remctl (3.15-1+b3)
- PECL module for Kerberos-authenticated command execution
- php-respect-validation (1.1.29-2)
- PHP validation engine
- php-robmorgan-phinx (0.9.2-1)
- Simple PHP Database Migrations
- php-rrd (2.0.1+1.1.3-6)
- PHP bindings to rrd tool system
- php-sabre-dav (1.8.12-7)
- WebDAV Framework for PHP
- php-sabre-vobject (2.1.7-4)
- library to parse and manipulate iCalendar and vCard objects
- php-sass (0.5.16-1+b1)
- PHP bindings to libsass - fast, native Sass parsing in PHP
- php-sebastian-resource-operations
- virtueel pakket geboden door phpunit-resource-operations
- php-seclib (1.0.19-3~deb10u3) [security]
- implementations of an arbitrary-precision integer arithmetic library
- php-seclib (= 2.0.14-1)
- virtueel pakket geboden door php-phpseclib
- php-seclib (= 2.0.30-2~deb10u3)
- virtueel pakket geboden door php-phpseclib
- php-shmop
- virtueel pakket geboden door php7.3-common
- php-simplexml
- virtueel pakket geboden door php7.3-xml
- php-smbclient (0.9.0-3+b1)
- PHP wrapper for libsmbclient
- php-snmp (2:7.3+69)
- SNMP module for PHP [default]
- php-snmp
- virtueel pakket geboden door php7.3-snmp
- php-soap (2:7.3+69)
- SOAP module for PHP [default]
- php-soap
- virtueel pakket geboden door php7.3-soap
- php-sockets
- virtueel pakket geboden door php7.3-common
- php-solr (2.4.0-7)
- PHP extension for communicating with Apache Solr server
- php-sql-formatter (1.2.17-3)
- a PHP SQL highlighting library
- php-sqlite3 (2:7.3+69)
- SQLite3 module for PHP [default]
- php-sqlite3
- virtueel pakket geboden door php7.3-sqlite3
- php-ssh2 (1.1.2+0.13-4)
- Bindings for the libssh2 library
- php-stomp (2.0.2+1.0.9-2)
- Streaming Text Oriented Messaging Protocol (STOMP) client module for PHP
- php-structures-graph
- virtueel pakket geboden door php-pear
- php-swiftmailer (5.4.2-1.1)
- Swiftmailer, free feature-rich PHP mailer
- php-sybase (2:7.3+69)
- Sybase module for PHP [default]
- php-symfony (3.4.22+dfsg-2+deb10u3) [security]
- set of reusable components and framework for web projects
- php-symfony-asset (3.4.22+dfsg-2+deb10u3) [security]
- manage asset URLs
- php-symfony-browser-kit (3.4.22+dfsg-2+deb10u3) [security]
- simulate the behavior of a web browser
- php-symfony-cache (3.4.22+dfsg-2+deb10u3) [security]
- Symfony Cache component with PSR-6, PSR-16, and tags
- php-symfony-class-loader (3.4.22+dfsg-2+deb10u3) [security]
- load PHP classes automatically
- php-symfony-config (3.4.22+dfsg-2+deb10u3) [security]
- load configurations from different data sources
- php-symfony-console (3.4.22+dfsg-2+deb10u3) [security]
- run tasks from the command line
- php-symfony-css-selector (3.4.22+dfsg-2+deb10u3) [security]
- convert CSS selectors to XPath expressions
- php-symfony-debug (3.4.22+dfsg-2+deb10u3) [security]
- tools to make debugging of PHP code easier
- php-symfony-debug-bundle (3.4.22+dfsg-2+deb10u3) [security]
- debugging tools for the Symfony framework
- php-symfony-dependency-injection (3.4.22+dfsg-2+deb10u3) [security]
- standardize and centralize construction of objects
- php-symfony-doctrine-bridge (3.4.22+dfsg-2+deb10u3) [security]
- integration for Doctrine with Symfony Components
- php-symfony-dom-crawler (3.4.22+dfsg-2+deb10u3) [security]
- ease DOM navigation for HTML and XML documents
- php-symfony-dotenv (3.4.22+dfsg-2+deb10u3) [security]
- .env files parser to make environment variables accessible
- php-symfony-event-dispatcher (3.4.22+dfsg-2+deb10u3) [security]
- dispatch events and listen to them
- php-symfony-expression-language (3.4.22+dfsg-2+deb10u3) [security]
- compile and evaluate expressions
- php-symfony-filesystem (3.4.22+dfsg-2+deb10u3) [security]
- basic filesystem utilities
- php-symfony-finder (3.4.22+dfsg-2+deb10u3) [security]
- find files and directories
- php-symfony-form (3.4.22+dfsg-2+deb10u3) [security]
- create HTML forms and process request data
- php-symfony-framework-bundle (3.4.22+dfsg-2+deb10u3) [security]
- basic, robust and flexible MVC framework
- php-symfony-http-foundation (3.4.22+dfsg-2+deb10u3) [security]
- object-oriented layer for the HTTP specification
- php-symfony-http-kernel (3.4.22+dfsg-2+deb10u3) [security]
- building blocks for flexible and fast HTTP-based frameworks
- php-symfony-inflector (3.4.22+dfsg-2+deb10u3) [security]
- words conversion between their singular and plural forms
- php-symfony-intl (3.4.22+dfsg-2+deb10u3) [security]
- limited replacement layer for the PHP extension intl
- php-symfony-ldap (3.4.22+dfsg-2+deb10u3) [security]
- abstraction layer for the PHP LDAP module
- php-symfony-lock (3.4.22+dfsg-2+deb10u3) [security]
- creates and manages locks
- php-symfony-monolog-bridge (3.4.22+dfsg-2+deb10u3) [security]
- integration for Monolog with Symfony Components
- php-symfony-options-resolver (3.4.22+dfsg-2+deb10u3) [security]
- configure objects with option arrays
- php-symfony-phpunit-bridge (3.4.22+dfsg-2+deb10u3) [security]
- integration for PHPUnit with Symfony Components
- php-symfony-process (3.4.22+dfsg-2+deb10u3) [security]
- execute commands in sub-processes
- php-symfony-property-access (3.4.22+dfsg-2+deb10u3) [security]
- read from and write to an object or array
- php-symfony-property-info (3.4.22+dfsg-2+deb10u3) [security]
- extract information about properties of PHP classes
- php-symfony-proxy-manager-bridge (3.4.22+dfsg-2+deb10u3) [security]
- integration for ProxyManager with Symfony Components
- php-symfony-routing (3.4.22+dfsg-2+deb10u3) [security]
- associate a request with code that generates a response
- php-symfony-security (3.4.22+dfsg-2+deb10u3) [security]
- infrastructure for sophisticated authorization systems
- php-symfony-security-acl (3.0.1-2)
- Symfony Security Component - ACL (Access Control List)
- php-symfony-security-bundle (3.4.22+dfsg-2+deb10u3) [security]
- configurable security system for the Symfony framework
- php-symfony-security-core (3.4.22+dfsg-2+deb10u3) [security]
- infrastructure for authorization systems - common features
- php-symfony-security-csrf (3.4.22+dfsg-2+deb10u3) [security]
- infrastructure for authorization systems - CSRF protection
- php-symfony-security-guard (3.4.22+dfsg-2+deb10u3) [security]
- infrastructure for authorization systems - Guard features
- php-symfony-security-http (3.4.22+dfsg-2+deb10u3) [security]
- infrastructure for authorization systems - HTTP integration
- php-symfony-serializer (3.4.22+dfsg-2+deb10u3) [security]
- convert PHP objects into specific formats and vice versa
- php-symfony-stopwatch (3.4.22+dfsg-2+deb10u3) [security]
- profile PHP code
- php-symfony-templating (3.4.22+dfsg-2+deb10u3) [security]
- tools needed to build a template system
- php-symfony-translation (3.4.22+dfsg-2+deb10u3) [security]
- tools to internationalize an application
- php-symfony-twig-bridge (3.4.22+dfsg-2+deb10u3) [security]
- integration for Twig with Symfony Components
- php-symfony-twig-bundle (3.4.22+dfsg-2+deb10u3) [security]
- configurable integration of Twig with the Symfony framework
- php-symfony-validator (3.4.22+dfsg-2+deb10u3) [security]
- tools to validate classes
- php-symfony-var-dumper (3.4.22+dfsg-2+deb10u3) [security]
- Symfony mechanism for exploring and dumping PHP variables
- php-symfony-web-link (3.4.22+dfsg-2+deb10u3) [security]
- manage links between resources
- php-symfony-web-profiler-bundle (3.4.22+dfsg-2+deb10u3) [security]
- collect requests information for analysis and debugging
- php-symfony-web-server-bundle (3.4.22+dfsg-2+deb10u3) [security]
- provide commands for applications using the PHP built-in web server
- php-symfony-workflow (3.4.22+dfsg-2+deb10u3) [security]
- manage a workflow or finite state machine
- php-symfony-yaml (3.4.22+dfsg-2+deb10u3) [security]
- convert YAML to PHP arrays and the other way around
- php-sysvmsg
- virtueel pakket geboden door php7.3-common
- php-sysvsem
- virtueel pakket geboden door php7.3-common
- php-sysvshm
- virtueel pakket geboden door php7.3-common
- php-text-figlet (1.0.2-4)
- Engine for use FIGlet fonts to rendering text
- php-text-languagedetect (0.3.0-2)
- Language detection class
- php-text-template (1.2.1-2)
- Simple template engine
- php-text-wiki (1.2.1-3)
- transform Wiki and BBCode markup into XHTML, LaTeX or plain text markup
- php-thrift (0.11.0-4)
- PHP language support for Thrift
- php-tideways (4.1.6-2)
- Tideways PHP Profiler Extension
- php-tidy (2:7.3+69)
- tidy module for PHP [default]
- php-tidy
- virtueel pakket geboden door php7.3-tidy
- php-timer (2.1.1-1)
- Utility class for timing
- php-token-stream (3.0.1-1)
- Wrapper around PHP's tokenizer extension
- php-tokenizer (1.1.0-1)
- tokenized PHP source to XML converter
- php-tokenizer
- virtueel pakket geboden door php7.3-common
- php-twig (2.6.2-2+deb10u1) [security]
- Flexible, fast, and secure template engine for PHP
- php-twig-doc (2.6.2-2+deb10u1) [security]
- Twig template engine documentation
- php-uploadprogress (1.0.3.1-4-g95d8a0f-5+b1)
- file upload progress tracking extension for PHP
- php-user-cache
- virtueel pakket geboden door php-apcu
- php-uuid (1.0.4-7)
- PHP UUID extension
- php-validate (0.8.5-4.1)
- validation class
- php-wddx
- virtueel pakket geboden door php7.3-xml
- php-webmozart-assert (1.4.0-3)
- Assertions to validate method input/output with nice error messages
- php-wikidiff2 (1.7.3-2)
- external diff engine for mediawiki
- php-xajax (0.5-2)
- A library to develop Ajax applications
- php-xdebug (2.7.0~rc2+2.6.1+2.5.5-1)
- Xdebug Module for PHP
- php-xml (2:7.3+69)
- DOM, SimpleXML, WDDX, XML, and XSL module for PHP [default]
- php-xml
- virtueel pakket geboden door php7.3-xml
- php-xml-htmlsax3 (3.0.0+really3.0.0-3)
- SAX parser for HTML and other badly formed XML documents
- php-xml-svg (1.1.0-2)
- XML_SVG API
- php-xml-util
- virtueel pakket geboden door php-pear
- php-xmlreader
- virtueel pakket geboden door php7.3-xml
- php-xmlrpc (2:7.3+69)
- XMLRPC-EPI module for PHP [default]
- php-xmlrpc
- virtueel pakket geboden door php7.3-xmlrpc
- php-xmlwriter
- virtueel pakket geboden door php7.3-xml
- php-xsl
- virtueel pakket geboden door php7.3-xml
- php-yac (2.0.2+0.9.2-4)
- YAC (Yet Another Cache) for PHP
- php-yaml (2.0.2+1.3.1-4)
- YAML-1.1 parser and emitter for PHP
- php-zend-code (3.3.1-1)
- Zend Framework - Code component
- php-zend-eventmanager (3.2.1-1)
- Zend Framework - EventManager component
- php-zend-stdlib (3.2.1-1)
- Zend Framework - Stdlib component
- php-zendframework-zend-code
- virtueel pakket geboden door php-zend-code
- php-zendframework-zend-eventmanager
- virtueel pakket geboden door php-zend-eventmanager
- php-zendframework-zend-stdlib
- virtueel pakket geboden door php-zend-stdlib
- php-zeroc-ice (3.7.2-4)
- PHP extension for Ice
- php-zeta-base (1.9.1-1)
- Zeta Components - Base package
- php-zeta-console-tools (1.7-4)
- Zeta Components - ConsoleTools package
- php-zeta-unit-test (1.1.2-1)
- Zeta Components - UnitTest package
- php-zip (2:7.3+69)
- Zip module for PHP [default]
- php-zip
- virtueel pakket geboden door php7.3-zip
- php-zmq (1.1.3-9)
- ZeroMQ messaging bindings for PHP
- php7.0-remctl
- virtueel pakket geboden door php-remctl
- php7.2-ds
- virtueel pakket geboden door php-ds
- php7.2-ps
- virtueel pakket geboden door php-ps
- php7.2-sass
- virtueel pakket geboden door php-sass
- php7.2-smbclient
- virtueel pakket geboden door php-smbclient
- php7.2-tideways
- virtueel pakket geboden door php-tideways
- php7.2-uploadprogress
- virtueel pakket geboden door php-uploadprogress
- php7.3 (7.3.31-1~deb10u6) [security]
- server-side, HTML-embedded scripting language (metapackage)
- php7.3-amqp
- virtueel pakket geboden door php-amqp
- php7.3-apcu
- virtueel pakket geboden door php-apcu
- php7.3-apcu-bc
- virtueel pakket geboden door php-apcu-bc
- php7.3-bcmath (7.3.31-1~deb10u6) [security]
- Bcmath module for PHP
- php7.3-bz2 (7.3.31-1~deb10u6) [security]
- bzip2 module for PHP
- php7.3-calendar
- virtueel pakket geboden door php7.3-common
- php7.3-cgi (7.3.31-1~deb10u6) [security]
- server-side, HTML-embedded scripting language (CGI binary)
- php7.3-cli (7.3.31-1~deb10u6) [security]
- command-line interpreter for the PHP scripting language
- php7.3-common (7.3.31-1~deb10u6) [security]
- documentation, examples and common module for PHP
- php7.3-ctype
- virtueel pakket geboden door php7.3-common
- php7.3-curl (7.3.31-1~deb10u6) [security]
- CURL module for PHP
- php7.3-dba (7.3.31-1~deb10u6) [security]
- DBA module for PHP
- php7.3-dev (7.3.31-1~deb10u6) [security]
- Files for PHP7.3 module development
- php7.3-dom
- virtueel pakket geboden door php7.3-xml
- php7.3-ds
- virtueel pakket geboden door php-ds
- php7.3-enchant (7.3.31-1~deb10u6) [security]
- Enchant module for PHP
- php7.3-exif
- virtueel pakket geboden door php7.3-common
- php7.3-fileinfo
- virtueel pakket geboden door php7.3-common
- php7.3-fpm (7.3.31-1~deb10u6) [security]
- server-side, HTML-embedded scripting language (FPM-CGI binary)
- php7.3-ftp
- virtueel pakket geboden door php7.3-common
- php7.3-gd (7.3.31-1~deb10u6) [security]
- GD module for PHP
- php7.3-gearman
- virtueel pakket geboden door php-gearman
- php7.3-geoip
- virtueel pakket geboden door php-geoip
- php7.3-gettext
- virtueel pakket geboden door php7.3-common
- php7.3-gmagick
- virtueel pakket geboden door php-gmagick
- php7.3-gmp (7.3.31-1~deb10u6) [security]
- GMP module for PHP
- php7.3-gnupg
- virtueel pakket geboden door php-gnupg
- php7.3-http
- virtueel pakket geboden door php-http
- php7.3-iconv
- virtueel pakket geboden door php7.3-common
- php7.3-igbinary
- virtueel pakket geboden door php-igbinary
- php7.3-imagick
- virtueel pakket geboden door php-imagick
- php7.3-imap (7.3.31-1~deb10u6) [security]
- IMAP module for PHP
- php7.3-interbase (7.3.31-1~deb10u6) [security]
- Interbase module for PHP
- php7.3-intl (7.3.31-1~deb10u6) [security]
- Internationalisation module for PHP
- php7.3-json (7.3.31-1~deb10u6) [security]
- JSON module for PHP
- php7.3-ldap (7.3.31-1~deb10u6) [security]
- LDAP module for PHP
- php7.3-lua
- virtueel pakket geboden door php-lua
- php7.3-mailparse
- virtueel pakket geboden door php-mailparse
- php7.3-mbstring (7.3.31-1~deb10u6) [security]
- MBSTRING module for PHP
- php7.3-memcache
- virtueel pakket geboden door php-memcache
- php7.3-memcached
- virtueel pakket geboden door php-memcached
- php7.3-mongodb
- virtueel pakket geboden door php-mongodb
- php7.3-msgpack
- virtueel pakket geboden door php-msgpack
- php7.3-mysql (7.3.31-1~deb10u6) [security]
- MySQL module for PHP
- php7.3-mysqli
- virtueel pakket geboden door php7.3-mysql
- php7.3-mysqlnd
- virtueel pakket geboden door php7.3-mysql
- php7.3-oauth
- virtueel pakket geboden door php-oauth
- php7.3-odbc (7.3.31-1~deb10u6) [security]
- ODBC module for PHP
- php7.3-opcache (7.3.31-1~deb10u6) [security]
- Zend OpCache module for PHP
- php7.3-pdo
- virtueel pakket geboden door php7.3-common
- php7.3-pdo-dblib
- virtueel pakket geboden door php7.3-sybase
- php7.3-pdo-firebird
- virtueel pakket geboden door php7.3-interbase
- php7.3-pdo-mysql
- virtueel pakket geboden door php7.3-mysql
- php7.3-pdo-odbc
- virtueel pakket geboden door php7.3-odbc
- php7.3-pdo-pgsql
- virtueel pakket geboden door php7.3-pgsql
- php7.3-pdo-sqlite
- virtueel pakket geboden door php7.3-sqlite3
- php7.3-pgsql (7.3.31-1~deb10u6) [security]
- PostgreSQL module for PHP
- php7.3-phar
- virtueel pakket geboden door php7.3-common
- php7.3-phpdbg (7.3.31-1~deb10u6) [security]
- server-side, HTML-embedded scripting language (PHPDBG binary)
- php7.3-pinba
- virtueel pakket geboden door php-pinba
- php7.3-posix
- virtueel pakket geboden door php7.3-common
- php7.3-propro
- virtueel pakket geboden door php-propro
- php7.3-ps
- virtueel pakket geboden door php-ps
- php7.3-pspell (7.3.31-1~deb10u6) [security]
- pspell module for PHP
- php7.3-radius
- virtueel pakket geboden door php-radius
- php7.3-raphf
- virtueel pakket geboden door php-raphf
- php7.3-readline (7.3.31-1~deb10u6) [security]
- readline module for PHP
- php7.3-recode (7.3.31-1~deb10u6) [security]
- recode module for PHP
- php7.3-redis
- virtueel pakket geboden door php-redis
- php7.3-rrd
- virtueel pakket geboden door php-rrd
- php7.3-sass
- virtueel pakket geboden door php-sass
- php7.3-shmop
- virtueel pakket geboden door php7.3-common
- php7.3-simplexml
- virtueel pakket geboden door php7.3-xml
- php7.3-smbclient
- virtueel pakket geboden door php-smbclient
- php7.3-snmp (7.3.31-1~deb10u6) [security]
- SNMP module for PHP
- php7.3-soap (7.3.31-1~deb10u6) [security]
- SOAP module for PHP
- php7.3-sockets
- virtueel pakket geboden door php7.3-common
- php7.3-solr
- virtueel pakket geboden door php-solr
- php7.3-sqlite3 (7.3.31-1~deb10u6) [security]
- SQLite3 module for PHP
- php7.3-ssh2
- virtueel pakket geboden door php-ssh2
- php7.3-stomp
- virtueel pakket geboden door php-stomp
- php7.3-sybase (7.3.31-1~deb10u6) [security]
- Sybase module for PHP
- php7.3-sysvmsg
- virtueel pakket geboden door php7.3-common
- php7.3-sysvsem
- virtueel pakket geboden door php7.3-common
- php7.3-sysvshm
- virtueel pakket geboden door php7.3-common
- php7.3-tideways
- virtueel pakket geboden door php-tideways
- php7.3-tidy (7.3.31-1~deb10u6) [security]
- tidy module for PHP
- php7.3-tokenizer
- virtueel pakket geboden door php7.3-common
- php7.3-uploadprogress
- virtueel pakket geboden door php-uploadprogress
- php7.3-uuid
- virtueel pakket geboden door php-uuid
- php7.3-wddx
- virtueel pakket geboden door php7.3-xml
- php7.3-xdebug
- virtueel pakket geboden door php-xdebug
- php7.3-xml (7.3.31-1~deb10u6) [security]
- DOM, SimpleXML, WDDX, XML, and XSL module for PHP
- php7.3-xmlreader
- virtueel pakket geboden door php7.3-xml
- php7.3-xmlrpc (7.3.31-1~deb10u6) [security]
- XMLRPC-EPI module for PHP
- php7.3-xmlwriter
- virtueel pakket geboden door php7.3-xml
- php7.3-xsl (7.3.31-1~deb10u6) [security]
- XSL module for PHP (dummy)
- php7.3-xsl
- virtueel pakket geboden door php7.3-xml
- php7.3-yac
- virtueel pakket geboden door php-yac
- php7.3-yaml
- virtueel pakket geboden door php-yaml
- php7.3-zip (7.3.31-1~deb10u6) [security]
- Zip module for PHP
- php7.3-zmq
- virtueel pakket geboden door php-zmq
- phpab (1.25.3-1)
- lightweight PHP namespace aware autoload generator
- phpapi-20180731
- virtueel pakket geboden door php7.3-phpdbg, libphp7.3-embed, php7.3-cgi, libapache2-mod-php7.3, php7.3-fpm, php7.3-cli
- phpcpd (4.1.0-1)
- copy and paste detector (CPD) for PHP code
- phpdox (0.11.2-1)
- documentation generation framework and tool
- phpliteadmin (1.9.7.1-2+deb10u1)
- web-based SQLite database admin tool
- phpliteadmin-themes (1.9.7.1-2+deb10u1)
- web-based SQLite database admin tool - themes
- phploc (4.0.1-2)
- tool for quickly measuring the size of a PHP project
- phpmd (2.6.0-1)
- PHP Mess Detector
- phppgadmin (5.1+ds-4+deb10u1) [security]
- web-based administration tool for PostgreSQL
- phpqrcode (1.1.4-3)
- PHP library for generating two-dimensional barcodes
- phpunit (7.5.6-1)
- Unit testing suite for PHP
- phpunit-code-unit-reverse-lookup (1.0.1-1)
- look up what a line of code belongs to - PHPUnit component
- phpunit-comparator (3.0.2-1)
- functionality to compare PHP values for equality - PHPUnit component
- phpunit-dbunit (4.0.0-2)
- PHPUnit extension for database interaction testing
- phpunit-diff (3.0.2-1)
- diff implementation - stand-alone component from PHPUnit
- phpunit-environment (4.1.0-1)
- functionality to handle HHVM/PHP environments - PHPUnit component
- phpunit-exporter (3.1.0-2)
- export variables for visualization - PHPUnit component
- phpunit-git (2.1.4-1)
- Simple wrapper for Git
- phpunit-global-state (2.0.0really2.0.0-2)
- snapshotting of global state - PHPUnit component
- phpunit-mock-object
- virtueel pakket geboden door phpunit
- phpunit-object-enumerator (3.0.3-3)
- enumerate all referenced objects - PHPUnit component
- phpunit-object-reflector (1.1.1-2)
- reflection of object attributes - PHPUnit component
- phpunit-recursion-context (3.0.0-2)
- recursively process PHP variables - PHPUnit component
- phpunit-resource-operations (2.0.1-1)
- provide a list of PHP built-in functions that operate on resources
- phpunit-version (2.0.1-1)
- Library that helps with managing the version number of Git-hosted PHP projects
- phpwebcounter (1.0-5)
- simple and light web hit counter
- (20071108-4)
- extra graphical numbers to PHP Web Counter
- phy-spread
- virtueel pakket geboden door spread-phy
- phybin (0.3-3)
- binning/clustering newick trees by topology
- phylip (1:3.697+dfsg-1)
- package of programs for inferring phylogenies
- phylip-doc (1:3.697+dfsg-1)
- package of programs for inferring phylogenies (documentation)
- phyml (3:3.3.20180621-2)
- Phylogenetic estimation using Maximum Likelihood
- physamp (1.1.0-1)
- sample sequence alignment corresponding to phylogeny
- physlock (13-1)
- lightweight Linux console locking tool
- phyutility (2.7.3+dfsg-2)
- simple analyses or modifications on both phylogenetic trees and data matrices
- phyx (0.999+ds-1)
- UNIX-style phylogenetic analyses on trees and sequences
- pi (1.3.4-4)
- Compute Archimedes' constant Pi to arbitrary precision
- pianobar (2019.02.14-1)
- console based player for Pandora radio
- pianobooster (0.6.7~svn156-1)
- learn the piano just by playing a game
- pianobooster-dbg (0.6.7~svn156-1)
- learn the piano just by playing a game - debug
- picard (2.1.2-1+deb10u1)
- Volgende generatie MusicBrainz labeleditor voor audiobestanden
- picard-tools (2.18.25+dfsg-2)
- Command line tools to manipulate SAM and BAM files
- pick (2.0.2-1)
- utility to choose one option from a set of choices
- picmi (4:18.04.1-1)
- Number logic game
- picocom (3.1-2)
- minimal dumb-terminal emulation program
- picolisp (18.12-1)
- Lisp interpreter and application server framework
- picon-domains (2018.02.28-1) [non-free]
- Picon (Personal Images) database of Internet domain logos
- picon-misc (2017.01.02-1) [non-free]
- Picon (Personal Images) database of common accounts and misc
- picon-news (2017.01.02-1) [non-free]
- Picon (Personal Images) db of Usenet newsgroups and hierarchies
- picon-unknown (2017.01.02-1) [non-free]
- Picon (Personal Images) database for very high-level domains
- picon-usenix (1995.04.13-9) [non-free]
- Picon (Personal Images) db of Usenix conference attendees
- picon-users (2017.01.02-1) [non-free]
- Picon (Personal Images) database of individual Internet accounts
- picon-weather (2017.01.02-1) [non-free]
- Picon (Personal Images) database for displaying weather forecasts
- picons
- virtueel pakket geboden door picon-news, picon-misc, picon-domains, picon-unknown, picon-users, picon-usenix, picon-weather
- picosat (960-1+b2)
- SAT solver with proof and core support
- picprog (1.9.1-4)
- Microchip PIC serial programmer software
- pid1 (0.1.2.0-3+b2)
- signal handling and orphan reaping for Unix PID1 init processes
- pidcat (2.1.0-2)
- Colored adb logcat that shows entries for specific apps
- pidgin (2.13.0-2+b1)
- graphical multi-protocol instant messaging client
- pidgin-audacious (2.0.0-6)
- pidgin integration with Audacious
- pidgin-awayonlock (0.5.2-1)
- pidgin plugin to set as away on screensaver activation
- pidgin-blinklight (0.11.1-3+b1)
- Blinks your ThinkPad's ThinkLight upon new messages
- pidgin-data (2.13.0-2)
- multi-protocol instant messaging cliënt - gegevensbestanden
- pidgin-dev (2.13.0-2)
- multi-protocol instant messaging cliënt - ontwikkelingsbestanden
- pidgin-encryption (3.1-1.1)
- pidgin plugin that provides transparent encryption
- pidgin-extprefs (0.7-3)
- extended preferences plugin for the instant messenger pidgin
- pidgin-festival (2.4-3)
- pidgin plugin to hear incoming messages using voice synthesis
- pidgin-gnome-keyring (2.0-2)
- integrates pidgin (and libpurple) with the system keyring
- pidgin-guifications (2.16-2)
- toaster popups for pidgin
- pidgin-hotkeys (0.2.4-1.3)
- Configurable global hotkeys for pidgin
- pidgin-lastfm (0.4a-2)
- Last.fm plugin for Pidgin
- pidgin-latex (1.5.0-1+b1 [amd64], 1.5.0-1 [arm64, armhf, i386])
- Pidgin plugin to display LaTeX formulas
- pidgin-librvp (0.9.7cvs-1.1)
- MS Exchange RVP instant messaging plugin for Pidgin
- pidgin-mpris (0.2.3-3)
- sets your available message to your currently playing track
- pidgin-mra (20100304-1)
- Mail.ru Agent protocol plugin for Pidgin IM
- pidgin-mra-dbg (20100304-1)
- Mail.ru Agent protocol plugin for Pidgin IM
- pidgin-nateon (0.0.0.svn147-1)
- Pidgin plugin for NateOn instant messaging service
- pidgin-nateon-dbg (0.0.0.svn147-1)
- debugging symbols of pidgin-nateon
- pidgin-openpgp (0.1-2)
- OpenPGP plugin for Pidgin
- pidgin-otr (4.0.2-2)
- Off-the-Record Messaging plugin for Pidgin
- pidgin-plugin-pack (2.7.0-3)
- Collection of Pidgin plugins
- pidgin-privacy-please (0.7.1-3)
- plugin for enhanced privacy in pidgin
- pidgin-sipe (1.24.0-1)
- Pidgin plugin for Skype for Business and Microsoft Lync
- pidgin-skype (20140930+svn665+dfsg-1) [contrib]
- Skype plugin for libpurple messengers (Pidgin-specific files)
- pidgin-skype-common (20140930+svn665+dfsg-1) [contrib]
- Skype plugin for libpurple messengers (common files)
- pidgin-skype-dbg (20140930+svn665+dfsg-1) [contrib]
- Skype plugin for libpurple messengers (debug symbols)
- pidgin-themes (0.2-2)
- smiley themes collection for Pidgin
- piespy (0.4.0-4)
- IRC bot to visualize social networks
- piglit (0~git20180515-62ef6b0db-1)
- Open-source test suite for OpenGL and OpenCL implementations
- pigpio-tools (1.68-3)
- Client tools for Raspberry Pi GPIO control
- pigz (2.4-1)
- Parallel Implementation of GZip
- pike8.0 (8.0.702-1)
- Recommended metapackage for Pike 8.0
- pike8.0-bzip2 (8.0.702-1)
- Bzip2 module for Pike
- pike8.0-core (8.0.702-1)
- Powerful interpreted programming language
- pike8.0-dev (8.0.702-1)
- Development files for Pike 8.0
- pike8.0-dnssd (8.0.702-1)
- DNS Service Discovery (DNS-SD) for Pike
- pike8.0-doc (8.0.702-1)
- Pike 8.0 documentation
- pike8.0-full (8.0.702-1)
- Metapackage for Pike 8.0
- pike8.0-fuse (8.0.702-1)
- Filesystem in USErspace support for Pike
- pike8.0-gdbm (8.0.702-1)
- Gdbm module for Pike
- pike8.0-gl (8.0.702-1)
- Mesa modules for Pike
- pike8.0-image (8.0.702-1)
- Image modules for Pike
- pike8.0-kerberos (8.0.702-1)
- Kerberos and GSSAPI modules for Pike
- pike8.0-manual (8.0.702-1)
- transitional package for merging into pike8.0-doc
- pike8.0-mysql (8.0.702-1)
- MySQL modules for Pike
- pike8.0-odbc (8.0.702-1)
- ODBC modules for Pike
- pike8.0-pcre (8.0.702-1)
- PCRE module for Pike
- pike8.0-pg (8.0.702-1)
- PostgreSQL modules for Pike
- pike8.0-reference (8.0.702-1)
- transitional package for merging into pike8.0-doc
- pike8.0-sane (8.0.702-1)
- SANE module for Pike
- pike8.0-sdl (8.0.702-1)
- SDL module for Pike
- pike8.0-sqlite (8.0.702-1)
- SQLite module for Pike
- pike8.0-svg (8.0.702-1)
- SVG format support for Pike
- pike8.0-web-sass (8.0.702-1)
- Sass CSS precompiler modules for Pike
- pikepdf-doc (1.0.5+dfsg-3~deb10u1)
- Python library to read and write PDFs with QPDF - documentation
- pikopixel.app (1.0-b9d-2+b1)
- Pixel-art editor
- piler (0~20140707-2)
- genomic repeat analysis
- pilon (1.23+dfsg-1)
- automated genome assembly improvement and variant detection tool
- pilot (2.21+dfsg1-1.1)
- Simple file browser from Alpine, a text-based email client
- pilot-link (0.12.5-dfsg-2+b3)
- tools to communicate with a PalmOS PDA
- pim-data-exporter (4:18.08.3-1)
- back up and archive PIM data
- pim-sieve-editor (4:18.08.3-1)
- IMAP Sieve filter editor
- pimd (2.3.2-2)
- multicast routing daemon (PIMv2)
- pinball (0.3.1-14.1)
- Emilia Pinball Emulator
- pinball-data (0.3.1-14.1)
- Data files for the Emilia Pinball Emulator
- pinball-dev (0.3.1-14.1)
- Development files for the Emilia Pinball Emulator
- pinentry
- virtueel pakket geboden door pinentry-curses, pinentry-tty, pinentry-qt, mew-bin, mew-beta-bin, pinentry-gnome3, pinentry-fltk, pinentry-gtk2
- pinentry-curses (1.1.0-2)
- curses-based PIN or pass-phrase entry dialog for GnuPG
- pinentry-doc (1.1.0-2)
- documentation for pinentry packages
- pinentry-fltk (1.1.0-2)
- FLTK-based PIN or pass-phrase entry dialog for GnuPG
- pinentry-gnome3 (1.1.0-2)
- GNOME 3 PIN or pass-phrase entry dialog for GnuPG
- pinentry-gtk2 (1.1.0-2)
- GTK+-2-based PIN or pass-phrase entry dialog for GnuPG
- pinentry-qt (1.1.0-2)
- Qt-based PIN or pass-phrase entry dialog for GnuPG
- pinentry-qt4 (1.1.0-2)
- Qt-based PIN or pass-phrase entry dialog for GnuPG (transitional dummy package)
- pinentry-tty (1.1.0-2)
- minimal dumb-terminal PIN or pass-phrase entry for GnuPG
- pinentry-x11
- virtueel pakket geboden door pinentry-gtk2, pinentry-gnome3, pinentry-fltk, pinentry-qt
- pinentry-x2go (0.7.5.10-1)
- OpenPGP (smart)card authentication dialog window for X2Go Client
- pinfo (0.6.13-1)
- user friendly console-based viewer for info-document
- ping
- virtueel pakket geboden door inetutils-ping, iputils-ping
- pingus (0.7.6-4+b1)
- Vrije kloon van Lemmings(TM)
- pingus-data (0.7.6-4)
- Data files for pingus, a free Lemmings(TM) clone
- pink-pony (1.4.1-2.1+b2)
- 3D racing game with ponies
- pink-pony-data (1.4.1-2.1)
- 3D racing game with ponies - game data
- pink-pony-dbg (1.4.1-2.1+b2)
- 3D racing game with ponies - debug
- pinot (1.05-2+b1)
- meta-search engine for local files and web queries
- pinpoint (1:0.1.8-4)
- hacker-friendly presentation program
- pinto (0.97+dfsg-5)
- application for curating a repository of Perl modules
- pinyin-database (1.2.99-4)
- PinYin database used by ibus-pinyin
- pioneers (15.5-1)
- Settlers of Catan board game
- pioneers-console (15.5-1)
- Settlers of Catan board game - console parts
- pioneers-console-data (15.5-1)
- Settlers of Catan board game - data files for console parts
- pioneers-data (15.5-1)
- Settlers of Catan board game - data files
- pioneers-metaserver (15.5-1)
- Settlers of Catan board game - metaserver
- pipebench (0.40-5)
- measure the speed of stdin/stdout communication
- pipemeter (1.1.3-1+b2)
- cli utility that shows the speed of data moving from input to output
- pipenightdreams (0.10.0-14+b1)
- connect pipes to get the water flowing from inlet to outlet
- pipenightdreams-data (0.10.0-14)
- connect pipes to get the water flowing from inlet to outlet (data files)
- pipenv (11.9.0-1)
- Python's officially recommended packaging tool
- pipewalker (0.9.4-2+b2)
- Puzzle game - connect all computers to the net
- pipewire (0.2.5-1)
- PipeWire multimedia server
- pipexec (2.5.5-2)
- create a directed graph of processes and pipes
- pipsi (0.9-1)
- pip script installer
- pipx (0.12.1.0-1)
- execute binaries from Python packages in isolated environments
- pirs (2.0.2+dfsg-8)
- Profile based Illumina pair-end Reads Simulator
- pirs-examples (2.0.2+dfsg-8)
- profile basd Illumina pair-end Reads Simulator (example data)
- pirs-profiles (2.0.2+dfsg-8)
- profile basd Illumina pair-end Reads Simulator (profile data)
- pisg (0.73-1)
- Perl IRC Statistics Generator
- pithos (1.1.2-1)
- Pandora Radio client for the GNOME desktop
- pitivi (0.999-1+b1)
- niet-lineaire audio/video-editor die gebruikt maakt van GStreamer
- piu-piu (1.0-1)
- Horizontal scroller game in bash for cli.
- piuparts (1.0.0+deb10u1)
- .deb package installation, upgrading, and removal testing tool
- piuparts-common (1.0.0+deb10u1)
- common piuparts components
- piuparts-master (1.0.0+deb10u1)
- piuparts master components
- piuparts-master-from-git-deps (1.0.0+deb10u1)
- dependencies for running piuparts master from git
- piuparts-slave (1.0.0+deb10u1)
- piuparts slave components
- piuparts-slave-from-git-deps (1.0.0+deb10u1)
- dependencies for running piuparts slave from git
- pius (2.2.6-2)
- Tools to help before and after key-signing parties
- pixbros (0.6.3+dfsg-0.1)
- 2D game inspired in Bubble Bobble, Snow Bros and Tumble Pop
- pixelize (1.0.0-1+b2)
- Create an image consisting of many small images
- pixelmed-apps (20150917+git20151209.36f3174+dfsg-1)
- DICOM implementation containing Image Viewer and a ECG Viewer - jnlp
- pixelmed-webstart-apps (20150917+git20151209.36f3174+dfsg-1)
- DICOM implementation containing Image Viewer and a ECG Viewer - jnlp
- pixelmed-www (20150917+git20151209.36f3174+dfsg-1)
- DICOM implementation containing Image Viewer and a ECG Viewer - jnlp
- pixfrogger (1.0+dfsg-0.1)
- help the frog cross the street
- pixiewps (1.4.2-2)
- Offline WPS bruteforce tool
- pixmap (2.6pl4-20+b1)
- A pixmap editor
- pixz (1.0.6-2+b1)
- parallel, indexing XZ compressor/decompressor
- pk-update-icon (1:2.0-1)
- transitional dummy package for package-update-indicator
- pk4 (5+b12)
- make available the Debian source package producing the specified package
- pkcs11-data (0.7.4-2+b3)
- Manage PKCS#11 data objects
- pkcs11-dump (0.3.4-1.1+b3)
- Dump PKCS#11 token content
- pkg-components (0.10)
- debhelper tool to help maintain bundles
- pkg-config (0.29-6)
- manage compile and link flags for libraries
- pkg-config (= 0.29-1)
- virtueel pakket geboden door pkgconf
- pkg-config-mingw-w64-i686
- virtueel pakket geboden door mingw-w64-tools
- pkg-config-mingw-w64-x86-64
- virtueel pakket geboden door mingw-w64-tools
- pkg-haskell-tools (0.12)
- Debian Haskell Group tools
- pkg-js-autopkgtest (0.5)
- collection of autopktest scripts for Nodejs packages
- pkg-js-tools (0.5)
- collection of tools to aid packaging Node modules in Debian
- pkg-kde-tools (0.15.29)
- various packaging tools and scripts for KDE Applications
- pkg-mozilla-archive-keyring (1.2)
- GnuPG archive keys for the Debian Mozilla team package repository
- pkg-perl-autopkgtest (0.51)
- collection of autopktest scripts for Perl packages
- pkg-perl-autopkgtest-heavy
- virtueel pakket geboden door pkg-perl-autopkgtest
- pkg-perl-tools (0.51)
- collection of tools to aid packaging Perl modules in Debian
- pkg-php-tools (1.37)
- various packaging tools and scripts for PHP packages
- pkg-r-autopkgtest (20190121)
- Script for the automatic testing of R packages
- pkgconf (1.6.0-1)
- manage compile and link flags for libraries
- pkgdiff (1.7.2-1)
- tool for visualizing changes in Linux software packages
- pkgsel (0.66)
- Select and install packages
- pkgsync (1.27)
- automated package list synchronization
- pktanon (2~git20160407.0.2bde4f2+dfsg-4)
- profile-based traffic anonymizer
- pktools (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing
- pktools-dev (2.6.7.6+ds-1+b1)
- GDAL add-on tools to perform useful raster processing - development files
- pktstat (1.8.5-5+b1)
- top-like utility for network connections usage
- pkwalify (1.22.99~git3d3f0ea-1)
- perl kwalify validator
- placnet (1.03-3)
- Plasmid Constellation Network project
- plait (1.6.2-1)
- command-line jukebox
- planarity (3.0.0.5-3)
- Program for planarity-related graph algorithms
- planet-venus (0~git9de2109-4.2)
- aggregate feed generator
- planetblupi (1.13.2-3)
- Planet Blupi - A delirious spell-binding game
- planetblupi-common (1.13.2-3)
- Planet Blupi - A delirious spell-binding game - data
- planetblupi-music-midi (1.13.2-3)
- Planet Blupi - A delirious spell-binding game - MIDI music
- planetblupi-music-ogg (1.13.2-3)
- Planet Blupi - A delirious spell-binding game - Ogg music
- planetfilter (0.8.1-5)
- filter for blog aggregators
- planets (0.1.13-19)
- Gravitation simulation of planetary bodies
- plank (0.11.4-4)
- Elegant, simple, clean dock
- planner (0.14.6-7)
- project management application
- planner-data (0.14.6-7)
- Data files for planner
- planner-dev (0.14.6-7)
- Planner development library
- planner-doc (0.14.6-7)
- Documentation for planner
- plantuml (1:1.2018.13+ds-1)
- text-to-UML converter
- plasma-applet-redshift-control (1.0.18-2+deb10u1)
- Adjusts the color temperature of your screen
- plasma-browser-integration (5.14.5-1)
- Chromium integration for Plasma
- plasma-calendar-addons (4:5.14.5.1-1)
- additional calendar plugins for Plasma 5
- plasma-dataengines-addons (4:5.14.5.1-1)
- additional data engines for Plasma
- plasma-desktop (4:5.14.5.1-1)
- Tools and widgets for the desktop
- plasma-desktop-data (4:5.14.5.1-1)
- Tools and widgets for the desktop data files
- plasma-desktop-dev (4:5.14.5.1-1)
- Tools and widgets for the desktop
- plasma-discover (5.14.5.1-1)
- Discover software management suite
- plasma-discover-backend-flatpak (5.14.5.1-1)
- Discover software management suite - Flatpak backend
- plasma-discover-backend-snap (5.14.5.1-1)
- Discover software management suite - Snap backend
- plasma-discover-common (5.14.5.1-1)
- Discover software manager suite (common data files)
- plasma-framework (5.54.0-1)
- Plasma Runtime components
- plasma-gmailfeed (1.1-2)
- plasmoid that shows your Gmail feed with notifications
- plasma-integration (5.14.5-1)
- Qt Platform Theme integration plugins for KDE Plasma
- plasma-kdevelop (4:5.3.1-3)
- KDevelop plugins for Plasma
- plasma-marble (4:17.08.3-3.2)
- Plasma integration for Marble
- plasma-mediacenter (5.7.5-1)
- multimedia center for KDE desktop
- plasma-nm (4:5.14.5-1)
- Plasma5 networkmanager library.
- plasma-pa (4:5.14.5-1)
- Plasma 5 Volume controller
- plasma-runner-installer (3.0.4-1)
- KRunner plugin for installing packages
- plasma-runner-telepathy-contact (17.08.3-1)
- Telepathy Contact KRunner plugin
- plasma-runners-addons (4:5.14.5.1-1)
- additional runners for Plasma 5 and Krunner
- plasma-scriptengine-javascript (4:17.08.3-2.1)
- JavaScript script engine for Plasma
- plasma-sdk (5.14.5-1)
- IDE tailored for development of Plasma components
- plasma-theme-oxygen (4:5.14.5-1)
- Look-and-feel for the Oxygen desktop theme
- plasma-vault (5.14.5-1)
- Plasma applet and services for creating encrypted vaults
- plasma-wallpapers-addons (4:5.14.5.1-1)
- additional wallpaper plugins for Plasma 5
- plasma-widgets-addons (4:5.14.5.1-1)
- additional widgets for Plasma 5
- plasma-workspace (4:5.14.5.1-1)
- Plasma Workspace for KF5
- plasma-workspace-dev (4:5.14.5.1-1)
- Plasma Workspace for KF5 devel files
- plasma-workspace-wallpapers (4:5.14.5-1)
- Wallpapers for Plasma 5
- plasma-workspace-wayland (4:5.14.5.1-1)
- Plasma Workspace for KF5 - Wayland integration
- plasmidomics (0.2.0-7)
- draw plasmids and vector maps with PostScript graphics export
- plasmidseeker (1.0+dfsg-1)
- identification of known plasmids from whole-genome sequencing reads
- plaso (20190131-1)
- super timeline all the things
- plast (2.3.2+dfsg-1)
- Parallel Local Sequence Alignment Search Tool
- plast-example (2.3.2+dfsg-1)
- Parallel Local Sequence Alignment Search Tool (example data)
- plastimatch (1.7.4+dfsg.1-2)
- medical image reconstruction and registration
- play.it (2.11.0-1) [contrib]
- Installer for drm-free commercial games
- playerctl (2.0.1-1)
- utility to control media players via MPRIS
- playitslowly (1.5.0-1.1)
- Plays back audio files at a different speed or pitch
- playmidi (2.4debian-11+b1)
- MIDI player
- playonlinux (4.3.4-1) [contrib]
- front-end for Wine
- plee-the-bear (0.6.0-4+b1)
- 2D platform game
- plee-the-bear-data (0.6.0-4)
- data for Plee the Bear
- plib1.8.4-dev
- virtueel pakket geboden door libplib-dev
- plink (1.07+dfsg-2)
- whole-genome association analysis toolset
- plink1.9 (1.90~b6.6-181012-1)
- whole-genome association analysis toolset
- plinth (19.1+deb10u2)
- easy to manage, privacy oriented home server (transitional package)
- plinth
- virtueel pakket geboden door freedombox
- plip (1.4.3~b+dfsg-2)
- fully automated protein-ligand interaction profiler
- plm (2.6+repack-3)
- Programming exerciser in Java, Python, Scala and others
- ploop (1.15-6)
- tools to work with ploop devices and images
- plopfolio.app (0.1.0-7+b3)
- Personal dashboard for GNUstep
- plotdrop (0.5.4-1)
- minimal GNOME frontend to GNUPlot
- plotnetcfg (0.4.1-3)
- local networking configuration diagram plotter
- plotutils (2.6-10)
- GNU plotutils command line tools based on libplot
- plowshare (2.1.7-2)
- download and upload files from file sharing websites
- plowshare4 (2.1.7-2)
- transitional dummy package
- plplot-doc (5.14.0+dfsg-3)
- Documentation for PLplot, a plotting library
- plplot-driver-cairo (5.14.0+dfsg-3)
- Scientific plotting library (Cairo driver)
- plplot-driver-qt (5.14.0+dfsg-3)
- Scientific plotting library (Qt driver)
- plplot-driver-wxwidgets (5.14.0+dfsg-3)
- Scientific plotting library (wxWidgets driver)
- plplot-driver-xwin (5.14.0+dfsg-3)
- Scientific plotting library (X11 driver)
- plplot-tcl (5.14.0+dfsg-3)
- Tcl/Tk support for PLplot, a plotting library
- plplot-tcl-bin (5.14.0+dfsg-3)
- Tcl/Tk tools for PLplot, a plotting library
- plplot-tcl-dev (5.14.0+dfsg-3)
- Tcl/Tk development support for PLplot, a plotting library
- plptools (1.0.13-1)
- Access EPOC device (Psion PDA) over a serial link
- plsense (0.3.4-1)
- Omni Completion Tool for Perl
- plt-scheme
- virtueel pakket geboden door racket
- plt-scheme-doc
- virtueel pakket geboden door racket-doc
- pluginlib-dev (1.12.1-2)
- ROS library for plugins
- plum (1:2.33.1-11)
- IRC proxy, stationing, logging, and bot program (pirc)
- pluma (1.20.4-1)
- official text editor of the MATE desktop environment
- pluma-common (1.20.4-1)
- official text editor of the MATE desktop environment (common files)
- pluma-dev (1.20.4-1)
- official text editor of the MATE desktop environment (development files)
- pluma-doc (1.20.4-1)
- official text editor of the MATE desktop environment (documentation files)
- pluto-jpl-eph (0.0~git20180228-1.1)
- command line handling of JPL ephemeres data
- pluto-lunar (0.0~git20180825.e34c1d1-1)
- routines for predictions of positions in solar system
- pluxml (5.6-1)
- light blog/CMS engine powered by XML
- plymouth (0.9.4-1.1)
- boot animation, logger and I/O multiplexer
- plymouth-label (0.9.4-1.1)
- boot animation, logger and I/O multiplexer - label control
- plymouth-theme
- virtueel pakket geboden door plymouth-themes, plymouth-theme-hamara
- plymouth-theme-breeze (5.14.5-1)
- Breeze theme for Plymouth
- plymouth-theme-hamara (1.0)
- boot animation, logger and I/O multiplexer - Hamara theme
- plymouth-themes (0.9.4-1.1)
- boot animation, logger and I/O multiplexer - themes
- plymouth-themes-all
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-fade-in
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-glow
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-script
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-solar
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-spinfinity
- virtueel pakket geboden door plymouth-themes
- plymouth-themes-spinner
- virtueel pakket geboden door plymouth-themes
- plymouth-x11 (0.9.4-1.1)
- boot animation, logger and I/O multiplexer - X11 renderer
- plzip (1.8-3)
- parallel, lossless data compressor based on the LZMA algorithm
- pm-utils (1.4.1-18)
- utilities and scripts for power management
- pmac-fdisk-cross (0.1-18)
- fdisk partition manipulation tool for PowerPC, cross version
- pmacct (1.7.2-3)
- promiscuous mode traffic accountant
- pmailq (0.5-2)
- postfix mail queue manager
- pmake (20160220-2)
- NetBSD make (transitional package)
- pmake
- virtueel pakket geboden door bmake
- pmccabe (2.6+b2)
- McCabe-style function complexity and line counting for C and C++
- pmd2odg (0.9.6-2)
- Apple Pagemaker to OpenDocument converter
- pmdk-tools (1.5.1-1)
- Persistent Memory management tools
- pmidi (1.7.1-1)
- command line midi player for ALSA
- pmount (0.9.23-3+b2)
- mount removable devices as normal user
- pms (0.42-1+b3)
- Practical Music Search, an MPD client
- pmtools (2.2.0-1)
- Perl module tools
- pmuninstall (0.30-3)
- script to uninstall modules installed from CPAN
- pmw (1:4.30-1)
- Philip's Music Writer
- pmw-doc (1:4.30-1)
- Philip's Music Writer - Documentation
- pmx
- virtueel pakket geboden door texlive-music
- pnetcdf-bin (1.10.0-3+b1)
- Programs for reading and writing parallel NetCDF files
- png-definitive-guide (20060430-2)
- PNG: The Definitive Guide
- png23d (1.10-1.2+b2)
- Converts PNG images into three dimensional representations.
- png2html (1.1-7)
- transforms a PNG image to a web page
- pngcheck (3.0.3-1~deb10u2) [security]
- print info and check PNG, JNG and MNG files
- pngcrush (1.8.13-0.1)
- optimizes PNG (Portable Network Graphics) files
- pngmeta (1.11-9)
- Display metadata information from PNG images
- pngnq (1.0-2.3+b1)
- tool for optimizing PNG (Portable Network Graphics) images
- pngphoon (1.2-1+b1)
- Creates a png file with the current phase of the moon
- pngquant (2.12.2-1)
- PNG (Portable Network Graphics) image optimising utility
- pngtools (0.4-1.3)
- series of tools for PNG (Portable Network Graphics) images
- pnmixer (0.7.2-1)
- Simple mixer application for system tray
- pnmtopng
- virtueel pakket geboden door netpbm
- pnopaste (1.7-1)
- Pastebin with syntax highlighting
- pnopaste-cli (1.7-1)
- Pastebin with syntax highlighting (cli tool)
- pnscan (1.12+git20180612-1)
- Multi threaded port scanner
- po-debconf (1.0.21)
- tool for managing templates file translations with gettext
- po4a (0.55-1)
- tools to ease the translation of documentation
- poa (2.0+20060928-7)
- Partial Order Alignment for multiple sequence alignment
- poc-streamer (0.4.2-4)
- MP3/Ogg multicast/HTTP streamer and MP3 cutting tool
- pocketsphinx (0.8+5prealpha+1-2+b2)
- Speech recognition tool
- pocketsphinx-en-us (0.8+5prealpha+1-2)
- Speech recognition tool - US English language model
- pocl-doc (1.2-5)
- documentation for the pocl library
- pocl-opencl-icd (1.2-5)
- pocl ICD
- pod2pdf (0.42-5)
- Plain Old Documentation to Portable Document Format converter
- podget (0.8.5-1)
- Podcast aggregrator/downloader optimized for cron
- podlators-perl (= 4.10)
- virtueel pakket geboden door perl
- podracer (1.4-4)
- podcast aggregator/downloader
- poe.app (0.5.1-5+b8 [amd64, i386], 0.5.1-5+b5 [armhf], 0.5.1-5+b4 [arm64])
- Vorbis comment editor
- poedit (2.2.1-2)
- gettext cataloguseditor
- poedit-common (2.2.1-2)
- Common files for poedit
- poezio (0.12.1-3)
- Console-based XMPP client
- pokemmo-installer (1.4.7-2) [contrib]
- Installer and Launcher for the PokeMMO emulator
- pokerth (1.1.2-1+b2)
- Texas hold'em pokerspel
- pokerth-data (1.1.2-1)
- Texas hold'em game - common data files
- pokerth-server (1.1.2-1+b2)
- Texas hold'em game - server
- polari (3.30.2-1)
- Internet Relay Chat (IRC) client
- polenum (0.2-4)
- Extracts the password policy from a Windows system
- policycoreutils (2.8-1)
- SELinux core policy utilities
- policycoreutils-dbus (2.8-1)
- SELinux core policy utilities (D-Bus daemon)
- policycoreutils-dev (2.8-3)
- SELinux core policy utilities (development utilities)
- policycoreutils-gui (2.8-3)
- SELinux core policy utilities (graphical utilities)
- policycoreutils-python-utils (2.8-3)
- SELinux core policy utilities (Python utilities)
- policycoreutils-sandbox (2.8-3)
- SELinux core policy utilities (graphical sandboxes)
- policyd-rate-limit (1.0.1.1-0+deb10u1)
- postfix policy daemon limiting the number of mails a user can send
- policyd-weight (0.1.15.2-12)
- Perl policy daemon for the Postfix MTA
- policykit-1 (0.105-25+deb10u1)
- framework for managing administrative policies and privileges
- policykit-1-doc (0.105-25+deb10u1)
- documentation for PolicyKit-1
- policykit-1-gnome (0.105-7)
- authentication agent for PolicyKit
- policyrcd-script-zg2 (0.1-3)
- policy-compliant interface from invoke-rc.d to local config files
- polipo (1.1.1-10)
- lightweight, caching web proxy
- polkit-1-auth-agent
- virtueel pakket geboden door mate-polkit, policykit-1-gnome, gnome-shell, gnome-flashback, lxqt-policykit, lxpolkit, polkit-kde-agent-1
- polkit-kde-1 (4:5.14.5-1)
- transitional dummy package
- polkit-kde-agent-1 (4:5.14.5-1)
- KDE dialogs for PolicyKit
- polled-camera-tool (1.11.13-3+b1)
- Robot OS polled_camera package tool
- pollinate (4.33-2)
- seed the pseudo random number generator
- polygen (1.0.6.ds2-18)
- generator of random sentences from grammar definitions
- polygen-data (1.0.6.ds2-18)
- grammar definitions for PolyGen
- polyglot (2.0.4-2)
- chess engine protocol adaptor, connects UCI engines to xboard
- polylib-utils (5.22.5-4+dfsg)
- Various tools using libpolylib
- polymake (3.2r4-4)
- Tool for algorithmic discrete geometry
- polymake-common (3.2r4-4)
- Tool for algorithmic discrete geometry -- shared files
- polyml (5.7.1-2)
- interpreter and interactive compiler for Standard ML
- polyml-modules (5.7.1-2)
- modules for Poly/ML, a compiler for Standard ML
- pommed (1.39~dfsg-5)
- Apple laptops hotkeys event handler
- pompem (0.2.0-4)
- Exploit and Vulnerability Finder
- pondus (0.8.0-4)
- personal weight manager for GTK+2
- pong2 (0.1.3-2)
- Remake of old arcade classic in OpenGL
- ponyprog (3.0.2+ds-1)
- Serial device programmer
- poolcounter (1.1.2-2)
- network daemon which provides mutex-like functionality
- pop3-server
- virtueel pakket geboden door citadel-server, dovecot-pop3d, courier-pop, solid-pop3d, popa3d, cyrus-pop3d, mailutils-pop3d
- pop3browser (0.4.1-7)
- Allows one to check a pop3 mailbox before downloading any mail
- popa3d (1.0.3-1+b1)
- Tiny POP3 daemon, designed with security as the primary goal
- popcon
- virtueel pakket geboden door popularity-contest
- poppass-cgi (3-6)
- CGI script to interact with a poppassd server
- poppassd (1.8.5-4.1+b1)
- password change server for Eudora and NUPOP
- poppler-data (0.4.9-2)
- encoding data for the poppler PDF rendering library
- poppler-utils (0.71.0-5+deb10u3) [security]
- PDF utilities (based on Poppler)
- popularity-contest (1.67)
- Vote for your favourite packages automatically
- populations (1.2.33+svn0120106+dfsg-2)
- population genetic software
- porechop (0.2.4+dfsg-1)
- adapter trimmer for Oxford Nanopore reads
- poretools (0.6.0+dfsg-3)
- toolkit for nanopore nucleotide sequencing data
- poretools-data (0.6.0+dfsg-3)
- toolkit for nanopore nucleotide sequencing data -- sample datasets
- porg (2:0.10-1.1)
- Package manager/organizer for software installed from source
- portaudio19-dev (19.6.0-1+deb10u1)
- Portable audio I/O - development files
- portaudio19-doc (19.6.0-1+deb10u1)
- Portable audio I/O - documentation
- portmap
- virtueel pakket geboden door rpcbind
- portreserve (0.0.4-1+b2)
- Port reservation program
- portsentry (1.2-14+b1)
- Portscan detection daemon
- posh (0.13.2)
- Policy-compliant Ordinary SHell
- posixtestsuite (1.5.2-8)
- POSIX conformance test suite report log
- post-el (1:2.6-2)
- emacs major mode for editing mail
- postal (0.75)
- SMTP benchmark - the mad postman.
- postbooks (4.11.3-2+b1)
- multi-user accounting / CRM / ERP suite (GUI)
- postbooks-schema
- virtueel pakket geboden door postbooks-schema-empty, postbooks-schema-quickstart, postbooks-schema-demo
- postbooks-schema-common (1:4.11.3-1)
- multi-user accounting / CRM / ERP suite (demo database)
- postbooks-schema-demo (1:4.11.3-1)
- multi-user accounting / CRM / ERP suite (demo database)
- postbooks-schema-empty (1:4.11.3-1)
- multi-user accounting / CRM / ERP suite (empty database)
- postbooks-schema-quickstart (1:4.11.3-1)
- multi-user accounting / CRM / ERP suite (quickstart database)
- postbooks-updater (2.4.0-6)
- multi-user accounting / CRM / ERP suite (database update manager)
- poster (1:20050907-1.1)
- Create large posters out of PostScript pages
- posterazor (1.5.1-2+b1)
- splits an image across multiple pages for assembly into a poster
- postfix (3.4.23-0+deb10u2) [security]
- High-performance mail transport agent
- postfix-cdb (3.4.23-0+deb10u2) [security]
- CDB map support for Postfix
- postfix-doc (3.4.23-0+deb10u2) [security]
- Documentation for Postfix
- postfix-gld (1.7-8+b1)
- greylisting daemon for postfix, written in C, uses MySQL
- postfix-ldap (3.4.23-0+deb10u2) [security]
- LDAP map support for Postfix
- postfix-lmdb (3.4.23-0+deb10u2) [security]
- LMDB map support for Postfix
- postfix-mysql (3.4.23-0+deb10u2) [security]
- MySQL map support for Postfix
- postfix-pcre (3.4.23-0+deb10u2) [security]
- PCRE map support for Postfix
- postfix-pgsql (3.4.23-0+deb10u2) [security]
- PostgreSQL map support for Postfix
- postfix-policyd-spf-perl (2.011-1)
- Simple Postfix policy server for RFC 4408/7208 SPF checking
- postfix-policyd-spf-python (2.9.2-0+deb10u1)
- Postfix policy server for SPF checking
- postfix-sqlite (3.4.23-0+deb10u2) [security]
- SQLite map support for Postfix
- postfixadmin (3.2.1-2)
- Virtual mail hosting interface for Postfix
- postfwd (1.35-5)
- Postfix policyd to combine complex restrictions in a ruleset
- postgis (2.5.1+dfsg-1)
- Geographic objects support for PostgreSQL
- postgis-doc (2.5.1+dfsg-1)
- Geographic objects support for PostgreSQL -- documentation
- postgis-gui (2.5.1+dfsg-1)
- Geographic objects support for PostgreSQL -- GUI programs
- postgresql (11+200+deb10u5)
- object-relational SQL database (supported version)
- postgresql-11 (11.22-0+deb10u2) [security]
- object-relational SQL database, version 11 server
- postgresql-11-asn1oid (1.2-2)
- ASN.1 OID data type for PostgreSQL
- postgresql-11-bgw-replstatus (1.0.3)
- report whether PostgreSQL node is master or standby
- postgresql-11-citus (8.0.0.PGDG-2)
- sharding and distributed joins for PostgreSQL
- postgresql-11-cron (1.1.3-2)
- Run periodic jobs in PostgreSQL
- postgresql-11-cstore-fdw (1.6.2-1)
- PostgreSQL foreign data wrapper for columnar storage
- postgresql-11-debversion (1.1.1-2)
- Debian version number type for PostgreSQL
- postgresql-11-dirtyread (2.0-3)
- Read dead but unvacuumed tuples from a PostgreSQL relation
- postgresql-11-hll (2.12-3)
- HyperLogLog extension for PostgreSQL
- postgresql-11-hypopg (1.1.2-1)
- PostgreSQL extension adding support for hypothetical indexes.
- postgresql-11-ip4r (2.4-1)
- IPv4 and IPv6 types for PostgreSQL 11
- postgresql-11-jsquery (1.1.1-1)
- PostgreSQL JSON query language with GIN indexing support
- postgresql-11-mimeo (1.5.1-4)
- specialized, per-table replication between PostgreSQL instances
- postgresql-11-mysql-fdw (2.5.1-1)
- Postgres 11 Foreign Data Wrapper for MySQL
- postgresql-11-numeral (1.0-2)
- numeral datatypes for PostgreSQL
- postgresql-11-ogr-fdw (1.0.7-2)
- PostgreSQL foreign data wrapper for OGR
- postgresql-11-orafce (3.7.2-2)
- Oracle support functions for PostgreSQL 11
- postgresql-11-partman (4.0.0-1)
- PostgreSQL Partition Manager
- postgresql-11-pg-checksums (0.8-3)
- Activate/deactivate/verify PostgreSQL data checksums
- postgresql-11-pg-fact-loader (1.5.2-1)
- Build fact tables asynchronously with Postgres
- postgresql-11-pg-qualstats (1.0.7-1)
- PostgreSQL extension to gather statistics about predicates.
- postgresql-11-pg-stat-kcache (2.1.1-2)
- PostgreSQL extension to gather per-query kernel statistics.
- postgresql-11-pgagent
- virtueel pakket geboden door pgagent
- postgresql-11-pgaudit (1.3.0-2)
- PostgreSQL Audit Extension
- postgresql-11-pgextwlist (1.8-2)
- PostgreSQL Extension Whitelisting
- postgresql-11-pgfincore (1.2.1-2)
- set of PostgreSQL functions to manage blocks in memory
- postgresql-11-pgl-ddl-deploy (1.5.1-1)
- Transparent DDL replication for PostgreSQL
- postgresql-11-pglogical (2.2.1-4+deb10u1)
- Logical Replication Extension for PostgreSQL
- postgresql-11-pglogical-ticker (1.3.1-1)
- Have time-based replication delay for pglogical
- postgresql-11-pgmemcache (2.3.0-6)
- PostgreSQL interface to memcached
- postgresql-11-pgmp (1.0.2-6)
- arbitrary precision integers and rationals for PostgreSQL 11
- postgresql-11-pgpool2 (4.0.2-1)
- connection pool server and replication proxy for PostgreSQL - modules
- postgresql-11-pgq-node (3.2.5-3)
- Cascaded queueing on top of PgQ
- postgresql-11-pgq3 (3.2.6-8)
- Generic queue for PostgreSQL
- postgresql-11-pgrouting (2.6.2-1)
- Routing functionality support for PostgreSQL/PostGIS
- postgresql-11-pgrouting-doc (2.6.2-1)
- Routing functionality support for PostgreSQL/PostGIS (Documentation)
- postgresql-11-pgrouting-scripts (2.6.2-1)
- Routing functionality support for PostgreSQL/PostGIS - SQL scripts
- postgresql-11-pgsphere
- virtueel pakket geboden door postgresql-pgsphere
- postgresql-11-pgtap (0.99.0-2)
- Unit testing framework extension for PostgreSQL 11
- postgresql-11-pldebugger (1:1.0-10-g2a298eb-1)
- PostgreSQL pl/pgsql Debugger API
- postgresql-11-pllua (1:2.0.1-1)
- Lua procedural language for PostgreSQL 11
- postgresql-11-plproxy (2.8-3)
- database partitioning system for PostgreSQL 11
- postgresql-11-plr (1:8.3.0.18-2)
- Procedural language interface between PostgreSQL and R
- postgresql-11-plsh (1.20171014-3)
- PL/sh procedural language for PostgreSQL 11
- postgresql-11-postgis
- virtueel pakket geboden door postgresql-11-postgis-2.5
- postgresql-11-postgis-2.5 (2.5.1+dfsg-1)
- Geographic objects support for PostgreSQL 11
- postgresql-11-postgis-2.5-scripts (2.5.1+dfsg-1)
- Geographic objects support for PostgreSQL 11 -- SQL scripts
- postgresql-11-postgis-scripts
- virtueel pakket geboden door postgresql-11-postgis-2.5-scripts
- postgresql-11-powa (3.2.0-1)
- PostgreSQL Workload Analyzer -- PostgreSQL 11 extension
- postgresql-11-prefix (1.2.8-3)
- Prefix Range module for PostgreSQL
- postgresql-11-preprepare (0.9-2)
- pre prepare your PostgreSQL statements server side
- postgresql-11-prioritize (1.0.4-5)
- Get and set the nice priorities of PostgreSQL backends
- postgresql-11-python-multicorn (1.3.4-4+deb10u1) [security]
- multicorn extension for Postgres 11 to write FDWs with python2
- postgresql-11-python3-multicorn (1.3.4-4+deb10u1) [security]
- multicorn extension for Postgres 11 to write FDWs with python3
- postgresql-11-q3c
- virtueel pakket geboden door postgresql-q3c
- postgresql-11-rational (0.0.1-1)
- Precise fractional arithmetic for PostgreSQL
- postgresql-11-rdkit (201809.1+dfsg-6)
- Cheminformatics and machine-learning software (PostgreSQL Cartridge)
- postgresql-11-repack (1.4.4-2)
- reorganize tables in PostgreSQL databases with minimal locks
- postgresql-11-repmgr (4.2.0-2)
- replication manager for PostgreSQL 11
- postgresql-11-rum (1.3.2-4)
- PostgreSQL RUM access method
- postgresql-11-similarity (1.0-2)
- PostgreSQL similarity functions extension
- postgresql-11-slony1
- virtueel pakket geboden door postgresql-11-slony1-2
- postgresql-11-slony1-2 (2.2.7-2)
- replication system for PostgreSQL: PostgreSQL 11 server plug-in
- postgresql-11-snakeoil (1.1-1+b1)
- PostgreSQL anti-virus scanner based on ClamAV
- postgresql-11-toastinfo (1.1-3)
- Show storage structure of varlena datatypes in PostgreSQL
- postgresql-11-unit (7.1-1)
- SI Units for PostgreSQL
- postgresql-11-wal2json (1.0-5)
- PostgreSQL logical decoding JSON output plugin
- postgresql-all (11+200+deb10u5)
- metapackage depending on all PostgreSQL server packages
- postgresql-autodoc (1.40-3)
- Utility to create a PostgreSQL database schema overview in HTML, DOT and XML
- postgresql-client (11+200+deb10u5)
- front-end programs for PostgreSQL (supported version)
- postgresql-client
- virtueel pakket geboden door postgresql-client-11
- postgresql-client-11 (11.22-0+deb10u2) [security]
- front-end programs for PostgreSQL 11
- postgresql-client-common (200+deb10u5)
- manager for multiple PostgreSQL client versions
- postgresql-common (200+deb10u5)
- PostgreSQL database-cluster manager
- postgresql-comparator (2.3.1-4)
- efficient PostgreSQL table content comparison and synchronization
- postgresql-contrib (11+200+deb10u5)
- additional facilities for PostgreSQL (supported version)
- postgresql-contrib-11
- virtueel pakket geboden door postgresql-11
- postgresql-doc (11+200+deb10u5)
- documentation for the PostgreSQL database management system
- postgresql-doc-11 (11.22-0+deb10u2) [security]
- documentation for the PostgreSQL database management system
- postgresql-filedump (11.0-1)
- Dump PostgreSQL files in human-readable form
- postgresql-pgsphere (1.1.1+2018.10.13-1)
- Spherical data types for PostgreSQL
- postgresql-pgtap
- virtueel pakket geboden door postgresql-11-pgtap
- postgresql-plperl
- virtueel pakket geboden door postgresql-plperl-11
- postgresql-plperl-11 (11.22-0+deb10u2) [security]
- PL/Perl procedural language for PostgreSQL 11
- postgresql-plpython
- virtueel pakket geboden door postgresql-plpython-11
- postgresql-plpython-11 (11.22-0+deb10u2) [security]
- PL/Python procedural language for PostgreSQL 11
- postgresql-plpython3
- virtueel pakket geboden door postgresql-plpython3-11
- postgresql-plpython3-11 (11.22-0+deb10u2) [security]
- PL/Python 3 procedural language for PostgreSQL 11
- postgresql-plr
- virtueel pakket geboden door postgresql-11-plr
- postgresql-pltcl
- virtueel pakket geboden door postgresql-pltcl-11
- postgresql-pltcl-11 (11.22-0+deb10u2) [security]
- PL/Tcl procedural language for PostgreSQL 11
- postgresql-postgis
- virtueel pakket geboden door postgresql-11-postgis-2.5
- postgresql-postgis-scripts
- virtueel pakket geboden door postgresql-11-postgis-2.5-scripts
- postgresql-python-multicorn
- virtueel pakket geboden door postgresql-11-python-multicorn
- postgresql-python3-multicorn
- virtueel pakket geboden door postgresql-11-python3-multicorn
- postgresql-q3c (1.6.0-1)
- PostgreSQL extension used for indexing the sky
- postgresql-server-dev-11 (11.22-0+deb10u2) [security]
- development files for PostgreSQL 11 server-side programming
- postgresql-server-dev-all (200+deb10u5)
- extension build tool for multiple PostgreSQL versions
- postgrey (1.36-5.1)
- greylisting implementation for Postfix
- postmark (1.53-2+b1)
- File system benchmark from NetApp
- postnews (0.7-1)
- Usenet article postings via NNTP from the command line
- postscript-viewer
- virtueel pakket geboden door zathura-ps, okular, ghostscript, atril, evince, gv
- postsrsd (1.5-2+deb10u2)
- Sender Rewriting Scheme (SRS) lookup table for Postfix
- potool (0.16-4)
- program to aid manipulation of gettext po files
- potrace (1.15-1)
- utility to transform bitmaps into vector graphics
- povray (1:3.7.0.8-1)
- Persistence of vision raytracer (3D renderer)
- povray-doc (1:3.7.0.8-1)
- Persistence of vision raytracer (3D renderer) documentation
- povray-examples (1:3.7.0.8-1)
- Persistence of vision raytracer (3D renderer) sample files
- povray-includes (1:3.7.0.8-1)
- Persistence of vision raytracer (3D renderer) include files
- powder (118+dfsg1-1) [non-free]
- Graphical dungeon crawling game
- power-calibrate (0.01.26-1)
- processor power calibration tool
- powercap-utils (0.1.1-1)
- Utilities for accessing the powercap Linux kernel feature
- powerdebug (0.7.0-2013.08-1+b3)
- tool to display regulator, sensor and clock information
- powerdevil (4:5.14.5-1)
- Global power saver settings.
- powerdevil-data (4:5.14.5-1)
- Global power saver settings data files.
- powerdevil-dev (4:5.14.5-1)
- Global power saver settings.
- powerline (2.7-2)
- prompt and statusline utility
- powerline-doc (2.7-2)
- prompt and statusline utility (documentation)
- powerline-gitstatus (1.3.2-0+deb10u1) [security]
- Powerline Git segment
- powerman (2.3.5-1+b2)
- Centralized Power Distribution Unit (PDU) management
- powermanga (0.93.1-3)
- vertical shoot 'em up with colourful 3D graphics
- powermanga-data (0.93.1-3)
- graphics and audio data for powermanga
- powermgmt-base (1.34)
- common utils for power management
- powerstat (0.02.18-1)
- laptop power measuring tool
- powertop (2.8-1+b2)
- diagnose issues with power consumption and management
- powertop-dbg (2.8-1+b2)
- debugging symbols for powertop
- poxml (4:17.08.3-1)
- tools for translating DocBook XML files with Gettext
- pp-popularity-contest (1.0.6-4+b1)
- PredictProtein popularity contest
- ppdfilt (2:0.10-7.3)
- filter that inserts printer specific commands into print jobs
- ppl-dev (1:1.2-7)
- Parma Polyhedra Library (development binaries)
- ppp (2.4.7-2+4.1+deb10u1)
- Point-to-Point Protocol (PPP) - daemon
- ppp-dev (2.4.7-2+4.1+deb10u1)
- Point-to-Point Protocol (PPP) - development files
- ppp-gatekeeper (0.1.0-201406111015-1)
- PPP manager for handling balanced, redundant and failover links
- ppp-modules
- virtueel pakket geboden door ppp-modules-4.19.0-20-686-di, ppp-modules-4.19.0-21-armmp-di, ppp-modules-4.19.0-21-amd64-di, ppp-modules-4.19.0-20-armmp-di, ppp-modules-4.19.0-20-arm64-di, ppp-modules-4.19.0-20-686-pae-di, ppp-modules-4.19.0-20-amd64-di, ppp-modules-4.19.0-21-686-di, ppp-modules-4.19.0-21-arm64-di, ppp-modules-4.19.0-21-686-pae-di
- ppp-modules-4.19.0-20-686-di (4.19.235-1)
- PPP drivers
- ppp-modules-4.19.0-20-686-pae-di (4.19.235-1)
- PPP drivers
- ppp-modules-4.19.0-20-amd64-di (4.19.235-1)
- PPP drivers
- ppp-modules-4.19.0-20-arm64-di (4.19.235-1)
- PPP drivers
- ppp-modules-4.19.0-20-armmp-di (4.19.235-1)
- PPP drivers
- ppp-modules-4.19.0-21-686-di (4.19.249-2)
- PPP drivers
- ppp-modules-4.19.0-21-686-pae-di (4.19.249-2)
- PPP drivers
- ppp-modules-4.19.0-21-amd64-di (4.19.249-2)
- PPP drivers
- ppp-modules-4.19.0-21-arm64-di (4.19.249-2)
- PPP drivers
- ppp-modules-4.19.0-21-armmp-di (4.19.249-2)
- PPP drivers
- ppp-udeb (2.4.7-2+4.1+deb10u1)
- Point-to-Point Protocol (PPP) - package for Debian Installer
- pppconfig (2.3.23)
- Text menu based utility for configuring ppp
- pppdcapiplugin (1:3.25+dfsg1-10)
- ISDN utilities - pppd plug-in for CAPI support
- pppoe (3.12-1.2)
- PPP over Ethernet stuurprogramma
- pppoeconf (1.21)
- configureert PPPoE/ADSL verbindingen
- pprepair (0.0~20170614-dd91a21-3+b1)
- planar partition repair tool
- pps-tools (1.0.2-1)
- LinuxPPS support tools and headers
- ppsh (1.7-2+b2)
- Program to parse and pretty print arbitrary Haskell Show output
- pptp-linux (1.10.0-1)
- Point-to-Point Tunneling Protocol (PPTP) Client
- pptpd (1.4.0-11+b1)
- PoPToP Point to Point Tunneling Server
- pqiv (2.11-1+b1)
- Powerful image viewer with minimal UI
- pr3287 (3.6ga4-3+b1)
- IBM 3287 printer emulation for telnet sessions to IBM mainframes
- praat (6.0.48-1)
- program for speech analysis and synthesis
- prads (0.3.3-1+b1)
- Passive Real-time Asset Detection System
- praelector (0.5-1)
- helps one to read a Latin phrase in a "natural" way
- pragha (1.3.3-1)
- Lightweight Music Player
- prank (0.0.170427+dfsg-2)
- Probabilistic Alignment Kit for DNA, codon and amino-acid sequences
- praw-doc (6.1.1-1)
- Python Reddit API Wrapper (Documentation)
- prayer (1.3.5-dfsg1-6)
- standalone IMAP-based webmail server
- prayer-accountd (1.3.5-dfsg1-6)
- account management daemon for Prayer
- prayer-templates-dev (1.3.5-dfsg1-6)
- tools for compiling Prayer templates
- prayer-templates-src (1.3.5-dfsg1-6)
- templates for customizing Prayer Webmail
- prboom-plus (2:2.5.1.5+svn4539+dfsg1-1)
- enhanced clone of the classic first-person shooter Doom
- prboom-plus-game-server (2:2.5.1.5+svn4539+dfsg1-1)
- enhanced clone of the classic first-person shooter Doom (server)
- prctl (1.6-1)
- Process control operations
- predictnls (1.0.20-5)
- prediction and analysis of protein nuclear localization signals
- predictprotein (1.1.08-1)
- suite of protein sequence analysis tools
- prelink (0.0.20131005-1+b10)
- ELF prelinking utility to speed up dynamic linking
- prelude-correlator (4.1.1-2)
- Security Information and Events Management System [ Correlator ]
- prelude-lml (4.1.0-2)
- Security Information and Events Management System [ Log Agent ]
- prelude-lml-rules (4.1.0-1)
- Security Information and Events Management System [ LML Rules ]
- prelude-manager (4.1.1-2)
- Security Information and Events Management System [ Manager ]
- prelude-notify (0.9.1-1.1)
- Security Information Management System [ Desktop Notifier ]
- prelude-utils (4.1.0-4.2)
- Security Information and Events Management system [ Utils ]
- preludedb-utils (4.1.0-2+b2)
- Security Information and Events Management System [ Library utils ]
- premake4 (4.3+repack1-2+b1)
- cross-platform build script generator
- prepair (0.7.1-3+b2)
- polygon repair tool
- prepair-data (0.7.1-3)
- polygon repair tool -- example data
- preprocess (1.1.0+ds-1)
- portable multi-language file preprocessor
- prerex (6.5.4-1)
- course prerequisite chart editor for LaTeX/TikZ
- presage (0.9.1-2.1+b2)
- intelligent predictive text entry platform (tools and demos)
- presage-dbg (0.9.1-2.1+b2)
- intelligent predictive text entry platform (tools debugging symbols)
- presage-dbus (0.9.1-2.1)
- intelligent predictive text entry platform (D-Bus service)
- preseed-common (1.102)
- common files for preseeding
- presentty (0.2.0-1)
- Console-based presentation software
- preview-latex
- virtueel pakket geboden door auctex
- preview-latex-style (11.91-2)
- extraction of elements from LaTeX documents as graphics
- preview.app (0.8.5-11+b1)
- General purpose image viewer for GNUstep
- previsat (3.5.1.7+dfsg1-3)
- satellite tracking software for observing purposes
- prewikka (4.1.5-3)
- Security Information and Events Management System [ Web Interface ]
- price.app (1.3.0-3+b1)
- Image filtering and manipulation using GNUstep
- prime-phylo (1.0.11-7+b1)
- bayesian estimation of gene trees taking the species tree into account
- primer3 (2.4.0-2)
- tool to design flanking oligo nucleotides for DNA amplification
- primer3-examples (2.4.0-2)
- tool to design flanking oligo nucleotides for DNA amplification (examples)
- primesieve (7.3+ds-1)
- fast prime number generator C/C++ library
- primesieve-bin (7.3+ds-1)
- fast prime number generator C/C++ library -- bin
- primesieve-doc (7.3+ds-1)
- fast prime number generator C/C++ library -- doc
- primrose (6+dfsg1-4)
- compelling tile-placement puzzle game
- primus (0~20150328-7)
- client-side GPU offloading for NVIDIA Optimus
- primus-libs (0~20150328-7)
- Shared libraries for primus
- primus-libs-ia32 (0~20150328-7)
- Shared libraries for primus (32-bit)
- princeprocessor (0.22-1)
- standalone password candidate generator using the PRINCE algorithm
- print-manager (4:18.12.1-2+deb10u1)
- printer configuration and monitoring tools
- printemf (1.0.9+git.10.3231442-2)
- Enhanced Metafile library (executable)
- printer-driver-all (0.20170124)
- printer drivers metapackage
- printer-driver-all-enforce (0.20170124)
- printer drivers metapackage - enforcing version
- printer-driver-brlaser (4-1)
- printer driver for (some) Brother laser printers
- printer-driver-c2050 (0.3b-8)
- printer driver for Lexmark 2050 Color Jetprinter
- printer-driver-c2esp (27-4)
- printer driver for Kodak ESP AiO color inkjet Series
- printer-driver-cjet (0.8.9-7)
- printer driver for Canon LBP laser printers
- printer-driver-cups-pdf (3.0.1-5)
- printer driver for PDF writing via CUPS
- printer-driver-dymo (1.4.0-8)
- printer driver for DYMO label printers
- printer-driver-escpr (1.6.33-1)
- printer driver for Epson Inkjet that use ESC/P-R
- printer-driver-foo2zjs (20171202dfsg0-2)
- printer driver for ZjStream-based printers
- printer-driver-foo2zjs-common (20171202dfsg0-2)
- printer driver for ZjStream-based printers - common files
- printer-driver-fujixerox (1.1.0+ds-3)
- printer driver for Fuji Xerox printers
- printer-driver-gutenprint (5.3.1-7)
- printer drivers for CUPS
- printer-driver-hpcups (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - CUPS Raster driver (hpcups)
- printer-driver-hpijs (3.18.12+dfsg0-2)
- HP Linux Printing and Imaging - printer driver (hpijs)
- printer-driver-indexbraille (1.2.3-1)
- CUPS printing to Index Braille printers
- printer-driver-m2300w (0.51-13)
- printer driver for Minolta magicolor 2300W/2400W color laser printers
- printer-driver-min12xxw (0.0.9-11)
- printer driver for KonicaMinolta PagePro 1[234]xxW
- printer-driver-oki (1.0.1-1)
- printer driver for OKI Data printers
- printer-driver-pnm2ppa (1.13-9)
- printer driver for HP-GDI printers
- printer-driver-postscript-hp (3.18.12+dfsg0-2)
- HP Printers PostScript Descriptions
- printer-driver-ptouch (1.4.2-3)
- printer driver Brother P-touch label printers
- printer-driver-pxljr (1.4+repack0-5)
- printer driver for HP Color LaserJet 35xx/36xx
- printer-driver-sag-gdi (0.1-7)
- printer driver for Ricoh Aficio SP 1000s/SP 1100s
- printer-driver-splix (2.0.0+svn315-7)
- Driver for Samsung and Xerox SPL2 and SPLc laser printers
- printrun (1.6.0-2)
- 3D printing host suite
- prips (1.1.1-2)
- tool that prints the IP addresses in a given range
- pristine-tar (1.46)
- regenerate pristine tarballs
- privbind (1.2-1.1+b2)
- Allow unprivileged apps to bind to a privileged port
- privoxy (3.0.28-2+deb10u2)
- Privacy enhancing HTTP Proxy
- proalign (0.603-4)
- Probabilistic multiple alignment program
- probabel (0.5.0+dfsg-3)
- Toolset for Genome-Wide Association Analysis
- probabel-examples (0.5.0+dfsg-3)
- Example files for ProbABEL
- probalign (1.4-8)
- multiple sequence alignment using partition function posterior probabilities
- probcons (1.12-12)
- PROBabilistic CONSistency-based multiple sequence alignment
- (1.12-12)
- Extra programs from the probcons package
- procenv (0.50-1)
- Utility to show process environment
- process-viewer (0.2.0-1)
- Process viewer GTK GUI written in rust
- procinfo (1:2.0.304-3+b1)
- tools to display information from /proc and /sys
- procinfo-ng
- virtueel pakket geboden door procinfo
- procmail (3.22-26+deb10u1)
- Veelzijdige e-mail processor
- procmail-lib (1:2009.1202-4)
- procmail library of plug-in recipes
- procmeter3 (3.6-2)
- graphical system status monitor
- procps (2:3.3.15-2)
- /proc file system utilities
- procserv (2.7.0-1)
- Process server with telnet console and log access
- procyon-decompiler (0.5.32-5)
- Procyon Java Decompiler
- proda (1.0-12)
- meervoudige groepering van proteïnereeksen
- prodigal (1:2.6.3-4)
- Microbial (bacterial and archaeal) gene finding program
- profanity (0.6.0-1)
- console based XMPP client
- profbval (1.0.22-6)
- predictor of flexible/rigid protein residues from sequence
- profile-sync-daemon (6.31-1)
- Symlink and sync browser profile directories into RAM
- profisis (1.0.11-5)
- prediction of protein-protein interaction sites from sequence
- profitbricks-api-tools (4.1.3-2)
- command line tools that use the public API from ProfitBricks
- profnet-bval (1.0.22-6)
- neural network architecture for profbval
- profnet-chop (1.0.22-6)
- neural network architecture for profchop
- profnet-con (1.0.22-6)
- neural network architecture for profcon
- profnet-isis (1.0.22-6)
- neural network architecture for profisis
- profnet-md (1.0.22-6)
- neural network architecture for metadisorder
- profnet-norsnet (1.0.22-6)
- neural network architecture for norsnet
- profnet-prof (1.0.22-6)
- neural network architecture for profacc
- profnet-snapfun (1.0.22-6)
- neural network architecture for snapfun
- profphd (1.0.42-3)
- secondary structure and solvent accessibility predictor
- profphd-net (1.0.22-6)
- neural network architecture for profphd
- profphd-utils (1.0.10-5)
- profphd helper utilities convert_seq and filter_hssp
- proftmb (1.1.12-8)
- per-residue prediction of bacterial transmembrane beta barrels
- proftpd
- virtueel pakket geboden door proftpd-basic
- proftpd-abi-1.3.6
- virtueel pakket geboden door proftpd-basic
- proftpd-basic (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - binaries
- proftpd-dev (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - development files
- proftpd-doc (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - documentation
- proftpd-mod-autohost (0.4-2)
- ProFTPD module mod_autohost
- proftpd-mod-case (0.7+git73896501cf-1)
- ProFTPD module mod_case
- proftpd-mod-clamav (0.14~rc2-1+b3)
- ProFTPD module mod_clamav
- proftpd-mod-counter (0.6.1-2)
- ProFTPD module mod_counter
- proftpd-mod-dnsbl (0.1.5-4+b3)
- ProFTPD module mod_dnsbl
- proftpd-mod-fsync (0.3-2)
- ProFTPD module mod_fsync
- proftpd-mod-geoip (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - GeoIP module
- proftpd-mod-ldap (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - LDAP module
- proftpd-mod-msg (0.5-1)
- ProFTPD module mod_msg
- proftpd-mod-mysql (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - MySQL module
- proftpd-mod-odbc (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - ODBC module
- proftpd-mod-pgsql (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - PostgreSQL module
- proftpd-mod-snmp (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - SNMP module
- proftpd-mod-sqlite (1.3.6-4+deb10u6)
- Versatile, virtual-hosting FTP daemon - SQLite3 module
- proftpd-mod-tar (0.3.3-2)
- ProFTPD module mod_tar
- proftpd-mod-vroot (0.9.4-2)
- ProFTPD module mod_vroot
- progress (0.14-3)
- Coreutils Progress Viewer (formerly known as 'cv')
- progress-linux (20190101-4)
- Progress Linux - Setup
- progress-linux-pgp-keys (20190101-4)
- Progress Linux - PGP Keys
- progress-linux-ssh-keys (20190101-4)
- Progress Linux - SSH Keys
- progressivemauve (1.2.0+4713+dfsg-4)
- multiple genome alignment algorithms
- proguard (6.0.3-1)
- Java class file shrinker, optimizer, and obfuscator
- proguard-cli (6.0.3-1)
- Java class file shrinker, optimizer, and obfuscator (CLI)
- proguard-gui (6.0.3-1)
- Java class file shrinker, optimizer, and obfuscator (GUI)
- proj-bin (5.2.0-1)
- Cartographic projection library (tools)
- proj-data (5.2.0-1)
- Cartographic projection filter and library (datum package)
- proj-ps-doc (4.3.3-5)
- PostScript docs for cartographic projection filters and library
- proj-rdnap (2008-8) [non-free]
- RDNAP grid correction files for PROJ
- projectcenter.app (0.6.2-2+b1)
- IDE for GNUstep Development
- projectile-doc (2.0.0-2)
- project interaction library for Emacs - documentation
- projectl (1.001.dfsg1-9)
- sword action shooting
- projectm-data (2.1.0+dfsg-4)
- Advanced Milkdrop-compatible music visualization library - data
- projectm-jack (2.1.0+dfsg-4+b4)
- projectM JackAudio module
- projectm-pulseaudio (2.1.0+dfsg-4+b4)
- projectM PulseAudio module
- prolix (0.03-1)
- tool to interactively filter chatty command output
- prometheus (2.7.1+ds-3+b11)
- Monitoring system and time series database
- prometheus-alertmanager (0.15.3+ds-3+deb10u1) [security]
- Handle and deliver alerts created by Prometheus
- prometheus-apache-exporter (0.5.0+ds-2+b20)
- Prometheus exporter for Apache server metrics
- prometheus-bind-exporter (0.2~git20161221+dfsg-3+b20)
- Prometheus exporter for Bind server metrics
- prometheus-bird-exporter (1.2.2-1+b20)
- Prometheus exporter for the BIRD routing daemon
- prometheus-blackbox-exporter (0.13.0+ds-2+b11)
- Blackbox prober for Prometheus
- prometheus-haproxy-exporter (0.9.0+git20180917+ds-1+b20)
- HAProxy Exporter for Prometheus
- prometheus-mailexporter (1.0-2+b20)
- exports prometheus-style metrics about mail server functionality
- prometheus-mongodb-exporter (1.0.0+git20180522.e755a44-1+b20)
- Prometheus exporter for MongoDB
- prometheus-mysqld-exporter (0.11.0+ds-1+b20)
- Prometheus exporter for MySQL server.
- prometheus-nginx-exporter (0.1.0-1+b20)
- Prometheus exporter for NGINX webservers
- prometheus-node-exporter (0.17.0+ds-3+b11)
- Prometheus exporter for machine metrics
- prometheus-pgbouncer-exporter (1.7-1)
- Export metrics from pgbouncer to Prometheus
- prometheus-postgres-exporter (0.4.7+ds-2+b10)
- Prometheus exporter for PostgreSQL server metrics
- prometheus-process-exporter (0.4.0+ds-1+b10)
- Prometheus exporter that exposes process metrics from procfs
- prometheus-pushgateway (0.7.0+ds-1+b20)
- Prometheus exporter for ephemereal jobs
- prometheus-snmp-exporter (0.14.0+ds-1+b10)
- Prometheus exporter for SNMP-enabled devices
- prometheus-sql-exporter (0.2.0.ds-6+b11)
- Flexible SQL Exporter for Prometheus
- prometheus-squid-exporter (1.4+ds-1+b10)
- Prometheus exporter for Squid proxy servers
- prometheus-trafficserver-exporter (0.2.0-1)
- Prometheus exporter for Apache Traffic Server
- prometheus-varnish-exporter (1.4.1-1)
- Prometheus exporter for Varnish
- prometheus-xmpp-alerts (0.3+git20190128.4c8868d-1)
- web hook that forwards prometheus alerts over XMPP
- proot (5.1.0-1.3)
- emulate chroot, bind mount and binfmt_misc for non-root users
- propaganda-debian (13.5.10)
- Propaganda background image volume for Debian
- propellor (5.6.0-1)
- property-based host configuration management in haskell
- propka
- virtueel pakket geboden door pdb2pqr
- prosody (0.11.2-1+deb10u4)
- Lightweight Jabber/XMPP server
- prosody-modules (0.0~hg20190203.b54e98d5c4a1+dfsg-1+deb10u1)
- Selection of community modules for Prosody
- prosper (2018.20190227-2)
- TeX Live: transitional dummy package
- proteinortho (5.16.b+dfsg-1)
- Detection of (Co-)orthologs in large-scale protein analysis
- protobuf-c-compiler (1.3.1-1+b1)
- Protocol Buffers C compiler (protobuf-c)
- protobuf-compiler (3.6.1.3-2+deb10u1) [security]
- compiler for protocol buffer definition files
- protobuf-compiler-grpc (1.16.1-1)
- high performance general RPC framework - protobuf plugin
- protoc-gen-yarpc (0.0.1-1)
- Yet Another RPC for Go - command-line utility
- protracker (2.3d.r161-1)
- Music tracker clone of ProTracker v2.3D for modern computers
- prottest (3.4.2+dfsg-3)
- selection of best-fit models of protein evolution
- prov-tools (1.5.2-1)
- tools for prov
- prover9 (0.0.200911a-2.1+b2)
- theorem prover and countermodel generator
- prover9-doc (0.0.200902a-2)
- documentation for Prover9 and associated programs
- prover9-mace4 (0.5.dfsg-3)
- GUI for Prover9 and Mace4
- proxsmtp (1.10-2.1+b2)
- multi purpose SMTP Proxy
- proxychains (3.1-8.1)
- proxy chains - redirect connections through proxy servers
- proxychains
- virtueel pakket geboden door proxychains4
- proxychains-ng
- virtueel pakket geboden door proxychains4
- proxychains4 (4.13-4)
- redirect connections through socks/http proxies (proxychains-ng)
- proxycheck (0.49a-5)
- checks existence of open proxy
- proxytrack (3.49.2-1)
- Build HTTP Caches using archived websites copied by HTTrack
- proxytunnel (1.9.0+svn250-6+b2)
- Create tcp tunnels trough HTTPS proxies, for using with SSH
- prt (0.20-1)
- Command line Perl Refactoring Tool
- pry (0.12.2-1)
- powerful irb alternative and runtime developer console
- ps-watcher (1.08-9)
- monitoring a system via ps-like commands
- ps2eps (1.68+binaryfree-2)
- convert PostScript to EPS (Encapsulated PostScript) files
- psad (2.4.3-1.2)
- Port Scan Attack Detector
- psautohint (1.9.1-1)
- standalone version of the AFDKO autohinter
- pscan (1.2-9+b2)
- Format string security checker for C files
- pscan-chip (1.1-2)
- ChIP-based identifcation of TF binding sites
- pscan-chip-data (1.1-2)
- auxiliary data for PScan-ChIP
- pscan-tfbs (1.2.2-3)
- search for transcription factor binding sites
- psensor (1.1.5-1+b4)
- display graphs for monitoring hardware temperature
- psensor-common (1.1.5-1)
- common files for Psensor and Psensor server
- psensor-server (1.1.5-1+b4)
- Psensor server for monitoring hardware sensors remotely
- pseudo (1.9.0+git20180920-1)
- advanced tool for simulating superuser privileges
- psf-unifont (1:11.0.03-1)
- PSF (console) version of GNU Unifont with APL support
- psfex (3.17.1+dfsg-5)
- Point Spread Function model extractor
- psgml (1.4.0-7)
- Emacs major mode for editing SGML documents
- psi (1.3-5)
- Jabber client using Qt
- psi-plus (1.4.554-2)
- Qt-based XMPP client (basic version)
- psi-plus-common (1.4.554-2)
- common files for Psi+
- psi-plus-l10n (1.4.554-1)
- localization files for Psi+
- psi-plus-plugins (1.4.554-2)
- plugins for Psi+
- psi-plus-skins (1.4.554-2)
- skins for Psi+
- psi-plus-sounds (1.4.554-2)
- sound files for Psi+
- psi-plus-webkit (1.4.554-2)
- Qt-based XMPP client (WebKit version)
- psi-translations (1.12)
- Translations for psi
- psi3 (3.4.0-6+b3)
- Quantum Chemical Program Suite
- psi4 (1:1.2.1-2)
- Quantum Chemical Program Suite
- psi4-data (1:1.2.1-2)
- Quantum Chemical Program Suite (data files)
- psignifit (2.5.6-5)
- Fitting and testing hypotheses about psychometric functions
- psk31lx (2.2-1)
- PSK31 terminal application with text-based user interface
- psl (0.20.2-2)
- Explore the Public Suffix List
- psl-make-dafsa (0.20.2-2)
- Create a binary DAFSA from a Public Suffix List
- pslib-dev (0.4.5-3.1+b1)
- development files for pslib
- pslib1 (0.4.5-3.1+b1)
- library to create PostScript files
- pslib1-dbg (0.4.5-3.1+b1)
- library to create PostScript files
- pslist (1.4.0-2)
- utility that controls a process and its descendants
- psmisc (23.2-1+deb10u1)
- utilities that use the proc file system
- psortb (3.0.6+dfsg-1+b1)
- bacterial localization prediction tool
- pspg (1.6.3-1)
- PostgreSQL pager
- pspp (1.2.0-3)
- Statistical analysis tool
- pspresent (1.3-4+b2)
- fullscreen PostScript presentation tool
- psrip (1.3-8)
- Extract images from PostScript files
- pssh (2.3.1-1)
- Parallel versions of SSH-based tools
- psst (0.1-7)
- power stress and shaping tool
- pst-utils (0.6.71-0.1)
- tools for reading Microsoft Outlook PST files
- pstack (1.3.1-1+b1)
- Display stack trace of a running process
- pstoedit (3.73-1+b1)
- PostScript and PDF files to editable vector graphics converter
- psurface (2.0.0-2+b1)
- piecewise linear bijections between triangulated surfaces -- utilities
- psutils (1.17.dfsg-4)
- PostScript document handling utilities
- psychtoolbox
- virtueel pakket geboden door octave-psychtoolbox-3
- psychtoolbox-3
- virtueel pakket geboden door octave-psychtoolbox-3
- psychtoolbox-3-common (3.0.15.20190207.dfsg1-1)
- toolbox for vision research -- arch/interpreter independent part
- psychtoolbox-3-dbg (3.0.15.20190207.dfsg1-1)
- toolbox for vision research -- debug symbols for binaries
- psychtoolbox-3-lib (3.0.15.20190207.dfsg1-1)
- toolbox for vision research -- arch-specific parts
- pt-websocket (0.2-8+b11)
- WebSocket pluggable transport - server
- ptask (1.0.0-1+b1)
- GTK+ graphical user interface for managing tasks
- pterm (0.74-1+deb11u1~deb10u1) [security]
- PuTTY terminal emulator
- ptex-base (1:2.5-3)
- basic ASCII pTeX library files
- ptex-jisfonts
- virtueel pakket geboden door texlive-lang-japanese
- ptex-jtex (1.7+1-15) [non-free]
- ASCII jTeX with pTeX
- ptex2tex (0.4-1)
- easy generation of (possibly complex) LaTeX environments
- pth-dbg (2.0.7-20+b2)
- GNU Portable Threads (debug)
- ptpd (2.3.1-debian1-4)
- Precision Time Protocol daemon
- ptscotch (6.0.6-2)
- MPI programs and libraries for graph, mesh and hypergraph partitioning
- ptunnel (0.72-3)
- Tunnel TCP connections over ICMP packets
- ptunnel-ng (1.32-2)
- Tunnel TCP connections over ICMP packets
- pub2odg (0.9.6-2)
- Publisher documents to OpenDocument converter
- publib-dev (0.40-3)
- library of miscellaneous C functions
- publican (4.3.2-3)
- Tool for publishing material authored in DocBook XML
- publican-debian (0.4)
- Debian brand for Publican
- publicfile-installer (0.14) [contrib]
- installer package for the publicfile HTTP and FTP server
- publicsuffix (20220811.1734-0+deb10u1)
- accurate, machine-readable list of domain name suffixes
- publicsuffix-dafsa
- virtueel pakket geboden door publicsuffix
- pubtal (3.5-1)
- Template driven web site builder for small sites
- puddletag (1.2.0-2)
- simple, powerful audio tag editor
- puf (1.0.0-7+b2)
- Parallel URL fetcher
- pugixml-doc (1.9-3)
- Light-weight C++ XML processing library (documentation)
- pulldown-cmark (0.2.0-1)
- Simple command-line tool for rendering CommonMark to HTML
- pullimap (0.4-1)
- Pull mails from an IMAP mailbox and deliver them via SMTP or LMTP
- pulseaudio (12.2-4+deb10u1)
- PulseAudio sound server
- pulseaudio-dlna (0.5.3+git20170406-1)
- Stream audio to DLNA devices and Chromecasts
- pulseaudio-equalizer (12.2-4+deb10u1)
- Equalizer sink module for PulseAudio sound server
- pulseaudio-module-bluetooth (12.2-4+deb10u1)
- Bluetooth module for PulseAudio sound server
- pulseaudio-module-gsettings (12.2-4+deb10u1)
- GSettings module for PulseAudio sound server
- pulseaudio-module-jack (12.2-4+deb10u1)
- jackd modules for PulseAudio sound server
- pulseaudio-module-lirc (12.2-4+deb10u1)
- lirc module for PulseAudio sound server
- pulseaudio-module-raop (12.2-4+deb10u1)
- RAOP module for PulseAudio sound server
- pulseaudio-module-zeroconf (12.2-4+deb10u1)
- Zeroconf module for PulseAudio sound server
- pulseaudio-utils (12.2-4+deb10u1)
- Command line tools for the PulseAudio sound server
- pulsemixer (1.4.0-1)
- command-line mixer for PulseAudio with a curses interface
- pulseview (0.4.1-1+b1)
- sigrok logic analyzer, oscilloscope, and MSO GUI
- puma (3.12.0-2+deb10u3) [security]
- threaded HTTP 1.1 server for Ruby/Rack applications
- pumpa (0.9.3-1+b2)
- simple desktop client for pump.io, the distributed social network
- puppet (5.5.10-4)
- configuration management system
- puppet-beaker (4.1.0-1)
- test harness providing platform abstraction and VM provisioning
- puppet-common (5.5.10-4)
- transitional dummy package
- puppet-lint (2.3.6-1)
- check puppet manifests for style guide conformity
- puppet-master (5.5.10-4)
- configuration management system, master service
- puppet-master-passenger (5.5.10-4)
- configuration management system, scalable master service
- puppet-module-adrienthebo-filemapper (1.1.3-1)
- Puppet module for mapping files to resources and back
- puppet-module-antonlindstrom-powerdns (0.0.5-3)
- Puppet module for PowerDNS
- puppet-module-aodh (13.1.0-1)
- Puppet module for OpenStack Aodh
- puppet-module-arioch-redis (3.2.0-1)
- Puppet module for Redis
- puppet-module-asciiduck-sssd (0.3.0-1)
- Manage the SSSD service
- puppet-module-barbican (13.1.0-4)
- Puppet module for OpenStack Barbican
- puppet-module-camptocamp-kmod (2.1.1-1)
- Puppet module for managing kmod configuration
- puppet-module-camptocamp-openssl (1.5.0-1)
- Puppet module for managing openssl configuration
- puppet-module-ceilometer (13.1.0-3)
- Puppet module for OpenStack Ceilometer
- puppet-module-ceph (2.5.0-1)
- Puppet module for Ceph
- puppet-module-cinder (13.1.0-3+deb10u1)
- Puppet module for OpenStack Cinder
- puppet-module-cloudkitty (2.0.0-7)
- Puppet module for OpenStack CloudKitty
- puppet-module-congress (13.1.0-1)
- Puppet module for OpenStack Congress
- puppet-module-designate (13.1.0-1)
- Puppet module for OpenStack Designate
- puppet-module-duritong-sysctl (0.0.11-1)
- Puppet module for Duriting-sysctl
- puppet-module-glance (13.1.0-2)
- Puppet module for OpenStack Glance
- puppet-module-gnocchi (13.1.0-1)
- Puppet module for OpenStack Gnocchi
- puppet-module-heat (13.1.0-1)
- Puppet module for OpenStack Heat
- puppet-module-heini-wait-for (2.0.1-1)
- Puppet module for waiting for something
- puppet-module-horizon (13.1.0-3)
- Puppet module for OpenStack Horizon
- puppet-module-icann-quagga (0.5.2-2)
- Puppet module for Quagga
- puppet-module-icann-tea (0.2.15-1)
- Puppet datatypes for use of validation
- puppet-module-ironic (13.1.0-1)
- Puppet module for OpenStack Ironic
- puppet-module-joshuabaird-ipaclient (2.5.2-1)
- Puppet module for Joshuabaird IPAclient
- puppet-module-keystone (13.1.0-1)
- Puppet module for OpenStack Keystone
- puppet-module-manila (13.1.0-1)
- Puppet module for OpenStack Manila
- puppet-module-michaeltchapman-galera (0.7.1-1)
- Michaelt Chapman's Galera Puppet module
- puppet-module-murano (13.1.0-1)
- Puppet module for OpenStack Murano
- puppet-module-nanliu-staging (1.0.4-1)
- Puppet module for the management of staging directory
- puppet-module-neutron (13.1.0-1)
- Puppet module for OpenStack Neutron
- puppet-module-nova (13.1.0-3)
- Puppet module for OpenStack Nova
- puppet-module-oci (21)
- automatic PXE and puppet-master installer for OpenStack - puppet module
- puppet-module-octavia (13.1.0-1)
- Puppet module for OpenStack Octavia
- (13.1.0-1)
- add useful utilities for composing and deploying OpenStack
- puppet-module-openstacklib (13.1.0-2)
- exposes common functionality between Openstack modules as a library
- puppet-module-oslo (13.1.0-1)
- Puppet module for OpenStack oslo lib using components
- puppet-module-ovn (13.1.0-1)
- Puppet module for OpenStack OVN
- puppet-module-panko (13.3.1-1)
- Puppet module for OpenStack Panko
- puppet-module-puppet-archive (3.2.1-1)
- Puppet module to manages download, and cleanup of archive files
- (0.6.2-3)
- Puppet module for mcollective to handle and install clusters
- puppet-module-puppetlabs-apache (3.4.0-1)
- Puppet module for Apache
- puppet-module-puppetlabs-apt (6.1.1-1)
- Puppet module for apt
- puppet-module-puppetlabs-concat (5.1.0-1)
- Puppet module for concat
- puppet-module-puppetlabs-firewall (1.12.0-1)
- Puppet module for Firewall management
- puppet-module-puppetlabs-haproxy (2.1.0-1)
- Puppet module for HAProxy
- puppet-module-puppetlabs-inifile (2.2.1-1)
- Puppet module for ini files
- puppet-module-puppetlabs-mongodb (0.7.0-2)
- Puppet module for managing mongodb installation and configuration
- puppet-module-puppetlabs-mysql (5.3.0-1)
- Puppet module for MySQL
- puppet-module-puppetlabs-ntp (7.2.0-1)
- Puppet module for ntp
- puppet-module-puppetlabs-postgresql (5.4.0-1)
- Puppet module for PostgreSQL database
- puppet-module-puppetlabs-rabbitmq (5.3.1-5)
- Puppet module for rabbitmq, manage everything from vhosts to exchanges
- puppet-module-puppetlabs-rsync (1.1.0-1)
- Puppet module for rsync clients, repositories, and servers
- puppet-module-puppetlabs-stdlib (5.0.0-1)
- Puppet module standard library
- puppet-module-puppetlabs-tftp (0.2.3-2)
- Puppet module for managing tftp-hpa
- puppet-module-puppetlabs-translate (1.1.0-1)
- Puppet module for translate
- puppet-module-puppetlabs-vcsrepo (1.3.2-1)
- use Puppet to easily deploy content from your version control system (VCS)
- puppet-module-puppetlabs-xinetd (3.0.0-1)
- Puppet module for xinetd
- puppet-module-richardc-datacat (0.6.2-1)
- Puppet module for data structure rendered using a template
- puppet-module-rodjek-logrotate (1.1.1+ds1-1)
- Logrotate module for Puppet
- puppet-module-sahara (13.1.0-1)
- Puppet module for OpenStack Sahara
- puppet-module-saz-memcached (3.1.0-1)
- Puppet module for memcached
- puppet-module-saz-rsyslog (2.2.1-1)
- Puppet module for rsyslog
- puppet-module-saz-ssh (2.8.1-2)
- Puppet module for Ssh configuration (client and server)
- puppet-module-sbitio-monit (1.0.0-2)
- Puppet module for Monit
- puppet-module-swift (13.1.0-4)
- Puppet module for OpenStack Swift
- puppet-module-voxpupuli-corosync (5.0.0-3)
- Puppet module for corosync / pacemaker
- puppet-module-voxpupuli-ssh-keygen (2.0.1-1)
- generate ssh keys for any user using ssh-keygen
- puppet-module-vswitch (9.1.0-1)
- provides puppet things for vSwitches
- puppet-strings (2.1.0-1)
- Tool to generate documentation from Puppet code and extensions
- puppet-terminus-puppetdb (6.2.0-3)
- Puppet data warehouse -- Puppet master terminus
- puppetdb (6.2.0-3)
- Puppet data warehouse
- puppetdb-doc (6.2.0-3)
- Puppet data warehouse - documentation
- puppetmaster (5.5.10-4)
- configuration management system, master service - transitional package
- puppetmaster-passenger (5.5.10-4)
- configuration management system, scalable master service - transitional package
- pure-ftpd (1.0.47-3)
- Secure and efficient FTP server
- pure-ftpd
- virtueel pakket geboden door pure-ftpd-ldap, pure-ftpd-mysql, pure-ftpd-postgresql
- pure-ftpd-common (1.0.47-3)
- Pure-FTPd FTP server (Common Files)
- pure-ftpd-ldap (1.0.47-3)
- Secure and efficient FTP server with LDAP user authentication
- pure-ftpd-mysql (1.0.47-3)
- Secure and efficient FTP server with MySQL user authentication
- pure-ftpd-postgresql (1.0.47-3)
- Secure and efficient FTP server with PostgreSQL user authentication
- puredata (0.49.0-3)
- realtime computer music and graphics system
- puredata-core (0.49.0-3)
- realtime computer music and graphics system - core components
- puredata-dev (0.49.0-3)
- realtime computer music and graphics system - development files
- puredata-doc (0.49.0-3)
- realtime computer music and graphics system - documentation
- (0.49.0-3)
- realtime computer music and graphics system - extra files
- puredata-gui (0.49.0-3)
- realtime computer music and graphics system - GUI
- puredata-gui-l10n (0.49.0-3)
- realtime computer music and graphics system - translations
- puredata-import (1.3-5)
- Pd object for loading libraries within a patch
- puredata-utils (0.49.0-3)
- realtime computer music and graphics system - utility programs
- purify (2.0.0-4+b1)
- Collection of routines for radio interferometric imaging
- purifyeps (1.1-2)
- creates EPS files usable in TeX and pdfTeX
- purity (1-19)
- automated purity testing software
- purity-ng (0.2.0-2.1)
- Reimplementation of the classic "purity" game in Python
- purity-off (0-4)
- Sex-gerelateerde zuiverheids-tests
- purple-discord (0.9.2019.02.07.git.e5d9627-1+deb10u1)
- Discord messaging service plugin for libpurple
- pushover (0.0.5+git20180909-3+b1)
- Fun puzzle game with dominos
- pushover-data (0.0.5+git20180909-3)
- Fun puzzle game with dominos, music files
- pushpin (1.20.1-1)
- HTTP reverse proxy server for streaming and long-polling services
- putty (0.74-1+deb11u1~deb10u1) [security]
- Telnet/SSH client for X
- putty-doc (0.74-1+deb11u1~deb10u1) [security]
- PuTTY HTML documentation
- putty-tools (0.74-1+deb11u1~deb10u1) [security]
- command-line tools for SSH, SCP, and SFTP
- pv (1.6.6-1)
- Shell pipeline element to meter data passing through
- (1.3)
- Creates a menu.lst file for PV-GRUB
- pvm (3.4.6-2)
- Parallel Virtual Machine - binaries
- pvm-dev (3.4.6-2)
- Parallel Virtual Machine - development files
- pvm-examples (3.4.6-2)
- Parallel Virtual Machine - examples
- pvpgn (1.8.5-2.1+b1) [contrib]
- gaming server that emulates Battle.net(R)
- pvrg-jpeg (1.2.1+dfsg1-6)
- Stanford PVRG JPEG tool
- pwauth (2.3.11-0.2)
- authenticator for mod_authnz_external and the Apache HTTP Daemon
- pwgen (2.08-1)
- Automatische wachtwoord generatie
- pwgen-udeb (2.08-1)
- Automatic Password generation
- pwget (2016.1019+git75c6e3e-1)
- downloader utility which resembles wget (implemented in Perl)
- pwman3 (0.5.1d-1)
- console password management application
- pwrkap (7.30-5)
- Energy use monitor and Power Cap enforcement tools - Core
- pwrkap-gui (7.30-5)
- Energy use monitor and Power Cap enforcement tools - GTK+ GUI
- px (1.0.21-1)
- ps and top for human beings
- pxe-kexec (0.2.4-3+b4)
- Fetch PXE configuration file and netboot using kexec
- pxelinux (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (PXE network bootloader)
- pxfw (0.7.2-4.1)
- Plextor firmware updater
- pxlib-dev (0.6.7-1)
- library to read/write Paradox database files (development files)
- pxlib1 (0.6.7-1)
- library to read/write Paradox database files
- pxsl-tools (1.0-5.2+b1)
- Parsimonious XML Shorthand Language
- py-cpuinfo (4.0.0-1)
- Python script for getting CPU info
- py3c-dev (1.0-1)
- Python 2/3 compatibility layer for C extensions
- py3status (3.14-2)
- extensible i3status wrapper written in Python (Python3 package)
- pyacidobasic (2.9-1)
- simulation tool for acido-basic titrations
- pybedtools-bin (0.8.0-1)
- Scripts produced for pybedtools
- pybik (3.0-3)
- Rubik's cube game
- pybik-bin (3.0-3)
- Rubik's cube game - architecture dependent files
- pybind11-dev (2.2.4-2)
- seamless operability between C++11 and Python
- pybind11-doc (2.2.4-2)
- documentation for pybind11
- pybit-client (1.0.0-4)
- buildd client support for pybit
- pybit-common (1.0.0-4)
- Common objects for pybit
- pybit-svn (1.0.0-4)
- Subversion post commit hook for pybit
- pybit-watcher (1.0.0-4)
- watches incoming directories for reprepro
- pybit-web (1.0.0-4)
- buildd toolkit based on message queues (web frontend)
- pyblosxom (1.5.3-3)
- lightweight file-based weblog system written in Python
- pybridge (0.3.0-7.2)
- An online contract bridge game. Gtk client
- pybridge-common (0.3.0-7.2)
- Common files for pybridge
- pybridge-server (0.3.0-7.2)
- Server files for pybridge
- pybtctool (1.1.42-1)
- command interface for Bitcoin signatures and transactions
- pybtex (0.21-2)
- BibTeX-compatible bibliography processor
- pycadf-common (2.7.0-2)
- implementation of DMTF Cloud Audit (CADF) data model - common files
- pycaml
- virtueel pakket geboden door libpycaml-ocaml-dev
- pycarddav (0.7.0-1)
- simple to use CardDAV CLI client
- pycassa-doc (1.11.2.1-1)
- Documentation for the Pycassa library
- pychecker (0.8.19-17)
- tool to find common bugs in Python source code
- pychess (0.12.2-1)
- Chess graphical user interface for several chess engines
- pycmail (0.1.6)
- mail sorter written in Python
- pycode-browser (1:1.02+git20181006-3)
- environment to teach with Python code snippets
- pycodestyle (2.4.0-2)
- Python style guide checker (formerly called pep8)
- pyconfigure (0.2.3-2)
- automatic configure script builder for Python software
- pycorrfit (1.1.5+dfsg-1)
- tool for fitting correlation curves on a logarithmic plot
- pycsw (2.2.0+dfsg-6) [non-free]
- OGC compliant metadata (Catalogue Service for the Web) server
- pycsw-doc (2.2.0+dfsg-6) [non-free]
- OGC compliant metadata (Catalogue Service for the Web) server - documentation
- pycsw-wsgi (2.2.0+dfsg-6) [non-free]
- WSGI Apache CSW service based on pycsw
- pydb (1.26-2)
- An enhanced Python command-line debugger
- pydf (12)
- colourised df(1)-clone
- pydocstyle (2.1.1-1)
- Python docstring style checker (PEP-257 conventions)
- pydxcluster (2.21-2)
- HAM Dx Cluster for Linux users
- pyecm (2.0.2-4)
- integer factorization with the Elliptic Curve Method (ECM)
- pyew (2.0-4)
- Python tool like radare or *iew for malware analysis
- pyfai (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts
- pyflakes (2.0.0-1)
- passive checker of Python 2 programs
- pyflakes3 (2.0.0-1)
- passive checker of Python 3 programs
- pyfr (1.5.0-3)
- flux reconstruction in Python
- pyfr-doc (1.5.0-3)
- documentation for PyFR
- pyftpd (0.8.5+nmu1)
- ftp daemon with advanced features
- pygfarm (2.0.18.5)
- Collection of add-on modules for Pygopherd
- pygopherd (2.0.18.5)
- Modular Multiprotocol Gopher/HTTP/WAP Server in Python
- pygtail (0.6.1-1)
- read log file lines that have not been read
- pyhoca-cli (0.6.0.1-1)
- Command line X2Go client written in Python
- pyhoca-gui (0.5.0.8-1)
- Graphical X2Go client written in (wx)Python
- pyjoke (0.5.0-2)
- Command line utility to make programmer jokes
- pykaraoke (0.7.5-1.2+deb10u1)
- free CDG/MIDI/MPEG karaoke player
- pykaraoke-bin (0.7.5-1.2+deb10u1)
- free CDG/MIDI/MPEG karaoke player
- pykickstart
- virtueel pakket geboden door python-pykickstart
- pykwalify (1.7.0-2)
- Python YAML/JSON schema validation library (command line program)
- pylama (7.4.3-2)
- code audit tool for Python in Python3
- pyliblo-utils (0.10.0-3)
- Command line utilities for sending and receiving OSC messages
- pylint (1.9.4-1)
- Python code static checker and UML diagram generator
- pylint-doc (2.2.2-1)
- Python code static checker and UML diagram generator (documentation)
- pylint3 (2.2.2-1)
- Python 3 code static checker and UML diagram generator
- pymacs (0.25-2)
- interface between Emacs Lisp and Python
- pymca (5.4.3+dfsg-1)
- Applications and toolkit for X-ray fluorescence analysis -- scripts
- pymca-data (5.4.3+dfsg-1)
- Architecture independent data files for PyMca
- pymca-doc (5.4.3+dfsg-1)
- Documentation files for PyMca
- pymetrics (0.8.1-7)
- Python code metric reporting tool
- pymissile (0.0.20060725-6)
- Control original Striker USB Missile Launcher
- pymoctool (0.5.0-4)
- Python Multi-Order Coverage maps tool for Virtual Observatory
- pymol (2.2.0+dfsg-4)
- Molecular Graphics System
- pymol-data (2.2.0+dfsg-4)
- data files for PyMOL
- pynag (0.9.1+dfsg-1)
- Command line interface to nagios configuration
- pynagram (1.0.1-1)
- anagram word game
- pynast (1.2.2-4)
- alignment of short DNA sequences
- pynslcd (0.9.10-2)
- daemon for NSS and PAM lookups via LDAP - Python version
- pyntor (0.6-4.1)
- flexible and componentized presentation program
- pyosmium (2.15.1-1)
- Osmium library bindings for Python - utilities
- pyosmium-doc (2.15.1-1)
- Osmium library bindings for Python - Documentation
- pyotherside (1.5.3-1)
- transitional dummy package
- pyotherside-doc (1.5.3-1)
- asynchronous Python 3 Bindings for Qt 5 (documentation)
- pyotherside-tests (1.5.3-1)
- Asynchronous Python 3 Bindings for Qt 5 (tests)
- pyp (2.12-2)
- sed/awk-like tool with Python language
- pypass (0.2.1-1.1)
- lightweight directory-based password manager in Python
- pype (2.9.4-2)
- Python programmers editor
- pypi2deb (2.20180804)
- PyPI to Debian converter
- pyppd (1.0.2-6)
- CUPS PostScript Printer Driver's compressor and generator
- pyprompter (0.9.1-2.1)
- intelligent predictive wxPython text editor
- pypump-shell (0.7-1)
- interface to the pump.io APIs (pypump-shell program)
- pypy (7.0.0+dfsg-3)
- fast alternative implementation of Python - PyPy interpreter
- pypy-abi-41
- virtueel pakket geboden door pypy
- pypy-appdirs (1.4.3-1)
- determining appropriate platform-specific directories (PyPy)
- pypy-argon2 (18.3.0-1)
- Argon2 password hashing library - PyPy Module
- pypy-asn1crypto (0.24.0-1)
- Fast ASN.1 parser and serializer (PyPy)
- pypy-atomicwrites (1.1.5-2)
- Atomic file writes - PyPy
- pypy-attr (18.2.0-1)
- Attributes without boilerplate (PyPy)
- pypy-backports.functools-lru-cache (1.5-3)
- backport of functools.lru_cache from Python 3.3 to PyPy
- pypy-bdist-nsi (0.1.5-1)
- Create NSIS windows installers for Python modules (PyPy)
- pypy-bs4 (4.7.1-1)
- error-tolerant HTML parser for PyPy
- pypy-cffi (= 1.12.0)
- virtueel pakket geboden door pypy-lib
- pypy-cffi-backend-api-10241
- virtueel pakket geboden door pypy-lib
- pypy-cffi-backend-api-max (= 10495)
- virtueel pakket geboden door pypy-lib
- pypy-cffi-backend-api-min (= 9729)
- virtueel pakket geboden door pypy-lib
- pypy-confget (2.2.0-4+deb10u1)
- read variables from INI-style configuration files - PyPy library
- pypy-coverage (4.5.2+dfsg.1-1)
- code coverage tool for Python 2 PyPy
- pypy-dev (7.0.0+dfsg-3)
- header files for PyPy (an alternative Python interpreter)
- pypy-doc (7.0.0+dfsg-3)
- developer Documentation for PyPy (an alternative Python interpreter)
- pypy-dulwich (0.19.11-2)
- Python Git library - pypy module
- pypy-enum34 (1.1.6-2)
- backport of Python 3.4's enum package (PyPy package)
- pypy-fastimport (0.9.8-2)
- Fastimport file format parser and generator library
- pypy-feature-check (0.2.2-3+deb10u1)
- query a program for supported features - PyPy library
- pypy-flaky (3.3.0-1)
- Plugin for nose or py.test that automatically reruns flaky tests (PyPy)
- pypy-funcsigs (1.0.2-4)
- function signatures from PEP362 - PyPy
- pypy-genty (1.3.0-1)
- Allows you to run a test with multiple data sets (PyPy)
- pypy-hypothesis (3.71.11-1)
- advanced Quickcheck style testing library for PyPy
- pypy-idna (2.6-1+deb10u1) [security]
- Python IDNA2008 (RFC 5891) handling (PyPy)
- pypy-ipaddress (1.0.17-1+deb10u1) [security]
- Backport of Python 3 ipaddress module (PyPy)
- pypy-iso8601 (0.1.11-1)
- Python module to parse ISO 8601 dates - PyPy
- pypy-json-tricks (3.11.0-1)
- Python module with extra features for JSON files
- pypy-lib (7.0.0+dfsg-3)
- standard library for PyPy (an alternative Python interpreter)
- pypy-lib-testsuite (7.0.0+dfsg-3)
- standard library test suite for PyPy (an alternative Python interpreter)
- pypy-libusb1 (1.7-1)
- Python wrapper for libusb1 (PyPy)
- pypy-mmllib (0.3.0.post1-1)
- library for handling Music Macro Language (PyPy)
- pypy-more-itertools (4.2.0-1)
- library with routines for operating on iterables, beyond itertools (PyPy)
- pypy-mutagen (1.40.0-2)
- audio metadata editing library (PyPy)
- pypy-packaging (19.0-1)
- core utilities for pypy packages
- pypy-pathlib2 (2.3.3-1)
- Backport of the "pathlib" stdlib module (PyPy)
- pypy-pkg-resources (40.8.0-1)
- Package Discovery and Resource Access using pkg_resources
- pypy-pluggy (0.8.0-1)
- plugin and hook calling mechanisms for Python - PyPy
- pypy-pretend (1.0.8-2)
- Python library for stubbing (PyPy)
- pypy-purl (1.4-1)
- URL interrogation and manipulation (PyPy version)
- pypy-py (1.7.0-2)
- Advanced Python development support library (PyPy)
- pypy-pyaes (1.6.1-2)
- Pure-Python implementation of the AES cipher (PyPy)
- pypy-pyasn1 (0.4.2-3)
- ASN.1 library for Python (PyPy module)
- pypy-pymediainfo (3.0-1)
- Library to fetch multimedia metadata information - PyPy version
- pypy-pyparsing (2.2.0+dfsg1-2)
- alternative to creating and executing simple grammars - pypy
- pypy-pytest (3.10.1-2)
- Simple, powerful testing in PyPy
- pypy-rawkit (0.6.0-1)
- CTypes based LibRaw bindings - Python 2.X
- pypy-rply (0.7.4-3)
- pure Python based parser that also works with RPython (PyPy)
- pypy-scandir (1.9.0-2)
- Backport of the "scandir" stdlib module (PyPy)
- pypy-schema (0.6.7-1)
- simple data validation library (PyPy)
- pypy-setuptools (40.8.0-1)
- PyPy Distutils Enhancements
- pypy-setuptools-scm (3.2.0-1)
- blessed package to manage your versions by scm tags for PyPy
- pypy-simplejson (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python - PyPy
- pypy-six (1.12.0-1)
- Python 2 and 3 compatibility library (PyPy interface)
- pypy-soupsieve (1.8+dfsg-1)
- Modern CSS selector implementation for BeautifulSoup (PyPy)
- pypy-sqlparse (0.2.4-1+deb10u1) [security]
- non-validating SQL parser for PyPy
- pypy-stem (1.7.1-1)
- Tor control library for PyPy
- pypy-tk (7.0.0+dfsg-3)
- Tkinter module for PyPy (an alternative Python interpreter)
- pypy-unidecode (1.0.23-1)
- ASCII transliterations of Unicode text (PyPy module)
- pypy-wand (0.4.4-3)
- Python interface for ImageMagick library (PyPy build)
- pypy-zmq (17.1.2-2+deb10u1)
- PyPy bindings for 0MQ library
- pypy-zodbpickle (1.0-1)
- Fork of pickle module for uniform serialization between Python 2 and 3 (PyPy)
- pypy3 (7.0.0+dfsg-3)
- fast alternative implementation of Python 3.x - PyPy interpreter
- pypy3-abi-70
- virtueel pakket geboden door pypy3
- pypy3-cffi (= 1.12.0)
- virtueel pakket geboden door pypy3-lib
- pypy3-cffi-backend-api-10241
- virtueel pakket geboden door pypy3-lib
- pypy3-cffi-backend-api-max (= 10495)
- virtueel pakket geboden door pypy3-lib
- pypy3-cffi-backend-api-min (= 9729)
- virtueel pakket geboden door pypy3-lib
- pypy3-dev (7.0.0+dfsg-3)
- header files for PyPy (an alternative Python 3.x interpreter)
- pypy3-doc (7.0.0+dfsg-3)
- developer Documentation for PyPy (an alternative Python 3.x interpreter)
- pypy3-lib (7.0.0+dfsg-3)
- standard library for PyPy (an alternative Python 3.x interpreter)
- pypy3-lib-testsuite (7.0.0+dfsg-3)
- standard library test suite for PyPy (an alternative Python 3,x interpreter)
- pypy3-tk (7.0.0+dfsg-3)
- Tkinter module for PyPy (an alternative Python 3.x interpreter)
- pyqi (0.3.2+dfsg-3)
- Python framework for wrapping general commands in multiple interfaces
- pyqso (1.1.0-1)
- logging tool for amateur radio operators
- pyqt4-dev-tools (4.12.1+dfsg-2+b1)
- Development tools for PyQt4
- pyqt4.qsci-dev (2.10.4+dfsg-2.1)
- Development files for Python Qscintilla2 (Qt4)
- pyqt5-dev (5.11.3+dfsg-1)
- Development files for PyQt5
- pyqt5-dev-tools (5.11.3+dfsg-1+b3)
- Development tools for PyQt5
- pyqt5-examples (5.11.3+dfsg-1)
- Examples and demos for PyQt5
- pyqt5.qsci-dev (2.10.4+dfsg-2.1)
- Development files for Python Qscintilla2 (Qt5)
- pyqt5chart-dev (5.11.3+dfsg-1)
- Development files for PyQtCharts
- pyracerz (0.2-8)
- multiplayer top view 2D racing game
- pyragua (0.2.5-6)
- Very lightweight Python editor
- pyrex-mode (0.9.9-1)
- emacs-lisp pyrex-mode for pyrex
- pyrit (0.5.1+git20180801-1)
- GPGPU-driven WPA/WPA2-PSK key cracker
- pyrit-opencl (0.4.0-1+b2)
- OpenCL extension module for Pyrit
- pyrite-publisher (2.1.1-11)
- Convert html and text documents to palm DOC format
- pyro (1:3.16-3)
- distributed object system for Python
- pyro-doc (1:3.16-3)
- documentation for Pyro
- pyro-examples (1:3.16-3)
- examples for Pyro
- pyro-gui (1:3.16-3)
- graphical tool for Pyro
- pyro4 (4.75-1)
- distributed object middleware for Python (RPC)
- pyro4-doc (4.75-1)
- distributed object middleware for Python (RPC), documentation
- pyro4-examples (4.75-1)
- distributed object middleware for Python (RPC), examples
- pyroman (0.5.0-1)
- Very fast firewall configuration tool
- pysassc (0.17.0-1)
- SASS for Python: command line utility for libsass
- pysatellites (2.5-1)
- simulates the launching of satellites
- pyscanfcs (0.3.2+ds-2)
- scientific tool for perpendicular line scanning FCS
- pyside2-tools (5.11.2-3)
- development tools for PySide2 (uic, rcc, lupdate)
- pysiogame (3.60.814-2)
- educational activity pack for kids
- pysolfc (2.0-4)
- collection of more than 1000 solitaire card games
- pysolfc-cardsets (2.0+dfsg2-2)
- additional card graphics for PysolFC
- pyspectral-bin (0.8.6+ds-1)
- Reading and manipulaing satellite sensor spectral responses - scripts
- pyspf-milter (2.9.2-0+deb10u1)
- Modern milter for SPF checking
- pysph-doc (0~20180411.git1ae58e1-2.1)
- documentation and examples for PySPH
- pysph-viewer (0~20180411.git1ae58e1-2.1)
- viewer for PySPH - framework for Smoothed Particle Hydrodynamics
- pyspread (1.1.1-1)
- cross-platform Python spreadsheet application
- pysrs-bin (1.0.3-1)
- Python SRS (Sender Rewriting Scheme) binaries
- pyssim (0.2-1)
- Tool computing the Structural Similarity Image Metric (SSIM)
- pysycache (3.1-3.3)
- Educational game to teach children to use the mouse
- pysycache-buttons-beerabbit (3.1-3.3)
- Bee-rabbit images for buttons activities for PySyCache
- pysycache-buttons-crapaud (3.1-3.3)
- Crapaud images for buttons activities for PySyCache
- pysycache-buttons-ice (3.1-3.3)
- Ice images for buttons activities for PySyCache
- pysycache-buttons-wolf (3.1-3.3)
- Wolf images for buttons activities for PySyCache
- pysycache-click-dinosaurs (3.1-3.3)
- Dinosaurs images for click activities for PySyCache
- pysycache-click-sea (3.1-3.3)
- Sea images for click activities for PySyCache
- pysycache-dblclick-appleandpear (3.1-3.3)
- Apple and pear images for double click activities for PySyCache
- pysycache-dblclick-butterfly (3.1-3.3)
- Butterfly images for double click activities for PySyCache
- pysycache-i18n (3.1-3.3)
- Translations for PySyCache
- pysycache-images (3.1-3.3)
- Images for PySyCache
- pysycache-move-animals (3.1-3.3)
- Animals images for mouse move activities for PySyCache
- pysycache-move-food (3.1-3.3)
- Food images for mouse move activities for PySyCache
- pysycache-move-plants (3.1-3.3)
- Plants images for mouse move activities for PySyCache
- pysycache-move-sky (3.1-3.3)
- Sky images for mouse move activities for PySyCache
- pysycache-move-sports (3.1-3.3)
- Sports images for mouse move activities for PySyCache
- pysycache-puzzle-cartoons (3.1-3.3)
- Cartoons images for puzzle activities for PySyCache
- pysycache-puzzle-photos (3.1-3.3)
- Photos for puzzle activities for PySyCache
- pysycache-sounds (3.1-3.3)
- Collection of sounds to be used with PySyCache
- pytagsfs (0.9.2-6)
- maps media files to an arbitrary directory structure
- pytest-benchmark (3.2.2-1)
- tool for inspecting benchmark data from pytest-benchmark
- python (2.7.16-1)
- interactive high-level object-oriented language (Python2 version)
- python-aafigure (0.5-7)
- ASCII art to image converter
- python-aalib (0.3.2-3)
- Python interface to AAlib, an ASCII art library
- python-acme (0.31.0-2)
- ACME protocol library for Python 2
- python-acme-doc (0.31.0-2)
- ACME protocol library for Python 2 - Documentation
- python-acora (2.2-1.1)
- fast multi-keyword text search engine (Python 2)
- python-acoustid (1.1.5-1)
- Acoustid interface implementation and Chromaprint bindings
- python-actdiag (0.5.4+dfsg-1)
- generate activity-diagram image file from spec-text file
- python-actionlib (1.11.15-1+deb10u1)
- Robot OS actionlib library - Python 2 interface
- python-actionlib-msgs (1.12.7-1)
- Messages relating to Robot OS actionlib, Python 2 interface
- python-activipy (0.1-6)
- implementation of ActivityStreams 2.0 for Python 2
- python-adal (1.2.1-1)
- Azure Active Directory Authentication Library for Python 2.x
- python-adios (1.13.1-16)
- Python interface to the ADIOS IO system
- python-admesh (0.98.9-1)
- Python bindings for the ADMesh (Python 2)
- python-adns (1.2.1-5+b1 [amd64, i386], 1.2.1-5 [arm64, armhf])
- Python bindings to the asynchronous DNS resolver library
- python-adodb (2.10-2)
- A database abstraction library for python
- python-aff4 (0.24.post1-4)
- AFF4 - The Advanced Forensics File Format - Python 2 bindings
- python-affine (2.2.2-1)
- Python Library for handling affine transformations of the plane
- python-afl (0.7.1-1)
- American Fuzzy Lop (afl) for pure Python code
- python-agate-doc (1.6.0-3)
- documentation for agate
- python-agatedbf-doc (0.2.0-2)
- documentation for agate-dbf
- python-agateexcel-doc (0.2.1-3)
- documentation for agate-excel
- python-agatesql-doc (0.5.2-2)
- documentation for agate-sql
- python-aggdraw (1.3.9+ds-2)
- High quality drawing interface for PIL - Python 2.X
- python-aioamqp-doc (0.12.0-1)
- AMQP implementation using asyncio (Documentation)
- python-aiocoap-doc (0.3-3)
- Python implementation of CoAP (doc)
- python-aiodns (1.1.1-1)
- Asynchronous DNS resolver library for Python
- python-ajax-select (1.7.0-1)
- Django library for editing fields with autocomplete
- python-alabaster (0.7.8-1)
- Configurable sidebar-enabled Sphinx theme (Python 2)
- python-alembic (1.0.0-3)
- lightweight database migration tool for SQLAlchemy - Python 2.x
- python-all (2.7.16-1)
- package depending on all supported Python2 runtime versions
- python-all-dbg (2.7.16-1)
- package depending on all supported Python2 debugging packages
- python-all-dev (2.7.16-1)
- package depending on all supported Python2 development packages
- python-alsaaudio (0.8.4-1)
- Alsa bindings for Python
- python-altgraph (0.16.1~repack0-1)
- Python 2 graph (network) package
- python-altgraph-doc (0.16.1~repack0-1)
- Python graph (network) package - API documentation
- python-amqp (2.4.0-2)
- Low-level AMQP client
- python-amqp-doc (2.4.0-2)
- Low-level AMQP client (Documentation)
- python-amqplib (1.0.2-1)
- simple non-threaded Python AMQP client library
- python-amqplib-doc (1.0.2-1)
- simple non-threaded Python AMQP client library (Documentation)
- python-angles (1.9.11-3)
- Robot OS set of simple math utilities to work with angles (Python 2)
- python-aniso8601 (4.1.0-1)
- python2 library for parsing dates and time
- python-antlr (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc - Python 2.7
- python-antlr3 (3.5.2-1)
- ANother Tool for Language Recognition - Python 2.7 bindings
- python-anyjson (0.3.3-1)
- Common interface for the best available JSON implementation
- python-aodhclient (1.1.0-2)
- OpenStack Alarming as a Service - Python 2.7 client
- python-aodhclient-doc (1.1.0-2)
- OpenStack Alarming as a Service - client doc
- python-apipkg (1.5-2)
- namespace control and lazy-import mechanism for Python
- python-apns-client (0.1.8-2)
- Python client for the Apple Push Notification service (APNS)
- python-appdirs (1.4.3-1)
- determining appropriate platform-specific directories (Python 2)
- python-apptools (4.4.0-3)
- ETS Application Tools (Python 2)
- python-apptools-doc (4.4.0-3)
- ETS Application Tools (doc)
- python-apscheduler (3.5.3-1)
- In-process task scheduler with Cron-like capabilities
- python-apsw (3.24.0-r1-1)
- another Python SQLite 3 wrapper
- python-apsw-dbg (3.24.0-r1-1)
- another Python SQLite 3 wrapper (debug extension)
- python-apsw-doc (3.24.0-r1-1)
- documentation for python-apsw
- python-apt (1.8.4.3)
- Python interface to libapt-pkg
- python-apt-common (1.8.4.3)
- Python interface to libapt-pkg (locales)
- python-apt-dbg (1.8.4.3)
- Python interface to libapt-pkg (debug extension)
- python-apt-dev (1.8.4.3)
- Python interface to libapt-pkg (development files)
- python-apt-doc (1.8.4.3)
- Python interface to libapt-pkg (API documentation)
- python-aptly (0.12.10-1)
- Aptly REST API client and useful tooling - Python 2.7
- python-argcomplete (1.8.1-1)
- bash tab completion for argparse
- python-argh (0.26.2-1)
- simple argparse wrapper (Python 2)
- python-argon2 (18.3.0-1)
- Argon2 password hashing library - Python 2.x Module
- python-argon2-doc (18.3.0-1)
- Argon2 password hashing library - Python Module Documentation
- python-argparse
- virtueel pakket geboden door libpython2.7-stdlib
- python-args (0.1.0-2)
- Command Arguments for Humans (Python 2)
- python-argvalidate (0.9.0-2)
- simple argument validator library
- python-ariapy (2.8.0+repack-1.2)
- C++ library for MobileRobots/ActivMedia robots (Python bindings)
- python-arpy (1.1.1-3)
- library for accessing "ar" archives
- python-arrow (0.12.1-2)
- Python library to manipulate dates, times, and timestamps
- python-arrow-doc (0.12.1-2)
- Python library to manipulate dates, times, timestamps: documentation
- python-artifacts (20190113-1)
- knowledge base of forensic artifacts (Python 2)
- python-asdf-doc (2.3.2-2)
- Python library for the Advanced Scientific Data Format (documentation)
- python-ase (3.17.0-2)
- Atomic Simulation Environment (Python 2)
- python-ase-doc (3.17.0-2)
- Atomic Simulation Environment (common documentation)
- python-asn1crypto (0.24.0-1)
- Fast ASN.1 parser and serializer (Python 2)
- python-asterisk (0.5.3-1.1)
- Asterisk Manager API interface module for Python
- python-asteval (0.9.12-1)
- minimalistic evaluator of Python 2 expression using ast module
- python-astor (0.5-1)
- Python AST manipulator
- python-astral (1.6.1-1)
- Calculations for the position of the sun and moon (Python 2)
- python-astroid (1.6.5-3)
- rebuild a new abstract syntax tree from Python's AST
- python-astroplan-doc (0.4-4)
- Observation planning package for astronomers (documentation)
- python-astropy-doc (3.1.2-2)
- Core functionality for performing astrophysics with Python (doc)
- python-astroquery-doc (0.3.9+dfsg-1)
- Python online astronomical database querying (documentation)
- python-asyncssh-doc (1.12.2-1+deb10u1) [security]
- asyncio-based client and server implementation of SSHv2 protocol (doc)
- python-atomicwrites (1.1.5-2)
- Atomic file writes - Python 2.7
- python-attr (18.2.0-1)
- Attributes without boilerplate (Python 2)
- python-attr-doc (18.2.0-1)
- documentation for the attrs Python library
- python-aubio (0.4.6-2)
- Python interface for aubio, a library for audio segmentation
- python-audioread (2.1.5-1)
- Backend-agnostic audio decoding Python package
- python-audit (1:2.8.4-3)
- Python bindings for security auditing
- python-augeas (0.5.0-1)
- Python bindings for Augeas
- (0.10.0-1)
- Python module for generating email authentication headers
- python-authkit (0.4.3-2)
- authentication and authorisation framework for Python WSGI applications
- python-authres (1.1.1-1)
- RFC 7601 Authentication Results Header manipulation for Python
- python-autobahn (17.10.1+dfsg1-3+deb10u1)
- WebSocket client and server library, WAMP framework - Python 2.x
- python-automat (0.6.0-1)
- Self-service finite-state machines for the programmer on the go
- python-automaton (1.15.0-1)
- friendly state machines - Python 2.7
- python-automaton-doc (1.15.0-1)
- friendly state machines - Python 3.x
- python-autopep8 (1.4.3-1)
- tool that automatically formats Python code to conform to PEP 8
- python-avahi (0.7-4+deb10u3) [security]
- Python utility package for Avahi
- python-avc (0.8.3-1.1)
- live connection among widgets and application variables
- python-avogadro (1.2.0-4+b2)
- Molecular Graphics and Modelling System (Python module)
- python-avro (1.8.2+dfsg-2)
- Apache Avro serialization system (Python 2 library)
- python-aws-requests-auth (0.4.1-1)
- AWS Signature Version 4 Signing Process (Python 2)
- python-aws-xray-sdk (0.95-1)
- AWS X-Ray SDK for Python
- python-awsauth (0.1.5-1)
- AWS authentication for Amazon S3 for the python-requests module
- python-axiom (0.7.5-2)
- Python object database
- python-axolotl (0.1.42-1)
- Python port of libaxolotl-android
- python-axolotl-curve25519 (0.4.1.post2-1+b1)
- Python wrapper for curve25519 library with ed25519 signatures
- python-ayatana-appindicator (0.5.3-4)
- Python bindings for libayatana-appindicator (GTK-2+ version)
- python-azure (20181112+git-2)
- Microsoft Azure SDK for Python 2.x
- python-azure-devtools (1.1.1-1)
- Microsoft Azure Development Tools for Python 2.x
- python-azure-doc (20181112+git-2)
- Microsoft Azure SDK for Python - Documentation
- python-azure-storage (20181109+git-1)
- Microsoft Azure Storage Library for Python 2.x
- python-babel (2.6.0+dfsg.1-1+deb10u1)
- tools for internationalizing Python applications - Python 2.x
- python-babel-doc (2.6.0+dfsg.1-1+deb10u1)
- tools for internationalizing Python applications - documentation
- python-babel-localedata (2.6.0+dfsg.1-1+deb10u1)
- tools for internationalizing Python applications - locale data files
- python-babelfish (0.5.4-1)
- library to work with countries and languages (Python 2)
- python-backports-abc (0.5-2)
- Backport of the "collections.abc" stdlib module (Python 2)
- python-backports-shutil-get-terminal-size (1.0.0-5)
- Backport of the "shutil.get_terminal_size" function (Python 2)
- python-backports.csv (1.0.6-1)
- Backport of the Python 3 CSV module for Python 2
- python-backports.functools-lru-cache (1.5-3)
- backport of functools.lru_cache from Python 3.3 to Python 2
- python-backports.os (0.1.1-1)
- Backports of new features in Python's os module
- python-backports.ssl-match-hostname (3.5.0.1-1)
- Backport of the Python 3.5 SSL hostname checking function
- python-backports.tempfile (1.0-3)
- backports of new features in Python 2 tempfile module
- python-backports.weakref (1.0-2)
- backports of new features in Python 2 weakref module
- python-backup2swift (0.8-1)
- backup data to OpenStack Swift - python 2.x
- python-ball (1.5.0+git20180813.37fc53c-3)
- Python bindings for the Biochemical Algorithms Library
- python-bandit (1.5.1-1)
- Security oriented static analyzer for Python code - Python 2.7
- python-barbicanclient (4.7.2-1)
- OpenStack Key Management API client - Python 2.x
- python-bashate (0.6.0-1)
- bash script style guide checker - Python 2.x
- python-bashate-doc (0.6.0-1)
- bash script style guide checker - doc
- python-bcdoc (0.16.0-1)
- ReST document generation tools for botocore (Python 2)
- python-bcolz (1.2.1+ds2-2)
- high performant compressed data container based on NumPy (Python 2)
- python-bcrypt (3.1.6-1)
- password hashing library for Python
- python-bdist-nsi (0.1.5-1)
- Create NSIS windows installers for Python modules (Python 2)
- python-beaker (1.10.0-1)
- cache and session library
- python-beanstalkc (0.4.0-1)
- simple beanstalkd client library for Python
- python-behave (1.2.5-2)
- behaviour-driven development for Python 2
- python-behave-doc (1.2.5-2)
- behaviour-driven development, documentation
- python-bernhard (0.2.6-1)
- client for the Riemann event stream processor - Python 2.X
- python-betamax (0.8.1-1)
- VCR imitation designed only for python-requests - Python 2.X
- python-betamax-doc (0.8.1-1)
- VCR imitation designed only for python-requests - Documentation
- python-bibtex (1.2.7-1)
- Python interfaces to BibTeX and the GNU Recode library
- python-bibtexparser (1.1.0+ds-1)
- Python library to parse bibtex files
- python-bibtexparser-doc (1.1.0+ds-1)
- documentation for BibtexParser
- python-billiard (3.6.0.0-1)
- Multiprocessing Pool Extensions for Python
- python-billiard-doc (3.6.0.0-1)
- Multiprocessing Pool Extensions for Python (Documentation)
- python-binaryornot (0.4.4+dfsg-2)
- check if a file is binary or text (Python 2 module)
- python-binplist (0.1.5-2)
- binary property list parser module
- python-bioblend (0.7.0-2)
- CloudMan and Galaxy API library (Python 2)
- python-bioblend-doc (0.7.0-2)
- CloudMan and Galaxy API library (common documentation)
- python-biom-format (2.1.7+dfsg-2)
- Biological Observation Matrix (BIOM) format (Python 2)
- python-biom-format-doc (2.1.7+dfsg-2)
- documentation for BIOM format
- python-biopython (1.73+dfsg-1)
- Python library for bioinformatics (implemented in Python 2)
- python-biopython-doc (1.73+dfsg-1)
- Documentation for the Biopython library
- python-biopython-sql (1.73+dfsg-1)
- Biopython support for the BioSQL database schema (Python 2)
- python-biosig (1.9.3-2)
- Python bindings for BioSig library
- python-biotools (1.2.12-3)
- Python bioinformatics utilities for high-throughput genomic sequencing
- python-biplist (1.0.3-1)
- Python 2 library for reading/writing Mac OS X binary plists
- python-bitarray (0.8.1-1+b4)
- Python module for efficient boolean array handling
- python-bitbucket (0.1-1)
- Python bindings for the bitbucket.org REST API
- python-bitcoin (1.1.42-1)
- library for cryptocurrency transactions — Python 2
- python-bitstring (3.1.5-1)
- Python module for manipulation of binary data (Python 2)
- python-bitstring-doc (3.1.5-1)
- Python module for manipulation of binary data (documentation)
- python-bitstruct (3.7.0-1)
- Python bit pack/unpack package
- python-bittornado
- virtueel pakket geboden door bittornado
- python-bittorrent (3.4.2-12)
- Scatter-gather network file transfer
- python-bjsonrpc (0.2.0-2)
- asynchronous bidirectional JSON-RPC protocol over TCP/IP
- python-black-doc (18.9b0-1-6)
- uncompromising Python code formatter (common documentation)
- python-bleach (3.1.2-0+deb10u2)
- whitelist-based HTML-sanitizing library (Python 2)
- python-bleach-doc (3.1.2-0+deb10u2)
- whitelist-based HTML-sanitizing library (common documentation)
- python-blessed (1.15.0-1)
- Thin, practical wrapper around terminal capabilities in Python2
- python-blessings (1.6-2)
- simple but powerful module to manage terminal color and styling (Python 2)
- python-blinker (1.4+dfsg1-0.2)
- Fast, simple object-to-object and broadcast signaling library
- python-blinker-doc (1.4+dfsg1-0.2)
- Documentation for the blinker signaling library
- python-blist (1.3.6-5)
- List-like type for Python with better asymptotic performance
- python-blockdiag (1.5.3+dfsg-5.2)
- generate block-diagram image file from spec-text file
- python-bloom (0.7.2-1)
- Bloom is a release automation tool from Robot OS (Python 2)
- python-bloomfilter (2.0-2)
- Native Python implementation of the Bloom filter probabilistic data structure
- python-blosc (1.7.0+ds1-1)
- Python bindings for the Blosc meta-compressor
- python-blosc-doc (1.7.0+ds1-1)
- Python bindings for the Blosc meta-compressor (docs)
- python-bluetooth
- virtueel pakket geboden door python-bluez
- python-bluez (0.22+really0.22-1)
- Python 2 wrappers around BlueZ for rapid bluetooth development
- python-bobo (0.2.2-3)
- Web application framework for the impatient
- python-boltons (18.0.1-1)
- set of pure-Python utilities (Python 2)
- python-bond (1.8.3-1)
- Messages related to Robot OS bond_core - Python 2
- python-bondpy (1.8.3-1+b1)
- Python 2 implementation of bond
- python-bootstrapform (3.4-2)
- generate twitter-bootstrap form output for django form - Python 2.x
- python-bootstrapform-doc (3.4-2)
- generate twitter-bootstrap form output for django form - doc
- python-boto (2.44.0-1.1)
- Python interface to Amazon's Web Services - Python 2.x
- python-boto3 (1.9.86-1)
- Python interface to Amazon's Web Services - Python 2.x
- python-botocore (1.12.103+repack-1)
- Low-level, data-driven core of boto 3 (Python 2)
- python-bottle (0.12.15-2+deb10u2)
- fast and simple WSGI-framework for Python
- python-bottle-beaker (0.1.3-2)
- Bottle plugin beaker, WSGI middleware for sessions and caching - Python 2.X
- python-bottle-cork (0.12.0-3)
- Authentication/Authorization library for Bottle - Python 2
- python-bottle-doc (0.12.15-2+deb10u2)
- fast and simple WSGI-framework for Python - documentation
- python-bottle-sqlite (0.1.3-2)
- SQLite3 integration for Bottle - Python 2.X
- python-bottleneck (1.2.1+ds1-1)
- Fast NumPy array functions written in C (Python 2)
- python-bottleneck-dbg (1.2.1+ds1-1)
- debug extensions for bottleneck (Python 2)
- python-bottleneck-doc (1.2.1+ds1-1)
- documentation for bottleneck
- python-box2d (2.3.2~dfsg-2)
- 2D Game Physics for Python
- python-box2d-doc (2.3.2~dfsg-2)
- 2D Game Physics for Python - documentation
- python-bpfcc (0.8.0-4)
- Python wrappers for BPF Compiler Collection (BCC)
- python-braintree (3.50.0-1)
- Braintree Python library
- python-breadability (0.1.20-5)
- Python module that makes HTML documents more readable
- python-breathe (4.11.1-1)
- Sphinx autodox support for languages with doxygen support (Python 2)
- python-breezy (3.0.0~bzr7290-2)
- distributed version control system - Python 2 library
- python-breezy.tests (3.0.0~bzr7290-2)
- distributed version control system - Python 2 testsuite
- python-brial (1.2.4-2)
- polynomials over Boolean Rings, Python 2 module
- python-brlapi (5.6-10+deb10u1)
- Braille display access via BRLTTY - Python bindings
- python-broccoli (0.62-1)
- Python bindings for Broccoli
- python-brotli (1.0.7-2+deb10u1)
- lossless compression algorithm and format (Python 2 version)
- python-bs4 (4.7.1-1)
- error-tolerant HTML parser for Python
- python-bs4-doc (4.7.1-1)
- error-tolerant HTML parser for Python - documentation
- python-bsddb3 (6.2.6-3)
- Python interface for Berkeley DB
- python-bsddb3-dbg (6.2.6-3)
- Python interface for Berkeley DB (debug extension)
- python-bsddb3-doc (6.2.6-3)
- Documentation for the python Berkeley DB interface module
- python-bson (3.7.1-1.1)
- Python implementation of BSON for MongoDB
- python-bson-ext (3.7.1-1.1)
- C-coded extension to the python-bson package
- python-btchip (0.1.24-1)
- Python library to communicate with BTChip dongle
- python-btrees (4.3.1-1+b2)
- scalable persistent object containers for Python
- python-btrees-doc (4.3.1-1)
- scalable persistent object containers for Python - documentation
- python-buffy (0.16+b6)
- Python wrapper for the libbuffy library
- python-bugzilla (2.2.0-1)
- Python library for interacting with Bugzilla (Python 2)
- python-bumps (0.7.11-2)
- data fitting and Bayesian uncertainty modeling for inverse problems (Python 2)
- python-bumps-doc (0.7.11-2)
- data fitting and Bayesian uncertainty modeling for inverse problems (docs)
- python-bunch (1.0.1-1)
- Dot-accessible Python dictionary (a la JavaScript objects)
- python-burrito (0.9.1-3)
- Python 2 framework for wrapping and controlling command-line applications
- python-buzhug (1.8-3)
- pure-Python database engine, using a Pythonic, no-SQL syntax
- python-bx (0.8.2-1)
- library to manage genomic data and its aligment
- python-bx-tools (0.8.2-1)
- command line interface to python3-bx
- python-bz2file (0.98-2)
- Python library for reading and writing bzip2-compressed files
- python-bzrlib (2.7.0+bzr6622-15)
- distributed version control system - python library
- python-bzrlib-dbg (2.7.0+bzr6622-15)
- distributed version control system - debug extension
- python-bzrlib.tests (2.7.0+bzr6622-15)
- distributed version control system - testsuite
- python-cachecontrol (0.11.7-1)
- caching algorithms in httplib2 for use with requests
- python-cached-property (1.5.1-3)
- Provides cached-property for decorating methods in classes (Python 2)
- python-cachetools (3.1.0-2)
- extensible memoizing collections and decorators for Python
- python-cairo (1.16.2-1+b1)
- Python bindings for the Cairo vector graphics library
- python-cairo-dbg (1.16.2-1+b1)
- Python bindings for the Cairo vector graphics library (debug extension)
- python-cairo-dev (1.16.2-1)
- Python cairo bindings: development files
- python-cairocffi (0.7.2-2.2)
- cffi-based cairo bindings for Python
- python-cairocffi-doc (0.7.2-2.2)
- cffi-based cairo bindings for Python - Documentation
- python-cairosvg (1.0.20-1)
- SVG to PDF/PS/PNG converter based on Cairo
- python-caja (1.20.2-1)
- Python binding for Caja components
- python-caja-common (1.20.2-1)
- Python binding for Caja components (common files)
- python-calabash (0.0.3-3)
- Bash-style pipelining syntax for Python generators
- python-caldav (0.5.0-0.1)
- CalDAV (RFC4791) client library for Python 2
- python-camera-calibration-parsers (1.11.13-3+b1)
- Robot OS camera_calibration_parsers Python 2 package
- python-can (3.0.0+github-1)
- Controller Area Network (CAN) interface module - Python 2.x
- python-can-doc (3.0.0+github-1)
- Controller Area Network (CAN) interface module - API documentation
- python-canmatrix (0.6-3)
- Handle CAN (Controller Area Network) database formats - Python 2.x
- python-canonicaljson (1.1.4-2)
- canonical JSON implementation for Python 2
- python-cap-ng (0.7.9-2)
- Python bindings for libcap-ng
- python-capstone (4.0.1+really+3.0.5-1)
- lightweight multi-architecture disassembly framework - Python bindings
- python-carquinyol (0.112-1)
- Sugar Learning Platform - datastore
- python-carrot (0.10.7-1.1)
- AMQP messaging queue framework
- python-cartopy (0.17.0+dfsg-3)
- Cartographic library for Python 2
- python-cartopy-data (0.17.0+dfsg-3)
- cartographic library for Python (package data)
- python-case (1.5.3+dfsg-2)
- Python unittest Utilities (Python2 version)
- python-case-doc (1.5.3+dfsg-2)
- Python unittest Utilities (documentation)
- python-castellan (0.19.0-1)
- generic key manager interface for OpenStack - Python 2.x
- python-castellan-doc (0.19.0-1)
- generic key manager interface for OpenStack - doc
- python-catcher (0.1.7+git20140530-1)
- beautiful stack traces generator for Python
- python-catkin-lint (1.6.0-1)
- Check Robot OS catkin packages for common errors
- python-catkin-pkg (0.4.10-1)
- Low-level build system macros for Robot OS -- Python 2 module
- python-catwalk (2.0.2-6)
- model management interface for the Turbogears web framework
- python-cbor (1.0.0-1+b1)
- Python Implementation of RFC 7049. Concise Binary Object Representation (CBOR)
- python-cclib (1.6-1)
- Parsers and algorithms for computational chemistry (Python module)
- python-cdd (0.0.11+nmu1)
- library to make easier to build CDD related applications
- python-cddb (1.4-5.3)
- Python interface to CD-IDs and FreeDB
- python-cdiff (1.0-1)
- Colored, incremental diff with side by side and auto pager support (Python 2)
- python-ceilometerclient (2.9.0-2)
- Client library for Openstack Ceilometer API server - Python 2.7
- python-ceilometerclient-doc (2.9.0-2)
- Client library for Openstack Ceilometer API server - doc
- python-ceilometermiddleware (1.3.0-1)
- OpenStack Telemetry middleware for generating metrics - Python 2.x
- python-ceilometermiddleware-doc (1.3.0-1)
- OpenStack Telemetry middleware for generating metrics - doc
- python-celery (4.2.1-3)
- async task/job queue based on message passing (Python2 version)
- python-celery-common (4.2.1-3)
- async task/job queue based on message passing (common files)
- python-celery-doc (4.2.1-3)
- async task/job queue based on message passing (Documentation)
- python-cement (2.10.0-1)
- CLI Application Framework (Python2 version)
- python-cement-doc (2.10.0-1)
- CLI Application Framework (Documentation)
- python-ceph (12.2.11+dfsg1-2.1+deb10u1) [security]
- Meta-package for Python modules for the Ceph libraries
- python-cephfs (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python libraries for the Ceph libcephfs library
- python-cerberus-doc (1.2-2)
- Documentation for python3-cerberus
- python-cerealizer (0.8.1-2)
- secure pickle-like module for Python 2
- python-certbot-apache (0.31.0-1)
- transitional dummy package
- python-certbot-apache-doc (0.31.0-1)
- Apache plugin documentation for Certbot
- python-certbot-dns-cloudflare-doc (0.23.0-2)
- Documentation for the Cloudflare DNS plugin for Certbot
- python-certbot-dns-digitalocean-doc (0.23.0-2)
- Documentation for the DigitalOcean DNS plugin for Certbot
- python-certbot-dns-dnsimple-doc (0.31.0-1)
- Documentation for the DNSimple DNS plugin for Certbot
- python-certbot-dns-gehirn-doc (0.31.0-1)
- Documentation for the Gehirn DNS plugin for Certbot
- python-certbot-dns-google-doc (0.23.0-2)
- Documentation for the Google DNS plugin for Certbot
- python-certbot-dns-linode-doc (0.31.0-1)
- Documentation for the Linode DNS plugin for Certbot
- python-certbot-dns-ovh-doc (0.31.0-1)
- Documentation for the OVH DNS plugin for Certbot
- python-certbot-dns-rfc2136-doc (0.24.0-2)
- Documentation for the RFC 2136 DNS plugin for Certbot
- python-certbot-dns-route53-doc (0.28.0-1)
- Documentation for the Route53 DNS plugin for Certbot
- python-certbot-dns-sakuracloud-doc (0.31.0-1)
- Documentation for the SakuraCloud DNS plugin for Certbot
- python-certbot-doc (0.31.0-1+deb10u1)
- client documentation for certbot
- python-certbot-nginx (0.31.0-1)
- transitional dummy package
- python-certbot-nginx-doc (0.31.0-1)
- Nginx plugin documentation for Certbot
- python-certifi (2018.8.24-1)
- root certificates for validating SSL certs and verifying TLS hosts
- python-cffi (1.12.2-1)
- Foreign Function Interface for Python calling C code
- python-cffi-backend (1.12.2-1)
- Foreign Function Interface for Python calling C code - backend
- python-cffi-backend-api-9729
- virtueel pakket geboden door python-cffi-backend
- python-cffi-backend-api-max (= 10495)
- virtueel pakket geboden door python-cffi-backend
- python-cffi-backend-api-min (= 9729)
- virtueel pakket geboden door python-cffi-backend
- python-cffi-backend-dbg (1.12.2-1)
- Foreign Function Interface for Python calling C code (Debug version)
- python-cffi-doc (1.12.2-1)
- Foreign Function Interface for Python calling C code - documentation
- python-cfflib (2.0.5-3)
- Multi-modal connectome and metadata management and integration
- python-cftime (1.0.3.4-1)
- Time-handling functionality from netcdf4-python (Python 2)
- python-chaco (4.5.0-1)
- interactive plotting application toolkit
- python-chameleon (2.24-1)
- XML-based template compiler
- python-chameleon-doc (2.24-1)
- XML-based template compiler
- python-changelog (0.4.2-1)
- Sphinx extension to generate changelog files (Python 2)
- python-characteristic (14.3.0-2)
- helper for implementing attribute-related object protocols (Python 2)
- python-chardet (3.0.4-3)
- universal character encoding detector for Python2
- python-chargebee (1.6.3-4)
- Python library for integrating with Chargebee (Python 2/API v1)
- python-chargebee2 (2.6.2-1)
- Python library for integrating with Chargebee (Python 2/API v2)
- python-chartkick (0.5.0-1)
- create beautiful JavaScript charts with minimal code (Python 2)
- python-cheetah (3.1.0-3)
- text-based template engine and Python code generator (Python 2)
- python-cheetah-doc (3.1.0-3)
- documentation for the Cheetah template engine
- python-chemfp (1.1p1-2.1)
- cheminformatics fingerprints file formats and tools
- python-cherrypy (2.3.0-5)
- Python web development framework
- python-cherrypy3 (8.9.1-2)
- Python web development framework - Python 2 module
- python-cherrypy3-doc (8.9.1-2)
- Documentation for CherryPy web framework
- python-chm (0.8.4.1-2)
- Python binding for CHMLIB
- python-chm-dbg (0.8.4.1-2)
- Python binding for CHMLIB - debug extension
- python-cinderclient (1:4.0.1-2)
- Python bindings to the OpenStack Volume API - Python 2.x
- python-cinfony (1.2-1)
- Python abstraction layer to cheminformatics toolkits
- python-circuits (3.1.0+ds1-1)
- event-driven framework with a component architecture
- python-circuits-doc (3.1.0+ds1-1)
- event-driven framework with a component architecture (Documentation)
- python-citeproc (0.3.0-3)
- Citation Style Language (CSL) processor for Python
- python-cjson (1.2.1-1)
- Very fast JSON encoder/decoder for Python
- python-cjson-dbg (1.2.1-1)
- Very fast JSON encoder/decoder for Python (debug extension)
- python-ck (1.9.4-1)
- Python2 light-weight knowledge manager
- python-clang (1:7.0-47)
- Clang Python Bindings
- python-clang-6.0 (1:6.0.1-10)
- Clang Python Bindings
- python-clang-7 (1:7.0.1-8+deb10u2)
- Clang Python Bindings
- python-clang-x.y
- virtueel pakket geboden door python3-clang-13, python3-clang-11, python-clang-7, python-clang-6.0
- python-clearsilver (0.10.5-3+b1)
- Python bindings for clearsilver
- python-cliapp (1.20180812.1-2)
- Python framework for Unix command line programs
- python-click (7.0-1)
- Wrapper around optparse for command line utilities - Python 2.7
- python-click-doc (7.0-1)
- Wrapper around optparse for command line utilities - documentation
- python-click-log (0.2.1-1)
- Logging integration for Click - Python 2.7
- python-click-plugins (1.0.4-1)
- Click extension to register external CLI commands (Python 2)
- python-click-threading (0.4.4-1)
- Utilities for multithreading in click - Python 2.7
- python-clientform (1:0.2.5-3+deb10u1) [security]
- transitional dummy package
- python-clientform
- virtueel pakket geboden door python-mechanize
- python-cliff (2.13.0-1)
- command line interface formulation framework - Python 2.x
- python-cliff-doc (2.13.0-1)
- command line interface formulation framework documentation
- python-cligj (0.5.0-1)
- Python 2 library for processing GeoJSON commands
- python-clint (0.5.1-1)
- Python Command-line Application Tools
- python-clips (1.0.7.348+clips-4)
- Python module to interface the CLIPS expert system shell library
- python-cloud-sptheme (1.9.4-1)
- Cloud Sphinx theme and related extensions
- python-cloudfiles (1.7.11-3)
- Python language bindings for Cloud Files API
- python-cloudkittyclient (1.2.0-4)
- API client for Rating as a Service project - Python 2.7
- python-cloudkittyclient-doc (1.2.0-4)
- API client for Rating as a Service project - doc
- python-cloudpickle (0.8.0-1)
- Extended pickling support for Python 2 objects
- python-cluster (1.3.3-1.1)
- allows grouping a list of arbitrary objects into related groups (clusters)
- python-clustershell (1.8.1-1)
- clustershell python2 libraries
- python-cmd2 (0.8.5-2)
- enhanced Python cmd module - Python 2.x
- python-cmislib (0.5.1+dfsg-2)
- CMIS client library for Python
- python-cmislib-doc (0.5.1+dfsg-2)
- CMIS client library for Python (documentation)
- python-cmor (3.4.0-2)
- Python interface to CMOR
- python-coards (1.0.5-3)
- COARDS-compliant time parser (Python 2)
- python-cobe (2.1.2-1)
- Markov chain based text generator library and chatbot
- python-cobra-data (0.14.1-1)
- constraint-based modeling of biological networks (data)
- python-codegen (1.0-2)
- extension to ast that allows AST -> Python code generation
- python-codicefiscale (0.9+ds0-1)
- Generate and validate Italian "codice fiscale" (Python 2.x)
- python-cogent (1.9-14)
- framework for genomic biology
- python-cogent-doc (1.9-14)
- docs for python-cogent
- python-collada (0.4-3)
- Python module for creating, editing and loading COLLADA
- python-colorama (0.3.7-1)
- Cross-platform colored terminal text in Python - Python 2.x
- python-coloredlogs (7.3-1)
- colored terminal output for Python 2's logging module
- python-colorlog (4.0.1-1)
- formatter to use with the logging module of Python 2
- python-colormap (1.0.2-1)
- ease manipulation of matplotlib colormaps and color codecs (Python 2)
- python-colorspacious (1.1.2-1)
- library for doing colorspace conversions - Python 2.X
- python-colour (0.1.5-1)
- converts and manipulates various color representation - Python 2.X
- python-comedilib (0.11.0-1)
- Python wrapper for Comedilib
- python-commando (1.0.0-0.2)
- wrapper for argparse to define declaratively (Python 2)
- python-commonmark-bkrs (0.5.4+ds-2)
- Python parser for the CommonMark Markdown spec -- Python
- python-commonmark-bkrs-doc (0.5.4+ds-2)
- Python parser for the CommonMark Markdown spec -- doc
- python-compizconfig (2:0.8.16-2+b1)
- Compizconfig bindings for python
- python-concurrent.futures (3.2.0-2)
- backport of concurrent.futures package from Python 3.2
- python-confget (2.2.0-4+deb10u1)
- read variables from INI-style configuration files - Python 2.x library
- python-configargparse (0.13.0-1)
- replacement for argparse with config files and environment variables (Python 2)
- python-configglue (1.0-1)
- Glues together optparse.OptionParser and ConfigParser.ConfigParser
- python-configobj (5.0.6-3)
- simple but powerful config file reader and writer for Python 2
- python-configobj-doc (5.0.6-3)
- simple but powerful config file reader and writer (documentation)
- python-configparser (3.5.0b2-1)
- backport of the enhanced config parser introduced in Python 3.2
- python-configshell-fb (1.1.24-1)
- Python library for building configuration shells - Python 2
- python-configshell-fb-doc (1.1.24-1)
- Python library for building configuration shells - doc
- python-confluent-kafka (0.11.6-1)
- Python client to interact with Kafka - Python 2.7
- python-congressclient (1.11.0-2)
- client for the open policy framework for the cloud - Python 2.x
- python-congressclient-doc (1.11.0-2)
- client for the open policy framework for the cloud - doc
- python-consensuscore2 (3.3.0+dfsg-2.1)
- generate consensus sequences for PacBio data -- Python 2
- python-constantly (15.1.0-1)
- Symbolic constants in Python
- python-construct (2.8.16-0.2)
- powerful declarative parser (and builder) for binary data
- python-construct.legacy (2.5.3-2)
- legacy fork of declarative binary data parser/builder (Python 2)
- python-contextlib2 (0.5.5-1)
- Backport and enhancements for the contextlib module - Python 2.7
- python-contract (1.4-4)
- Programming by contract for Python
- python-convoy (0.2.1+bzr25-3)
- WSGI app for loading multiple files in the same request
- python-cookiecutter (1.6.0-3)
- create projects from project templates (Python 2 module)
- python-cookiecutter-doc (1.6.0-3)
- create projects from project templates (documentation)
- python-cookies (2.2.1-2)
- Python RFC 6265-compliant cookie parser and renderer
- python-coreapi (2.3.3-3)
- Python client library for Core API
- python-corepywrap (1.005-6)
- library that exports C++ mmCIF accessors to Python
- python-coreschema (0.0.4-2)
- Python utilities to describe an abstract data schema to coreapi
- python-cotyledon (1.6.8-3)
- framework for defining long-running services - Python 2.7
- python-cotyledon-doc (1.6.8-3)
- framework for defining long-running services - doc
- python-couchdb (0.10-1.1)
- library for working with Apache CouchDB
- python-couleur (0.5.0-4)
- tool to play around with ANSI features in a unix terminal
- python-cov-core (1.15.0-2)
- plugin core for use by pytest-cov, nose-cov and nose2-cov
- python-coverage (4.5.2+dfsg.1-1)
- code coverage tool for Python 2
- python-coverage-doc (4.5.2+dfsg.1-1)
- code coverage tool for Python — documentation
- python-coverage-test-runner (1.13.1-2)
- fail Python program unit tests unless they test everything
- python-cpopen (1.4-2)
- C reimplementation of the tricky bits of Python's Popen
- python-cpopen-dbg (1.4-2)
- C reimplementation of the tricky bits of Python's Popen
- python-cpuinfo (4.0.0-1)
- Python module for getting CPU info
- python-cracklib (2.9.6-2)
- Python bindings for password checker library cracklib2
- python-cram (0.7-3)
- functional testing framework for command line applications - Python 2.x
- python-crank (0.7.2-4)
- dispatch mechanism for use across frameworks - Python 2.7
- python-crcelk (1.3-1)
- Implementation of the CRC algorithm (Python 2)
- python-crcmod (1.7+dfsg-1+b1)
- CRC Generator - Python 2.x
- python-creoleparser (0.7.4-2)
- Parser for the Creole common wiki markup language
- python-croniter (0.3.24-2)
- provides iteration for datetime object with cron like format - Python 2.x
- python-crontab (1.9.3-2)
- Python module for reading and writing crontab files
- python-crypto (2.6.1-9+b1)
- cryptographic algorithms and protocols for Python
- python-crypto-dbg (2.6.1-9+b1)
- cryptographic algorithms and protocols for Python (debug extension)
- python-crypto-doc (2.6.1-9)
- cryptographic algorithms and protocols for Python (documentation)
- python-cryptography (2.6.1-3+deb10u4) [security]
- Python library exposing cryptographic recipes and primitives (Python 2)
- python-cryptography-doc (2.6.1-3+deb10u4) [security]
- Python library exposing cryptographic recipes and primitives (documentation)
- python-cryptography-vectors (2.6.1-1)
- Test vectors for python-cryptography (Python 2)
- python-cs (2.3.1-1)
- simple, yet powerful CloudStack API client (Python module)
- python-csa (0.1.0-1.2)
- Connection-Set Algebra (CSA) implemented in Python
- python-csb (1.2.5+dfsg-3)
- Python framework for structural bioinformatics
- python-csb-doc (1.2.5+dfsg-3)
- Python framework for structural bioinformatics (documentation)
- python-csound (1:6.12.2~dfsg-3.1)
- Python bindings for Csound
- python-css-parser (1.0.4-1)
- CSS related utilities (parsing, serialization, etc) for Python 2
- python-csscompressor (0.9.4-2)
- Python port of YUI CSS Compressor - Python 2.7
- python-cssmin (0.2.0-7)
- YUI CSS compression algorithm (Python 2 version)
- python-cssselect (1.0.3-1)
- cssselect parses CSS3 Selectors and translates them to XPath 1.0
- python-cssselect2-doc (0.2.1-1)
- implementation of CSS3 Selectors (Documentation)
- python-cssutils (1.0.2-2)
- CSS Cascading Style Sheets parser and builder
- python-ctypes
- virtueel pakket geboden door python, python2
- python-cups (1.9.73-2+b1)
- Python bindings for CUPS
- python-cursive (0.2.1-2)
- OpenStack specific validation of digital signatures - Python 2
- python-cursive-doc (0.2.1-2)
- OpenStack specific validation of digital signatures - doc
- python-curtsies (0.2.12-1)
- library for terminal interaction (Python 2)
- python-cutadapt (1.18-1)
- Clean biological sequences from high-throughput sequencing reads (Python 2)
- python-cv-bridge (1.13.0+ds-2+b12)
- cv_bridge ROS package - Python 2 bindings
- python-cvxopt (1.1.9+dfsg-3+b1)
- Python package for convex optimization
- python-cvxopt-doc (1.1.9+dfsg-3)
- Python package for convex optimization (documentation)
- python-cwiid (0.6.00+svn201-4)
- library to interface with the wiimote
- python-cxx-dev (7.0.3-2)
- Set of facilities to extend Python with C++
- python-cycler (0.10.0-1)
- composable kwarg iterator (Python 2)
- python-cycler-doc (0.10.0-1)
- composable kwarg iterator (documentation)
- python-cyclone (1.1-2)
- web server framework for Python Twisted using the Tornado API
- python-cylc (7.8.0-5)
- Python libraries for cylc workflow scheduler
- python-cymruwhois (1.6-3.1)
- Python library for interfacing with the whois.cymru.com service (Python 2)
- python-cymruwhois-doc (1.6-3.1)
- python-cymruwhois common documentation
- python-cypari2 (1.3.1-2)
- Python interface to PARI -- Python 2
- python-cypari2-doc (1.3.1-2)
- Python interface to PARI -- documentation
- python-cysignals
- virtueel pakket geboden door python-cysignals-bare, python-cysignals-pari
- python-cysignals-bare (1.8.1+ds-2)
- interrupt and signal handling for Cython -- Python - bare
- python-cysignals-doc (1.8.1+ds-2)
- interrupt and signal handling for Cython -- doc
- python-cysignals-pari (1.8.1+ds-2)
- interrupt and signal handling for Cython -- Python - PARI/GP
- python-cyvcf2 (0.10.4-1)
- VCF parser based on htslib (Python 2)
- python-d2to1 (0.2.12-1)
- Python support for distutils2-like setup.cfg files as package metadata
- python-daap (0.7.1-4)
- DAAP client implemented in Python
- python-daemon (2.2.3-1)
- library for making a Unix daemon process — Python 2
- python-daemonize (2.4.7-2)
- enable your code to run as a daemon process - Python 2.x
- python-dap (2.2.6.7-3)
- DAP (Data Access Protocol) client and server
- python-darts.lib.utils.lru (0.5-4)
- Simple dictionary with LRU behaviour in Python2
- python-darts.lib.utils.lru-doc (0.5-4)
- Simple dictionary with LRU behaviour in Python (common documentation)
- python-dask-doc (1.0.0+dfsg-2)
- Minimal task scheduling abstraction documentation
- python-datalad (0.11.2-2)
- data files management and distribution platform
- python-dateutil (2.7.3-3)
- powerful extensions to the standard Python datetime module
- python-datrie (0.7.1-2)
- Super-fast, efficiently stored Trie for Python
- python-dbf (0.96.005-1)
- Python module for reading and writing dbf files (Python 2)
- python-dbf-doc (0.96.005-1)
- Python module for reading and writing dbf files (common documentation)
- python-dbfread-doc (2.0.7-2)
- documentation for dbfread
- python-dbg (2.7.16-1)
- debug build of the Python Interpreter2 (version 2.7)
- python-dbus (1.2.8-3)
- simple interprocess messaging system (Python interface)
- python-dbus-dbg (1.2.8-3)
- debug build of the D-Bus Python 2 interface
- python-dbus-dev (1.2.8-3)
- main loop integration development files for python-dbus
- python-dbus-doc (1.2.8-3)
- Documentation for the D-Bus Python interface
- python-dbus-tests (1.2.8-3)
- simple interprocess messaging system (Python interface - tests)
- python-dbus.mainloop.pyqt5 (5.11.3+dfsg-1+b3)
- D-Bus Qt main loop support for Python 2
- python-dbus.mainloop.pyqt5-dbg (5.11.3+dfsg-1+b3)
- D-Bus Qt main loop support for Python 2 (debug extension)
- python-dbusmock (0.18.2-1)
- mock D-Bus objects for tests (Python 2)
- python-dcos (0.2.0-2)
- Datacenter Operating System (DCOS) CLI - Python 2.7
- python-ddt (1.1.1-1)
- Data-Driven/Decorated Tests - Python 2.x
- python-ddt-doc (1.1.1-1)
- Data-Driven/Decorated Tests - doc
- python-deap (1.0.2.post2-6)
- Distributed Evolutionary Algorithms in Python
- python-deb822
- virtueel pakket geboden door python-debian
- python-debconf (1.5.71+deb10u1)
- interact with debconf from Python 2
- python-debian (0.1.35)
- Python modules to work with Debian-related data formats
- python-debianbts (2.8.2)
- Python interface to Debian's Bug Tracking System
- python-debiancontributors (0.7.8-1)
- Manage submissions to contributors.debian.org
- python-debtcollector (1.20.0-2)
- collection of patterns to collect technical debt - Python 2.x
- python-debtcollector-doc (1.20.0-2)
- collection of patterns to collect technical debt - doc
- python-decorator (4.3.0-1.1)
- simplify usage of Python decorators by programmers
- python-defer (1.0.6-2)
- Small framework for asynchronous programming (Python 2)
- python-defusedxml (0.5.0-2)
- XML bomb protection for Python stdlib modules (for Python 2)
- python-deltarpm (3.6+dfsg-1+b7)
- Python bindings for deltarpm
- python-demgengeo (1.2-1+b4)
- DEMGenGeo is a library for creating geometry files
- python-demjson (2.2.4-2)
- encoder, decoder, and lint/validator for JSON in Python (python2)
- python-dendropy (4.4.0-1)
- DendroPy Phylogenetic Computing Library (Python 2)
- python-deprecation (2.0.6-1)
- Library to handle automated deprecations - Python 2.x
- python-derpconf (0.8.2-2)
- Python module to abstract loading configuration files for your app
- python-descartes (1.1.0-2)
- Matplotlib extension to work with geometric objects (Python2)
- python-designateclient (2.10.0-2)
- client library for the OpenStack Designate API - Python 2.7
- python-designateclient-doc (2.10.0-2)
- client library for the OpenStack Designate API - doc
- python-dev (2.7.16-1)
- header files and a static library for Python2
- python-dexml (0.5.1-1)
- Dead-simple Object-XML mapper for Python
- python-dfdatetime (20190116-1)
- Digital Forensics date and time library for Python 2
- python-dfvfs (20190128-1)
- Digital Forensics Virtual File System
- python-dfwinreg (20190122-1)
- Digital Forensics Windows Registry library for Python 2
- python-dhm (0.6-4)
- collection of Python utilities / helper
- python-diagnostic-msgs (1.12.7-1)
- Messages relating to Robot OS diagnostic, Python 2 interface
- python-dialog (3.3.0-3)
- Python 2 module for making simple terminal-based user interfaces
- python-diamond (4.0.515-5)
- smart data producer for Graphite graphing package (Python module)
- python-diaspy (0.6.0-1)
- unofficial interface to the Diaspora social network (Python 2)
- python-diaspy-doc (0.6.0-1)
- unofficial interface to the Diaspora social network (documentation)
- python-dib-utils (0.0.6-2)
- Standalone tools related to diskimage-builder - Python 2.x
- python-dicom (1.2.1-1)
- transitional package for python-pydicom
- python-dicom
- virtueel pakket geboden door python-pydicom
- python-dicom-doc
- virtueel pakket geboden door python-pydicom-doc
- python-dictclient (1.0.3.2)
- Python client library for DICT (RFC2229) protocol
- python-dictdlib (2.0.4.1+nmu1)
- Python library for generating dictd dictionaries
- python-dictobj (0.4-3)
- Python dictionary where keys can be accessed as instance attributes
- python-dicttoxml (1.7.4-1)
- Python module for converting dict into a XML string
- python-diff-match-patch (20121119-4)
- robust algorithms for synchronizing plain text (Python 2 module)
- python-digitalocean (1.13.2-1)
- Python bindings for the DigitalOcean API (Python 2)
- python-digitalocean-doc (1.13.2-1)
- Python bindings for the DigitalOcean API (common documentation)
- python-dijitso (2018.1.1~git1-1)
- distributed just-in-time building of shared libraries
- python-dill (0.2.9-1)
- Serialize all of Python (almost)
- python-dingus (0.3.4-1)
- A record-then-assert mocking library
- python-dirspec (13.10-1)
- Python User Folders Specification Library
- python-diskimage-builder (2.16.0-1)
- image building tools for Openstack - Python 2.7
- python-distlib (0.2.8-1)
- low-level components of python distutils2/packaging
- python-distorm3 (3.4.1-3)
- powerful disassembler library for x86/AMD64 binary streams (Python bindings)
- python-distribute
- virtueel pakket geboden door python-setuptools
- python-distributed-doc (1.25.0+ds.1-1)
- Dask Distributed computing documentation
- python-distro (1.3.0-1)
- Linux OS platform information API
- python-distro-info (0.21+deb10u1) [security]
- information about distributions' releases (Python module)
- (2.42)
- enhancements to the Python build system
- python-django (1:1.11.29-1+deb10u11) [security]
- High-level Python web development framework (Python 2 version)
- python-django-adminsortable (2.0.10-2)
- drag-and-drop ordering for objects in Django Admin (Python 2)
- python-django-allauth (0.38.0+ds-1)
- Django app for local and social authentication (Python 2 version)
- python-django-allauth-doc (0.38.0+ds-1)
- Django app for local and social authentication (Documentation)
- python-django-anymail (5.0-1)
- Django email backend for multiple ESPs (Python 2)
- python-django-appconf (1.0.2-3)
- helper class handling configuration defaults of apps - Python 2.7
- python-django-appconf-doc (1.0.2-3)
- helper class handling configuration defaults of apps - doc
- python-django-assets (0.12-2)
- integrate webassets into Django applications
- python-django-assets-doc (0.12-2)
- integrate webassets into Django applications (Documentation)
- python-django-auth-ldap (1.7.0-1)
- Django LDAP authentication backend (Python2 version)
- python-django-auth-ldap-doc (1.7.0-1)
- Django LDAP authentication backend (documentation)
- python-django-babel (0.6.2-1)
- Utilities for using Babel in Django - Python 2.7
- python-django-babel-doc (0.6.2-1)
- Utilities for using Babel in Django - doc
- python-django-bitfield (1.9.3-1)
- Django module implementing BitFields
- python-django-braces (1.9.0-1)
- reusable, generic mixins for Django class based views
- python-django-braces-doc (1.9.0-1)
- reusable, generic mixins for Django class based views (Documentation)
- python-django-captcha (0.5.6-1)
- Django Simple Captcha Django application
- python-django-casclient (1.2.0-2.2)
- CAS client library for Django, K-State's version (Python 2)
- python-django-casclient-doc (1.2.0-2.2)
- CAS client library for Django (documentation)
- python-django-celery-beat-doc (1.1.1-1)
- Database-backed Periodic Tasks (Python3 version)
- python-django-celery-haystack (0.10-3)
- utilize Celery for automatic haystack index updates
- python-django-celery-results-doc (1.0.4-1)
- Celery result backends for Django (Documentation)
- python-django-channels-doc (2.1.7-1)
- Developer-friendly asynchrony for Django (Documentation)
- python-django-classy-tags (0.8.0-1)
- Class based template tags for Django projects
- python-django-classy-tags-doc (0.8.0-1)
- Class based template tags for Django projects (Documentation)
- python-django-common (1:1.11.29-1+deb10u11) [security]
- High-level Python web development framework (common)
- python-django-compat (1.0.15-2)
- Forward and backwards compatibility layer for Django 1.4.x to 1.9.x
- python-django-compressor (2.2-4)
- Compresses linked, inline JS or CSS into single cached files - Python 2.7
- python-django-contact-form (1.4.2-2)
- extensible contact-form application for Django
- python-django-contact-form-doc (1.4.2-2)
- extensible contact-form application for Django (documentation)
- (2.2.0-1)
- Django application for handling CORS.
- python-django-countries (5.3.2-1)
- provides a country field for Django models
- python-django-crispy-forms (1.7.2-1)
- app for Django providing elegant form rendering
- python-django-crispy-forms-doc (1.7.2-1)
- app for Django providing elegant form rendering (Documentation)
- python-django-csp-doc (3.5-1)
- Content Security Policy for Django (Documentation)
- python-django-debug-toolbar (1:1.9.1-1)
- Embedded debugging toolbar for Django projects
- python-django-debug-toolbar-doc (1:1.9.1-1)
- Embedded debugging toolbar for Django projects (documentation)
- python-django-dirtyfields (1.3.1-1)
- package for tracking dirty fields on a Django model instance (Python 2)
- python-django-dirtyfields-doc (1.3.1-1)
- package for tracking dirty fields on a Django model instance (documentation)
- python-django-doc (1:1.11.29-1+deb10u11) [security]
- High-level Python web development framework (documentation)
- python-django-downloadview (1.9-1)
- efficient static file serving with Django
- python-django-environ (0.4.4-1)
- Simplified environment variables for Django
- python-django-etcd-settings (0.1.13+dfsg-2)
- config manager for Django apps based on ETCD (Python2 version)
- python-django-etcd-settings-doc (0.1.13+dfsg-2)
- config manager for Django apps based on ETCD (Documentation)
- python-django-extensions (2.1.4-1)
- Useful extensions for Django projects (Python 2 version)
- python-django-extensions-doc (2.1.4-1)
- Useful extensions for Django projects (Documentation)
- (0.12.0-1)
- Complementary class-based generic views for Django (Python2 version)
- (0.12.0-1)
- Complementary class-based generic views for Django (Documentation)
- python-django-filters (1.1.0-1)
- filter Django QuerySets based on user selections (Python2 version)
- python-django-filters-doc (1.1.0-1)
- filter Django QuerySets based on user selections (Documentation)
- python-django-formtools (2.0-1)
- set of high-level abstractions for Django forms - Python 2.7
- python-django-formtools-doc (2.0-1)
- set of high-level abstractions for Django forms - doc
- python-django-fsm (2.6.0-1)
- Django friendly finite state machine support
- python-django-fsm-admin (1.2.1-1)
- django-fsm state transitions for Django admin
- python-django-gravatar2 (1.4.2-3)
- Python2 library that provides essential Gravatar support
- python-django-guardian (1.4.9-2)
- per object permissions of django
- python-django-guardian-doc (1.4.9-2)
- per object permissions of django (documentation)
- python-django-haystack (2.8.1-2)
- modular search for Django
- python-django-haystack-doc (2.8.1-2)
- modular search for Django (Documentation)
- python-django-hijack (2.1.7-1)
- Allows superusers to login as and work on behalf of other users
- python-django-horizon
- virtueel pakket geboden door python3-django-horizon
- python-django-housekeeping (1.1-1)
- Pluggable housekeeping framework for Django sites in Python 2
- python-django-imagekit-doc (4.0.2-2)
- Automated image processing for Django (Documentation)
- python-django-impersonate (1.4-1)
- Django module for superusers to impersonate accounts (Python 2)
- python-django-jinja (2.4.1-1)
- Jinja2 templating language integrated in Django
- python-django-jsonfield (1.0.1-2)
- JSON field for Django models (Python 2)
- python-django-macaddress (1.5.0-1)
- MAC address model and form fields for Django apps
- python-django-maintenancemode (0.11.2-3)
- django module that sets a site down for maintenance (Python 2)
- python-django-markupfield (1.5.0-1)
- custom Django field for easy use of markup in text fields
- python-django-memoize (2.1.0+dfsg-1)
- implementation of memoization technique for Django (Python 2)
- python-django-memoize-doc (2.1.0+dfsg-1)
- implementation of memoization technique for Django (common documentation)
- python-django-model-utils (3.1.1-1)
- Django model mixins and utilities — Python 2
- python-django-modeltranslation (0.12.2-1)
- Translate dynamic content of Django models (Python 2)
- python-django-modeltranslation-doc (0.12.2-1)
- Translate dynamic content of Django models (common documentation)
- python-django-navtag (2.1.3-1)
- Django template tag to handle navigation (Python2 version)
- python-django-nose (1.4.6-1)
- Django test runner that uses python-nose
- python-django-notification (1.2.0-2)
- user notification management for Django (Python 2 version)
- python-django-oauth-toolkit (1.1.2-2)
- OAuth2 capabilities for Django projects
- python-django-openstack-auth
- virtueel pakket geboden door python3-django-horizon
- python-django-ordered-model (2.1.0-1)
- Allows Django models to be ordered (Python2 version)
- python-django-organizations (1.1.1-1)
- Django groups and multi-user account management module (Python 2)
- python-django-otp-doc (0.4.3-1)
- pluggable framework for two-factor authentication (Documentation)
- python-django-overextends (0.4.0-1)
- reusable app providing circular template inheritance - Python 2.7
- (1.0.7-2)
- utilities for creating pagination tools for Django
- python-django-paintstore (0.2-2)
- Integrates jQuery ColorPicker in Django admin (Python 2 version)
- python-django-picklefield (1.1.0-1)
- Pickled object field for Django
- python-django-pipeline (1.6.14-1)
- Asset packaging library for Django
- python-django-pipeline-doc (1.6.14-1)
- Documentation for Django Pipeline library
- python-django-polymorphic (2.0.3-1)
- Seamless Polymorphic Inheritance for Django Models
- python-django-polymorphic-doc (2.0.3-1)
- Seamless Polymorphic Inheritance for Django Models (Documentation)
- python-django-pyscss (2.0.2-8)
- makes it easier to use PySCSS in Django - Python 2.7
- python-django-python3-ldap (0.11.2-1)
- Django LDAP user authentication backend (Python2 version)
- python-django-ranged-response (0.2.0-1)
- Django file response to stream to browsers properly (Python 2)
- python-django-recurrence (1.8.2-1)
- Django utility wrapping dateutil.rrule
- python-django-recurrence-doc (1.8.2-1)
- Django utility wrapping dateutil.rrule (documentation)
- python-django-redis (4.10.0-1)
- Redis cache backend for Django (Python 2)
- python-django-redis-admin (1.4.0-1)
- Django admin panel add-on to view/delete Redis keys (Python 2)
- python-django-redis-sessions (0.6.1-1)
- Redis database backend for your Django sessions (Python 2)
- python-django-registration (2.2-2)
- User-registration application for Django (Python 2)
- python-django-registration-doc (2.2-2)
- User-registration application for Django (Documentation)
- python-django-restricted-resource (2016.8-2)
- Django Base model for ownership and access control (Python 2)
- python-django-reversion (3.0.3-1)
- Provides comprehensive version control facilities for Django
- python-django-reversion-doc (3.0.3-1)
- Provides comprehensive version control facilities for Django (Documentation)
- python-django-rosetta (0.7.2-1.1)
- Eases the translation process of your Django projects
- python-django-sekizai (0.10.0-4)
- template blocks for Django projects
- python-django-sekizai-doc (0.10.0-4)
- template blocks for Django projects (Documentation)
- python-django-session-security (2.6.5+dfsg-1)
- Python2 Django module to log a user out after X minutes
- python-django-setuptest (0.2.1-2)
- simple test suite enabling Django app testing via setup.py (Python 2)
- python-django-shorturls (1.0.1-4)
- Short URL handler for Django applications
- python-django-shortuuidfield (0.1.3-2)
- Short UUIDField for Django
- python-django-sitetree (1.12.0+dfsg-1)
- site tree, menu and breadcrumbs navigation for Django
- python-django-sitetree-doc (1.12.0+dfsg-1)
- site tree, menu and breadcrumbs navigation for Django (Documentation)
- python-django-stronghold (0.3.0+debian-1)
- Django app to make all views default login_required
- python-django-tables2 (1.21.2-1)
- Table/data-grid framework for Django (Python 2.7)
- python-django-tables2-doc (1.21.2-1)
- Table/data-grid framework for Django (Documentation)
- python-django-tagging (1:0.4.5-1)
- Generic tagging application for Django projects (Python 2)
- python-django-taggit (0.24.0-1)
- simple tagging for Django (Python 2)
- python-django-tastypie (0.13.3-1)
- webservice API framework for Django (Python 2)
- python-django-treebeard (4.3+dfsg-1)
- Efficient implementations of tree data structures for Django
- python-django-treebeard-doc (4.3+dfsg-1)
- Efficient implementations of tree data structures for Django (documentation)
- python-django-uwsgi (0.2.2-1)
- uWSGI related tools for Django
- python-django-uwsgi-doc (0.2.2-1)
- uWSGI related tools for Django (Documentation)
- python-django-webpack-loader (0.6.0-1)
- Transparently use webpack with Django (Python2 version)
- python-django-websocket-redis (0.4.7-1)
- Websockets for Django applications using Redis (Python2 version)
- python-django-wkhtmltopdf (3.2.0-1)
- Django module with views for HTML to PDF conversions (Python 2)
- python-django-xmlrpc (0.1.8-1)
- XMLRPC library for Django
- python-djangorestframework (3.9.0-1+deb10u1)
- Web APIs for Django, made easy
- python-djangorestframework-doc (3.9.0-1+deb10u1)
- Web APIs for Django, made easy (documentation)
- python-djangorestframework-generators (0.2.8-2)
- Generate DRF Serializers, Views, and URLs (Python2 version)
- python-djangorestframework-gis (0.14-1)
- Geographic add-ons for Django REST Framework
- python-djangorestframework-haystack (1.8.4-1)
- Haystack for Django REST Framework
- python-djoser (1.4.0-1)
- REST implementation of Django authentication system
- python-djvu (0.8.2-2)
- Python support for the DjVu image format
- python-djvu-dbg (0.8.2-2)
- Python support for the DjVu image format (debug extension)
- python-djvu-doc (0.8.2-2)
- Python support for the DjVu image format (documentation)
- python-dkim (0.9.6-0+deb10u1)
- Python module for DKIM and ARC signing and verification
- python-dlt (0.9-1)
- Python 2 wrapper for libdlt to read and process DLT logs from devices
- python-dmidecode (3.12.2-9)
- Python extension module for dmidecode
- python-dmidecode-data (3.12.2-9)
- Python extension module for dmidecode (debug) - Data
- python-dmidecode-dbg (3.12.2-9)
- Python extension module for dmidecode (debug)
- python-dns (2.3.6-4)
- DNS client module for Python
- python-dnslib (0.9.7+hg20170303-1)
- Module to encode/decode DNS wire-format packets (Python 2)
- python-dnspython (1.16.0-1+deb10u1)
- DNS toolkit for Python
- python-dnsq (1.1.2-1)
- Python DNS query tool
- python-doc (2.7.16-1)
- documentation for the high-level object-oriented language Python2
- python-doc8 (0.8.0-1)
- style checker for Sphinx (or other) RST documentation - Python 2.x
- python-doc8-doc (0.8.0-1)
- style checker for Sphinx (or other) RST documentation - doc
- python-docker (3.4.1-4)
- Python wrapper to access docker.io's control socket
- python-dockerpty (0.4.1-1)
- Pseudo-tty handler for docker Python client (Python 2.x)
- python-dockerpycreds (0.3.0-1)
- Python bindings for the docker credentials store API
- python-docopt (0.6.2-2)
- command-line interface description language
- python-docutils (0.14+dfsg-4)
- text processing system for reStructuredText (implemented in Python 2)
- python-dogpile.cache (0.6.2-6)
- caching front-end based on the Dogpile lock - Python 2.x
- python-dogpile.cache-doc (0.6.2-6)
- caching front-end based on the Dogpile lock - doc
- python-dogpile.core (0.6.2-6)
- transitional dummy package for python-dogpile.core
- python-dogtail (0.9.9-2)
- GUI test tool and automation framework
- python-doit-doc (0.31.1-2)
- Automation tool for executing any kind of task in a build-tools fashion - doc
- python-dolfin (2018.1.0.post1-16)
- Python interface for DOLFIN
- python-dominate (2.3.1-1)
- Python 2 library for creating and manipulating HTML documents
- python-doubleratchet (0.6.0-1)
- Python 2 implementation of the Double Ratchet algorithm
- python-dpkt (1.9.2-1)
- Python 2 packet creation / parsing module for basic TCP/IP protocols
- python-dpm (1.10.0-2+b3)
- Disk Pool Manager (DPM) python2 bindings
- python-dracclient (1.3.1-1)
- library for managing machines with Dell iDRAC cards - Python 2.7
- python-dracclient-doc (1.3.1-1)
- Library for managing machines with Dell iDRAC cards - doc
- python-drizzle-doc (1.12-2)
- Dithered image combination for Python (API documentation)
- python-drizzle-testdata (1.12-2)
- Dithered image combination for Python (Test data)
- python-drmaa (0.5-1)
- interface to DRMAA-compliant distributed resource management systems
- python-drslib (0.3.1.p3-1)
- Library for processing the CMIP5 Data Reference Syntax
- python-dsv (1.4.1-7)
- Python module for delimiter-separated-value files (Python 2)
- python-dtcwt (0.12.0-1)
- Dual-Tree Complex Wavelet Transform library for Python 2
- python-dtcwt-doc (0.12.0-1)
- documentation for dtcwt
- python-dtfabric (20181128-1)
- Tooling for data type and structure management - Python 2.x
- python-duckduckgo2 (0.242+git20151019-2)
- Library for querying the DuckDuckGo API
- python-duecredit (0.6.4-1)
- Publications (and donations) tracer - Python 2.X
- python-dugong-doc (3.7.4+dfsg-1)
- HTTP 1.1 client module for Python (documentation)
- python-dulwich (0.19.11-2)
- Python Git library
- python-dumbnet (1.12-8)
- dumb, portable networking library -- python bindings
- python-dynamic-reconfigure (1.6.0-1)
- Robot OS dynamic-reconfigure library - Python 2 bindings
- python-easydev (0.9.37-1)
- common utilities to ease the development of Python packages (Python 2)
- python-easygui (0.96-3)
- module for very simple, very easy GUI programming in Python
- python-easyprocess (0.2.5-1)
- easy to use python subprocess interface - Python 2.X
- python-easywebdav (1.2.0-4)
- straight-forward WebDAV client module (Python 2 interface)
- python-easyzone (1.2.2-1)
- DNS Zone abstraction Python module
- python-ebooklib (0.15~ds0-1)
- Python 2 E-book library for handling EPUB2/EPUB3/Kindle formats
- python-ecasound (2.9.1-7)
- multitrack-capable audio recorder and effect processor (Python bindings)
- python-ecdsa (0.13-3+deb10u1)
- ECDSA cryptographic signature library (Python 2)
- python-ecflow (4.12.0-1)
- Python libraries for ecFlow workflow tools
- python-editobj (0.5.7-12)
- Python object editor
- python-editor (1.0.3-1)
- programmatically open an editor, capture the result - Python 2.7
- python-editorconfig (0.12.1-1)
- library for working with EditorConfig — Python 2
- python-efilter (1.5-2)
- EFILTER query language (Python 2)
- python-egenix-mx-base-dbg (3.2.9-1)
- extension files for the egenix-mx-base distribution (debug build)
- python-egenix-mx-base-dev (3.2.9-1)
- development files for the egenix-mx-base distribution
- python-egenix-mxbeebase (3.2.9-1)
- on-disk B+Tree based database kit for Python
- python-egenix-mxbeebase-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxbeebase-doc (3.2.9-1)
- on-disk B+Tree based database kit for Python (documentation)
- python-egenix-mxdatetime (3.2.9-1)
- date and time handling routines for Python
- python-egenix-mxdatetime-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxdatetime-doc (3.2.9-1)
- date and time handling routines for Python (documentation)
- python-egenix-mxproxy (3.2.9-1)
- generic proxy wrapper type for Python
- python-egenix-mxproxy-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxproxy-doc (3.2.9-1)
- generic proxy wrapper type for Python (documentation)
- python-egenix-mxqueue (3.2.9-1)
- fast and memory-efficient queue for Python
- python-egenix-mxqueue-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxqueue-doc (3.2.9-1)
- fast and memory-efficient queue for Python (documentation)
- python-egenix-mxstack (3.2.9-1)
- fast and memory-efficient stack for Python
- python-egenix-mxstack-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxstack-doc (3.2.9-1)
- fast and memory-efficient stack for Python (documentation)
- python-egenix-mxtexttools (3.2.9-1)
- fast text processing tools for Python
- python-egenix-mxtexttools-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxtexttools-doc (3.2.9-1)
- fast text processing tools for Python (documentation)
- python-egenix-mxtools (3.2.9-1)
- collection of additional builtins for Python
- python-egenix-mxtools-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxtools-doc (3.2.9-1)
- collection of additional builtins for Python (documentation)
- python-egenix-mxuid (3.2.9-1)
- unique identifiers for Python
- python-egenix-mxuid-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxuid-doc (3.2.9-1)
- unique identifiers for Python (documentation)
- python-egenix-mxurl (3.2.9-1)
- flexible URL datatype for Python
- python-egenix-mxurl-dbg
- virtueel pakket geboden door python-egenix-mx-base-dbg
- python-egenix-mxurl-doc (3.2.9-1)
- flexible URL datatype for Python (documentation)
- python-elasticsearch (5.4.0-1)
- Python client for Elasticsearch
- python-elasticsearch-curator (5.2.0-1)
- Python library for managing Elasticsearch time-series indices
- python-elasticsearch-curator-doc (5.2.0-1)
- Python library for managing Elasticsearch time-series indices (documentation)
- python-elasticsearch-doc (5.4.0-1)
- Python client for Elasticsearch (Documentation)
- python-elementtidy (1.0-8+b3)
- An HTML tree builder for ElementTree based on Tidy
- python-elib.intl (0.0.3~git20110809-5)
- enhanced internationalization (I18N) for Python
- python-elisp
- virtueel pakket geboden door python-mode
- python-elixir (0.7.1-4)
- declarative mapper for SQLAlchemy
- python-email
- virtueel pakket geboden door python, python2
- python-emcee (2.2.1-1)
- Affine-invariant ensemble MCMC sampling for Python
- python-empy (3.3.2-2)
- templating system for Python (Python 2)
- python-empy-doc (3.3.2-2)
- documentation for python-empy
- python-enable (4.5.1-4)
- Drawing and interaction packages
- python-enchant (2.0.0-1)
- spellchecking library for Python
- python-encutils
- virtueel pakket geboden door python-cssutils
- python-enet (0.0~vcs.2017.05.26.git-2.1+b1)
- Python2 wrapper for the ENet library
- python-enki2 (1:1.6.0-6+b1)
- Python bindings for the library libenki2
- python-enthoughtbase (3.1.0-2)
- Core packages for the Enthought Tool Suite
- python-entrypoints (0.3-1)
- Discover and load entry points from installed packages (Python 2)
- python-enum34 (1.1.6-2)
- backport of Python 3.4's enum package
- python-enum34-doc (1.1.6-2)
- backport of Python 3.4's enum package (documentation)
- python-envisage (4.4.0-1)
- Extensible Application Framework
- python-envparse (0.2.0-1)
- environment variable parsing (Python 2)
- python-enzyme (0.4.1-1.1)
- video metadata parser (Python 2)
- python-epc (0.0.5-2)
- RPC stack for Emacs Lisp (Python2 version)
- python-ephem (3.7.6.0-7+b1)
- Compute positions of the planets and stars with Python 2
- python-epr (0.9.5-2)
- Python ENVISAT Product Reader API (Python 2)
- python-epr-dbg (0.9.5-2)
- Python ENVISAT Product Reader API (debug extension for Python 2)
- python-epr-doc (0.9.5-2)
- Python ENVISAT Product Reader API (common documentation)
- python-epsilon (0.7.1-1.1)
- Python utility modules commonly used by Divmod.org project
- python-epydoc (3.0.1+dfsg-20)
- tool for documenting Python modules
- python-esmre (0.3.1-3+b4)
- Regular expression acceleration in Python2
- python-espeak (0.5-1+b6 [amd64, armhf, i386], 0.5-1+b4 [arm64])
- Python bindings for eSpeak
- python-et-xmlfile (1.0.1-2)
- low memory library for creating large XML files (Python 2)
- python-etcd (0.4.5-1)
- Python Etcd client library
- python-etcd3gw (0.2.1-1)
- client for etcd3 grpc-gateway v3 API - Python 2.7
- python-etcd3gw-doc (0.2.1-1)
- client for etcd3 grpc-gateway v3 API - doc
- python-ethtool (0.14-1)
- Python bindings for the ethtool kernel interface - Python 2.7
- python-evdev (1.1.2+dfsg-1+b10)
- Python bindings for the Linux input subsystem
- python-evdev-doc (1.1.2+dfsg-1+b10)
- Python bindings for the Linux input subsystem (docs)
- python-evemu (2.7.0-1+deb10u1)
- Linux Input Event Device Emulation Library - Python bindings
- python-eventlet (0.20.0-6)
- concurrent networking library - Python 2.x
- python-eventlet-doc (0.20.0-6)
- concurrent networking library - doc
- python-evtx (0.6.1-1+deb10u1)
- parser for recent Windows Event Log files -- Python 2 version
- python-ewmh (0.1.6-1)
- Python interface to EWMH-compliant window managers (Python 2)
- python-ewmh-doc (0.1.6-1)
- Python interface to EWMH-compliant window managers (common documentation)
- python-exabgp (4.0.8-1)
- BGP swiss army knife of networking - Python 2 module
- python-exactimage (1.0.2-1+deb10u1)
- fast image manipulation library (Python bindings)
- python-exam (0.10.5-2)
- Python module to help write better tests
- python-excelerator (0.6.4.1-3)
- module for reading/writing Excel spreadsheet files
- python-exconsole (0.1.5+git20131201-1)
- emergency/postmortem Python console
- python-execnet (1.5.0-4)
- rapid multi-Python deployment (Python 2)
- python-exif (2.1.2-1)
- Python library to extract Exif data from TIFF and JPEG files
- python-exotel (0.1.5-1)
- Python library for exotel APIs (Python 2)
- python-expiringdict (1.1.2-1)
- Python caching library
- (1:0.6-7)
- extracts meta-data from files of arbitrary type (Python bindings)
- (1.0.0-3)
- extensions to the Python standard library (Python 2.x)
- python-eyed3 (0.8.8-1)
- Python module for id3-tags manipulation (Python 2 version)
- python-f2py
- virtueel pakket geboden door python-numpy
- python-fabio (0.8.0+dfsg-1)
- I/O library for images produced by 2D X-ray detector - Python2
- python-fabio-dbg (0.8.0+dfsg-1)
- I/O library for images produced by 2D X-ray detector - Python2 debug
- python-fabio-doc (0.8.0+dfsg-1)
- I/O library for images produced by 2D X-ray detector - documentation
- python-factory-boy (2.11.1-2)
- test fixtures replacement based on factory_girl for Ruby - Python 2.x
- python-factory-boy-doc (2.11.1-2)
- test fixtures replacement based on factory_girl for Ruby - doc
- python-fake-factory (0.7.7-2.1)
- Faker is a Python library that generates fake data (Python 2)
- python-fakeredis (1.0.3-1)
- Fake version of a redis-py - Python 2.x
- python-fakesleep (0.1-1)
- Fake version of time.sleep() for use in tests
- python-falcon (1.0.0-2+b3)
- supersonic micro-framework for building cloud APIs - Python 2.x
- python-fann2 (1:1.1.2+ds-1)
- Python bindings for FANN
- python-fast5 (0.6.5-2+b1)
- library for reading Oxford Nanopore Fast5 files -- Python 2
- python-fastcluster (1.1.25-2)
- Fast hierarchical clustering routines for Python
- python-fasteners (0.12.0-3)
- provides useful locks - Python 2.7
- python-fastimport (0.9.8-2)
- Fastimport file format parser and generator library
- python-fastkml (0.11-2)
- fast KML processing
- python-faulthandler (2.4-1)
- Display the Python backtrace on a crash
- python-fcgi (19980130-1)
- Simple FastCGI module for Python
- python-fdb (2.0.0-1)
- Python2 DB-API driver for Firebird
- python-fdb-doc (2.0.0-1)
- Python DB-API driver for Firebird documentation
- python-fdsend (0.2.1-2)
- Provides an abstraction for file descriptor passing via sockets
- python-feature-check (0.2.2-3+deb10u1)
- query a program for supported features - Python 2.x library
- python-fedora (0.10.0-1)
- Python modules for interacting with Fedora Services (Python 2)
- python-fedora-doc (0.10.0-1)
- Python modules for interacting with Fedora Services (common documentation)
- python-feed (0.7.4-2)
- set of Python modules for working with syndication feeds (Python 2)
- python-feedgenerator (1.9-1)
- Syndication feed generation library (Python 2 version)
- python-feedparser (5.2.1-1)
- Universal Feed Parser for Python
- python-feedvalidator (0~svn1022-3)
- library and tool for validating syndication feeds
- python-ferret (7.4.4-1)
- Python wrapper for the Ferret visualization and analysis environment
- python-ffc (2018.1.0-5)
- compiler for finite element variational forms
- python-fftw (0.2.2-1)
- Python bindings to the FFTW3 C-library for Fourier transforms
- python-fftw3
- virtueel pakket geboden door python-pyfftw
- python-fiat (2018.1.0-5)
- tabulation of finite element function spaces (Python 3)
- python-fibranet (10-3.1)
- cooperative threading and event driven framework
- python-file-encryptor (0.2.9-2)
- Python module to convergently encrypt and decrypt files
- python-filelock (3.0.10-1)
- platform independent file locking module (Python 2)
- python-fiona (1.8.4-1+b1)
- Python API for reading/writing vector geospatial data
- python-first (2.0.0-2)
- simple function that returns the first true value from an iterable
- python-fisx (1.1.6-1)
- Quantitative X-Ray Fluorescence Analysis Support Library - (Python 2)
- python-fisx-common (1.1.6-1)
- Quantitative X-Ray Fluorescence Analysis Support Library - (Common)
- python-fisx-dbg (1.1.6-1)
- Quantitative X-Ray Fluorescence Analysis Support Library - (Python 2 Debug)
- python-fitbit (0.3.0-4)
- FitBit REST API Client Implementation - Python 2
- python-fitbit-doc (0.3.0-4)
- FitBit REST API Client Implementation - Documentation
- python-fitsio (0.9.12+dfsg-1)
- Python 2 library to read from and write to FITS files
- python-fiu (0.98-2)
- userspace fault injection framework (Python bindings)
- python-fixtures (3.0.0-2)
- PyUnit extension for defining test fixtures outside of test cases - Python 2.x
- python-flake8 (3.6.0-1)
- code checker using pycodestyle and pyflakes - Python 2.x
- python-flaky (3.3.0-1)
- Plugin for nose or py.test that automatically reruns flaky tests (Python 2)
- python-flask (1.0.2-3+deb10u1) [security]
- micro web framework based on Werkzeug and Jinja2 - Python 2.7
- python-flask-api-common (1.1+dfsg-1)
- Browsable web APIs for the Flask micro web framework - static resources
- python-flask-autoindex (0.6.2-1)
- automatically generated index pages for Flask applications (Python 2)
- python-flask-autoindex-doc (0.6.2-1)
- automatically generated index pages for Flask applications (documentation)
- python-flask-babel (0.11.2-2)
- internationalization and localization support for Flask (Python 2)
- python-flask-babel-doc (0.11.2-2)
- internationalization and localization support for Flask (documentation)
- python-flask-bcrypt (0.7.1-1)
- Python 2 flask extension that provides bcrypt hashing utilities
- python-flask-bcrypt-doc (0.7.1-1)
- Flask extension that provides bcrypt hashing utilities (doc)
- python-flask-compress (1.4.0-2)
- Compress responses in a Flask app with gzip (Python 2)
- python-flask-doc (1.0.2-3+deb10u1) [security]
- micro web framework based on Werkzeug and Jinja2 - documentation
- python-flask-flatpages (0.6-1)
- Provides flat static pages to a Python 2 Flask application
- python-flask-flatpages-doc (0.6-1)
- Provides flat static pages to a Flask application (doc)
- python-flask-gravatar (0.4.2-1)
- Extension for Flask to make usage of Gravatar service
- python-flask-htmlmin (1.3.2-1)
- Minimize render templates html
- python-flask-httpauth (3.2.4-3)
- Basic and Digest HTTP authentication for Flask (Python 2)
- python-flask-httpauth-doc (3.2.4-3)
- Basic and Digest HTTP authentication for Flask (documentation)
- python-flask-login-doc (0.4.1-2)
- user session management for Flask -- documentation
- python-flask-migrate (2.1.1-2)
- SQLAlchemy database migrations for Flask applications using Alembic
- python-flask-mongoengine (0.9.3-2)
- Extension that provides integration with MongoEngine (Python 2)
- python-flask-oldsessions (0.10+git20121007-2)
- Provides a session class that works like the one before 0.10
- python-flask-openid (1.2.5+dfsg-2)
- OpenID support for Flask applications (Python 2)
- python-flask-openid-doc (1.2.5+dfsg-2)
- OpenID support for Flask applications (documentation)
- python-flask-peewee (0.6.7-1)
- Peewee integration for flask (Python 2)
- python-flask-peewee-doc (0.6.7-1)
- Documentation for python-flask-peewee
- python-flask-principal (0.4.0-1)
- identity management for Flask
- python-flask-rdf (0.2.1-1+deb10u1)
- Flask decorator to output RDF using content negotiation (Python 2)
- python-flask-restful (0.3.7-1)
- REST API framework for Flask applications (Python Module)
- python-flask-restful-doc (0.3.7-1)
- REST API framework for Flask applications (Documentation)
- python-flask-script (2.0.6-1)
- set of utilities for use with the Flask framework
- python-flask-silk (0.2-13)
- FamFamFam Silk icon set for Flask applications (Python 2)
- python-flask-silk-doc (0.2-13)
- FamFamFam Silk icon set for Flask applications (documentation)
- python-flask-sockets (0.2.1-2)
- elegant WebSockets for your Flask apps
- python-flask-sqlalchemy (2.1-3)
- adds SQLAlchemy support to your Flask application
- python-flask-testing-doc (0.7.1-1)
- documentation for the Flask-Testing Python library - documentation
- python-flaskext.multistatic (1.0-1)
- Simple Flask plugin for overriding static files (Python 2)
- python-flaskext.wtf (0.14.2-3)
- Simple integration of Flask and WTForms (Python 2)
- python-flaskext.wtf-doc (0.14.2-3)
- Simple integration of Flask and WTForms (doc)
- python-flexmock (0.10.3-1)
- Mock/Stub/Spy library for Python
- python-flickrapi (2.1.2-5)
- Flickr API wrapper for Python 2
- python-flufl.bounce-doc (3.0-1)
- email bounce detectors (common documentation)
- python-flufl.enum (4.1.1-1)
- yet another Python enumeration package (Python 2)
- python-flufl.enum-doc (4.1.1-1)
- yet another Python enumeration package (common documentation)
- python-flufl.i18n-doc (2.0.1-1)
- high level API for Python internationalization (common documentation)
- python-flufl.lock-doc (3.2-1)
- NFS-safe file-based lock with timeouts (common documentation)
- python-flufl.password (1.3-2)
- password hashing and verification
- python-flufl.password-doc (1.3-2)
- password hashing and verification (common documentation)
- python-fluids (0.1.73-1)
- Python fluid dynamics and engineering design library
- python-fluids-doc (0.1.73-1)
- Python fluid dynamics and engineering design library documentation
- python-flup (1.0.2-5)
- Implements Python Web Server Gateway Interface (WSGI)
- python-fmcs (1.0-1)
- Find Maximum Common Substructure
- python-fontconfig (0.5.1-1+b6 [amd64, armhf, i386], 0.5.1-1+b4 [arm64])
- python bindings for the Fontconfig library
- python-fontconfig-dbg (0.5.1-1+b6 [amd64, armhf, i386], 0.5.1-1+b4 [arm64])
- python bindings for the Fontconfig library (debug build)
- python-fontforge (1:20170731~dfsg-1+deb10u1) [security]
- font editor - Python bindings
- python-fonttools (3.35.1-1)
- Converts OpenType and TrueType fonts to and from XML (Python 2 Library)
- python-fonttools-doc (3.35.1-1)
- Converts OpenType and TrueType fonts to and from XML (Documentation)
- python-foolscap (0.13.1-3)
- object-capability-based RPC system for Twisted Python
- python-forge (1.3.0-4)
- mocking and testing modules (Python 2 version)
- python-forgethtml (0.0.20031008-11)
- Python module for easy HTML-writing
- python-forgetsql (0.5.1-13)
- Python module for easy SQL-database access
- python-formencode (1.3.0-3)
- validation and form generation Python package
- python-fparser (0.0.8-2)
- Python Parser for the Fortran language
- python-fpconst (0.7.2-6)
- Utilities for handling IEEE 754 floating point special values
- python-fpylll (0.4.1+ds1-5)
- Python wrapper for LLL-reduction of Euclidean lattices -- Python
- python-fpylll-doc (0.4.1+ds1-5)
- Python wrapper for LLL-reduction of Euclidean lattices -- doc
- python-freecontact (1.1-4)
- fast protein contact predictor - binding for Python
- python-freenect (1:0.5.3-1+b2)
- library for accessing Kinect device -- Python bindings
- python-freezegun (0.3.11-0.1)
- Python library to mock the datetime module in unit testing
- python-freshen (0.2-2)
- acceptance testing framework for Python
- python-frozen-flask (0.11-3)
- Freezes a Flask application into a set of static files
- python-frozendict (1.2-1)
- immutable dictionary - Python 2.7
- python-fs (2.2.1-1)
- Python filesystem abstraction
- python-fsapfs (20190210-1)
- APFS access library -- Python 2 bindings
- python-fswrap (1.0.1-0.2)
- unified object oriented interface to file system objects
- python-ftdi1 (1.4-1+b2)
- Python module to control and program the FTDI USB controllers
- python-fte (0.1.0-1.1)
- encryption library to thwart deep packet inspection censorship
- python-ftp-cloudfs (0.25.2+20140217+git2a90c1a2eb-1)
- FTP interface to Rackspace Cloud Files and OpenStack - Python lib
- python-ftp-cloudfs
- virtueel pakket geboden door ftp-cloudfs
- python-fudge (1.1.0-1)
- Python 2 module for using fake objects for tests
- python-fudge-doc (1.1.0-1)
- Python module for using fake objects for tests, documentation package
- python-funcparserlib (0.3.6-7)
- Recursive descent parsing library based on functional combinators
- python-funcsigs (1.0.2-4)
- function signatures from PEP362 - Python 2.7
- python-funcsigs-doc (1.0.2-4)
- function signatures from PEP362 - doc
- python-functools32 (3.2.3.2-3)
- Backport of the functools module from Python 3.2.3 to Python 2.7
- python-fuse (2:0.3.1-1)
- Python bindings for FUSE (Filesystems in USErspace)
- python-fusepy (3.0.1-1)
- simple Python interface to FUSE (Python 2)
- python-future (0.16.0-1)
- single-source support for Python 3 and 2 - Python 2.x
- python-future-doc (0.16.0-1)
- Clean single-source support for Python 3 and 2 - doc
- python-futures
- virtueel pakket geboden door python-concurrent.futures
- python-futurist (1.6.0-2)
- useful additions to futures, from the future - Python 2.x
- python-futurist-doc (1.6.0-2)
- useful additions to futures, from the future - doc
- python-fuzzywuzzy (0.17.0-1)
- Python module for fuzzy string matching
- python-fysom (2.1.5-2)
- pYthOn Finite State Machine
- python-gabbi (1.40.0-4)
- declarative HTTP testing library - Python 2.x
- python-gabbi-doc (1.40.0-4)
- declarative HTTP testing library - doc
- python-gadfly (1.0.0-16)
- SQL database and parser generator for Python
- python-gamera (1:3.4.3-1)
- framework for building document analysis applications
- python-gamera-dbg (1:3.4.3-1)
- framework for document analysis applications (debug symbols)
- python-gamera-dev (1:3.4.3-1)
- framework for document analysis applications (header files)
- python-gamera.toolkits.greekocr (1.0.1-11)
- toolkit for building OCR systems for polytonal Greek
- python-gamera.toolkits.ocr (1.2.2-6)
- toolkit for building OCR systems
- python-gamin (0.1.10-5+b1)
- Python binding for the gamin client library
- python-gammu (2.12-1)
- Python module to communicate with mobile phones (Python 2)
- python-ganeti-rapi (2.16.0-5)
- cluster virtualization manager - RAPI client library
- python-gasp (0.3.4-2)
- procedural Python graphics library for beginning programmers
- python-gastables (0.3-2.2)
- compressible flow gas table modules for Python
- python-gbulb-doc (0.6.1-0.1)
- PEP 3156 event loop based on GLib (common documentation)
- python-gccjit (0.4-8)
- Python bindings for libgccjit
- python-gccjit-dbg (0.4-8)
- Python bindings for libgccjit (debug build)
- python-gccjit-doc (0.4-8)
- Python bindings for libgccjit (documentation)
- python-gcm-client (0.1.4-1)
- Python client for Google Cloud Messaging (GCM)
- python-gd (0.59-1)
- Python module wrapper for libgd
- python-gd-dbg (0.59-1)
- Python module wrapper for libgd (debug extension)
- python-gdal (2.4.0+dfsg-1+deb10u1) [security]
- Python bindings to the Geospatial Data Abstraction Library
- python-gdbm (2.7.16-2)
- GNU dbm database support for Python2
- python-gdbm-dbg (2.7.16-2)
- GNU dbm database support for Python2 (debug extension)
- python-gdchart2 (0.beta1-3.8)
- Python OO interface to GDChart
- python-gdchart2-doc (0.beta1-3.8)
- Python OO interface to GDChart - docs
- python-gdspy (1.3.1-3)
- Python library for GDSII handling
- python-gear (0.5.8-4)
- Pure Python Async Gear Protocol Library - Python 2.x
- python-gencpp (0.6.0-4)
- C++ Robot OS message and service generators - Python 2 version
- python-genetic (0.1.1b-11)
- genetic algorithms in Python
- python-genlisp (0.4.16-4)
- Common-Lisp Robot OS message and service generators - Python 2 version
- python-genmsg (0.5.11-2)
- Python 2 library for generating Robot OS message and service data
- python-genometools (1.5.10+ds-3)
- Python bindings for genometools
- python-genpy (0.6.7-3)
- Python 2 Robot OS message and service generators
- python-genshi (0.7.1-5)
- Python XML-based template engine - Python 2.x
- python-genshi-doc (0.7.1-5)
- Python XML-based template engine (documentation and examples)
- python-genty (1.3.0-1)
- Allows you to run a test with multiple data sets (Python 2)
- python-geographiclib (1.49-4)
- Python implementation of GeographicLib
- python-geohash (0.8.3-1.1)
- fast, accurate Python geohashing library
- python-geohash-dbg (0.8.3-1.1)
- fast, accurate Python geohashing library (debug extension)
- python-geoip (1.3.2-2)
- Python bindings for the GeoIP IP-to-country resolver library
- python-geoip2 (2.9.0+dfsg1-1)
- Python geoip2 API for web services and databases - Python 2.x
- python-geojson (2.4.1-1)
- Python 2 bindings and utilities for GeoJSON
- python-geolinks (0.2.0-3)
- Python 2 Library for using geospatial links (catalogue interoperablity)
- python-geometry-msgs (1.12.7-1)
- Messages relating to Robot OS geometry, Python 2 interface
- python-geopandas (0.4.0-3)
- Python tools for geographic data
- python-geopandas-doc (0.4.0-3)
- Documentation for the geopandas library
- python-geopy (1.18.1-1)
- geocoding toolbox for Python
- python-germinate (2.31)
- expand dependencies in seed packages (Python 2 interface)
- python-gerritlib (0.8.0-1)
- client library for accessing Gerrit with Python
- python-getdns (1.0.0~b1-1+b4)
- modern asynchronous DNS API (python bindings)
- python-getdns-doc (1.0.0~b1-1)
- modern asynchronous DNS API (documentation)
- python-getfem++ (5.2+dfsg1-7+b1)
- Python interface to the GETFEM++ generic finite element library
- python-gevent (1.3.7-1)
- gevent is a coroutine-based Python networking library
- python-gevent-dbg (1.3.7-1)
- gevent is a coroutine-based Python networking library - debugging symbols
- python-gevent-doc (1.3.7-1)
- gevent is a coroutine-based Python networking library - documentation
- python-gevent-websocket (0.9.3-1)
- websocket library for the gevent networking library
- python-gflags (1.5.1-5)
- implementation of the Google command line flags module - Python 2.x
- python-gi (3.30.4-1)
- Python 2.x bindings for gobject-introspection libraries
- python-gi-cairo (3.30.4-1)
- Python Cairo bindings for the GObject library
- python-gi-dbg (3.30.4-1)
- Python bindings for the GObject library (debug extension)
- python-gi-dev (3.30.4-1)
- development headers for GObject Python bindings
- python-git (2.1.11-1+deb10u2) [security]
- Python library to interact with Git repositories - Python 2.7
- python-git-doc (2.1.11-1+deb10u2) [security]
- Python library to interact with Git repositories - docs
- python-git-os-job (1.0.1-2)
- show the OpenStack job logs for a commit - Python 2.7
- python-gitdb (2.0.5-1)
- pure-Python git object database (Python 2)
- python-github (1.40-1)
- Access to full Github API v3 from Python2
- python-gitlab (1:1.6.0-3)
- Python GitLab API client library
- python-gitlab-doc (1:1.6.0-3)
- Python 3 GitLab API client library - documentation
- python-glad (0.1.27-1)
- GL/GLES/EGL/GLX/WGL Loader-Generator (Python 2)
- python-glade2 (2.24.0-5.1+b1)
- GTK+ bindings: Glade support
- python-glance-store (0.26.1-4)
- OpenStack Image Service store library - Python 2.7
- python-glance-store-doc (0.26.1-4)
- OpenStack Image Service store library - doc
- python-glanceclient (1:2.13.1-1)
- Client library for Openstack glance server - Python 2.x
- python-glanceclient-doc (1:2.13.1-1)
- Client library for Openstack glance server - doc
- python-glareclient (0.5.3-2)
- client library for the OpenStack GLare Artifact REpository API - Python 2.7
- python-glareclient-doc (0.5.3-2)
- client library for the OpenStack GLare Artifact REpository API - doc
- python-glob2 (0.5-2)
- Enhanced glob module for Python
- python-gmplot (1.2.0-1)
- matplotlib-like interface to plotting data with Google Maps
- python-gmpy (1.17-4)
- interfaces GMP to Python for fast, unbound-precision computations
- python-gmpy-doc (1.17-4)
- interfaces GMP to Python for fast, unbound-precision computations
- python-gmpy2 (2.1.0~a4-1)
- interfaces GMP to Python 2 for fast, unbound-precision computations
- python-gmpy2-common (2.1.0~a4-1)
- common files for python-gmpy2
- python-gmpy2-doc (2.1.0~a4-1)
- documentation for python-gmpy2
- python-gnatpython (54-3+b1)
- Python framework to ease development of test suites
- python-gnatpython-doc (54-3)
- Python framework to ease development of test suites (examples)
- python-gnocchiclient (7.0.2-2)
- bindings to the OpenStack Gnocchi API - Python 2.7
- python-gnocchiclient-doc (7.0.2-2)
- bindings to the OpenStack Gnocchi API - doc
- python-gnucap (0.0.2-1.1)
- Python 2 bindings for the GNU Circuit Analysis Package
- python-gnupg (0.4.4-1)
- Python wrapper for the GNU Privacy Guard (Python 2.x)
- python-gnuplot (1.8-6)
- Python interface to the gnuplot plotting program
- python-gnuplotlib (0.28-1)
- Gnuplot-based plotter for numpy
- python-gnutls (3.1.2-1)
- Python wrapper for the GNUTLS library
- python-gobject (3.30.4-1)
- Python 2.x bindings for GObject - transitional package
- python-gobject-2 (2.28.6-13+b1)
- deprecated static Python bindings for the GObject library
- python-gobject-2-dbg (2.28.6-13+b1)
- deprecated static Python bindings for the GObject library (debug extension)
- python-gobject-2-dev (2.28.6-13)
- development headers for the static GObject Python bindings
- python-goocalendar (0.4-2)
- Calendar widget for GTK+ using PyGoocanvas (Python 2)
- python-google-auth (1.5.1-1)
- Google Authentication Library - Python 2.7
- python-googleapi (1.5.5-1)
- Google APIs Client Library - Python 2.x
- python-googleapi-samples (1.5.5-1)
- Google APIs Client Library for Python, samples
- python-gpg (1.12.0-6)
- Python interface to the GPGME GnuPG encryption library (Python 2)
- python-gphoto2
- virtueel pakket geboden door python-gphoto2cffi
- python-gphoto2-doc (1.9.0-1)
- Python interface to libgphoto2 (common documentation)
- python-gphoto2cffi (0.4.3~a1-1)
- GPhoto2 bindings with simpler API
- python-gpiozero (1.4.1-1.1)
- simple interface to everyday GPIO components used with Raspberry Pi (Python 2)
- python-gpiv (2.0.0-4.2)
- wrapper of libgpiv
- python-gpod (0.8.3-13)
- Python bindings for libgpod
- python-gps (3.17-7)
- Global Positioning System - Python libraries
- python-gpxpy (1.3.4-1)
- GPX file parser and GPS track manipulation library (Python 2)
- python-gpyfft (0.7.0-1+b1)
- Wrapper for the OpenCL FFT library clFFT (Python 2)
- python-gpyfft-dbg (0.7.0-1+b1)
- Wrapper for the OpenCL FFT library clFFT (Python 2 debug)
- python-gpyfft-doc (0.7.0-1)
- Wrapper for the OpenCL FFT library clFFT (common documentation)
- python-grapefruit (0.1~a3+dfsg-6)
- Python module to manipulate color information easily (Python 2)
- python-grapefruit-doc (0.1~a3+dfsg-6)
- Python module to manipulate color information easily - documentation
- python-graphy (1.0+dfsg-3)
- chart generation library for Python
- python-graypy (0.2.14-1)
- Python logging handler that sends messages in GELF (Python 2)
- python-greenlet (0.4.15-2)
- Lightweight in-process concurrent programming
- python-greenlet-dbg (0.4.15-2)
- Lightweight in-process concurrent programming - debugging symbols
- python-greenlet-dev (0.4.15-2)
- Lightweight in-process concurrent programming - development files
- python-greenlet-doc (0.4.15-2)
- Lightweight in-process concurrent programming - documentation
- python-grib (2.0.4-1)
- Python module for reading and writing GRIB files
- python-grib-doc (2.0.4-1)
- Documentation and examples for Python GRIB
- python-gribapi (1.28.0-2)
- Python module for the ECMWF GRIB API library
- python-gridfs (3.7.1-1.1)
- Python implementation of GridFS for MongoDB
- python-grpcio (1.16.1-1)
- GRPC system (Python 2)
- python-gssapi (1.4.1-1+b1)
- Python interface to GSSAPI
- python-gst-1.0 (1.14.4-1+b1)
- GStreamer GObject Introspection overrides for Python
- python-gst-1.0-dbg (1.14.4-1+b1)
- GStreamer GObject Introspection overrides for Python (debug extensions)
- python-gtextfsm (0.2.1-1)
- template based state machine for parsing semi-formatted text
- python-gtk2 (2.24.0-5.1+b1)
- Python bindings for the GTK+ widget set
- python-gtk2-dbg (2.24.0-5.1+b1)
- Python bindings for the GTK+ widget set (debug extension)
- python-gtk2-dev (2.24.0-5.1)
- GTK+ bindings: devel files
- python-gtk2-doc (2.24.0-5.1)
- Python bindings for the GTK+ widget set - documentation
- python-gtkglext1 (1.1.0-9.1)
- GtkGLext python bindings
- python-gtkspellcheck (4.0.5-1)
- Python 2 spellchecking library GTK+ based on Enchant
- python-gtkspellcheck-doc (4.0.5-1)
- Python GTK+ Spellcheck common documentation
- python-gts (0.3.1-7)
- Python wrapper for the GNU Triangulated Surface library (GTS)
- python-gtts (1.2.0-1)
- Wrapper for the Google TTS (Text-to-Speech) API (Python 2)
- python-gtts-token (1.1.1-1)
- Calculates a token to run the Google Translate text to speech (Python 2)
- python-guestfs (1:1.40.2-2)
- guest disk image management system - Python bindings
- python-guidata (1.7.6-1)
- dataset manipulation GUI generator - Python 2
- python-guidata-doc (1.7.6-1)
- dataset manipulation GUI generator - Documentation
- python-guiqwt (3.0.3-3)
- efficient 2D data-plotting library - Python 2
- python-guiqwt-doc (3.0.3-3)
- efficient 2D data-plotting library - Documentation
- python-gumbo (0.10.1+dfsg-2.3)
- pure-C HTML5 parser Python bindings
- python-gunicorn (19.9.0-1)
- Event-based HTTP/WSGI server (Python 2 libraries)
- python-gv (2.40.1-6+deb10u1)
- Python bindings for graphviz
- python-gvgen (0.9-3)
- Python class to generate dot files
- python-gwcs-doc (0.10.0-2)
- Tools for managing the WCS of astronomical data (documentation)
- python-gwebsockets (0.4-3)
- websocket server written in Python
- python-gyoto (1.3.1-1)
- General relativistic geodesic integration for the Python 2 language
- python-h2 (3.0.1-4)
- Pure-Python HTTP/2 State-Machine based protocol implementation in Python
- python-h5py (2.8.0-3)
- general-purpose Python interface to hdf5 (Python 2)
- python-h5py-dbg (2.8.0-3)
- debug extensions for h5py (Python 2)
- python-h5py-doc (2.8.0-3)
- documentation for h5py
- python-hachoir-core (1.3.3-4)
- Core of Hachoir framework: parse and edit binary files
- python-hachoir-metadata (1.3.3-2)
- Program to extract metadata using Hachoir library
- python-hachoir-parser (1.3.4-2)
- Package of Hachoir parsers used to open binary files
- python-hachoir-regex (1.0.5-2)
- regular expressions manipulation Python library
- python-hachoir-subfile (0.5.3-3)
- find subfiles in any binary stream
- python-hachoir-urwid (1.1-3)
- Binary file explorer using Hachoir and urwid libraries
- python-hachoir-wx (0.3-3)
- wxWidgets GUI for the hachoir binary parser
- python-hacking (1.1.0-2)
- Flake8 OpenStack Hacking Guidelines Enforcement plugins - Python 2.x
- python-hacking-doc (1.1.0-2)
- Flake8 OpenStack Hacking Guidelines Enforcement plugins - doc
- python-halberd (0.2.4-2)
- Python2 HTTP load balancer detector
- python-hamcrest (1.8.0-1.1)
- Hamcrest framework for matcher objects (Python 2)
- python-haproxy-log-analysis (2.0~b0-1)
- generate aggregate statistics from HAProxy HTTP logs (Python 2)
- python-hashids (1.2.0-2)
- Python implementation of hashids (Python 2 version)
- python-hdate (1.6.02-2+b1)
- Provides a library that help use Hebrew dates (Python bindings)
- python-hdf-compass (0.6.0-1)
- public modules for the HDF Compass
- python-healpy-data
- virtueel pakket geboden door healpy-data
- python-heatclient (1.16.1-2)
- client library and CLI for OpenStack Heat - Python 2.7
- python-hglib (2.6.1-1)
- Python library for interfacing with Mercurial's command server
- python-hid (0.7.99.post21-1+b1)
- cython interface to hidapi
- python-hidapi (0.2.2-1)
- Python bindings for the HID API
- python-hijra (0.4.1-1) [non-free]
- Hijri Islamic Calendar converting functions for Python
- python-hiredis (0.3.1-1)
- redis protocol reader for Python 2.X using hiredis
- python-hivex (1.3.18-1+deb10u1)
- Python bindings for hivex
- python-hkdf (0.0.3-3)
- HMAC-based Extract-and-Expand Key Derivation Function (HKDF)
- python-hl7 (0.3.4-3)
- Python library for parsing HL7 messages
- python-hl7
- virtueel pakket geboden door python3-hl7
- python-hp3parclient (3.0.0-2)
- client library that can talk to the HP 3PAR Storage array
- python-hpack (3.0.0-3)
- Pure-Python HTTP/2 header encoding (HPACK)
- python-hpilo (4.3-1)
- HP iLO XML interface access from Python
- python-hpilo-doc (4.3-1)
- HP iLO XML interface access from Python - Documentation
- python-hplefthandclient (1.0.1-2)
- HP LeftHand/StoreVirtual HTTP REST Client - Python 2.x
- python-hplefthandclient-doc (1.0.1-2)
- HP LeftHand/StoreVirtual HTTP REST Client - doc
- python-hsi
- virtueel pakket geboden door hugin-tools
- python-html2text (2018.1.9-1)
- Python module for converting HTML to Markdown text
- python-html5-parser (0.4.5-1)
- fast, standards compliant, C based, HTML 5 parser for python
- python-html5lib (1.0.1-1)
- HTML parser/tokenizer based on the WHATWG HTML5 specification
- python-htmlmin (0.1.12-1)
- HTML Minifier
- python-htmltmpl (1.22-10.1)
- Templating engine for separation of code and HTML
- python-htseq (0.11.2-1)
- Python high-throughput genome sequencing read analysis utilities
- python-htseq
- virtueel pakket geboden door python3-htseq
- python-httmock (1.3.0-1)
- Mocking library for python-requests
- python-http-parser (0.8.3-3)
- http request/response parser
- python-httpbin (0.5.0+dfsg-2)
- HTTP request and response service
- python-httplib2 (0.11.3-2)
- comprehensive HTTP client library written for Python
- python-httpretty (0.9.5-3)
- HTTP client mock - Python 2.x
- python-humanfriendly (4.18-1)
- Python library to make user friendly text interfaces
- python-humanize (0.5.1-3)
- Python Humanize library (Python 2)
- python-hunspell (0.5.5-1)
- Python 2 binding for Hunspell
- python-hupper (1.5-1)
- Integrated process monitor for developing servers (Python 2)
- python-hurry.filesize (0.9-2)
- human readable file sizes or anything sized in bytes - Python 2.x
- python-hy (0.12.1-2)
- Lisp (s-expression) based frontend to Python
- python-hydroffice.bag (0.2.15-2)
- manage Bathymetric Attributed Grid (BAG) data files (Python 2)
- python-hyperframe (5.1.0-1)
- Pure-Python HTTP/2 framing code
- python-hyperlink (17.3.1-2)
- Immutable, Pythonic, correct URLs.
- python-hypothesis (3.71.11-1)
- advanced Quickcheck style testing library for Python 2
- python-hypothesis-doc (3.71.11-1)
- advanced Quickcheck style testing library (documentation)
- python-iapws (1.3-2)
- Python implementation of the international APWS-IF97 steam tables
- python-ibm-db-sa (0.3.0-3)
- SQLAlchemy support for IBM Data Servers - Python 2.x
- python-icalendar (4.0.3-2)
- parser/generator of iCalendar files for use with Python2
- python-icalendar-doc (4.0.3-2)
- parser/generator of iCalendar files for use with Python -- docs
- python-icinga2
- virtueel pakket geboden door icinga2-common
- python-id3 (1.2-6.3)
- Python module for id3-tags manipulation
- python-idna (2.6-1+deb10u1) [security]
- Python IDNA2008 (RFC 5891) handling (Python 2)
- python-ieee1284 (0.2.11-13)
- Python bindings to libieee1284
- python-ifeffit (2:1.2.11d-10.2+b3) [contrib]
- Python GUI interface and extensions for IFEFFIT
- python-igraph (0.7.1.post6-7)
- High performance graph data structures and algorithms (Python 2)
- python-ijson (2.3-2)
- event-driven JSON parser (Python 2 version)
- python-ilorest (2.3.1+20180725+ds-1)
- RESTful API for HPE iLO and iLO Chassis Manager based HPE servers (Python2)
- python-ilorest-doc (2.3.1+20180725+ds-1)
- Documentation of RESTful API for HPE iLO and HPE Chassis Manager
- python-image-geometry (1.13.0+ds-2)
- image_geometry Robot OS package - Python 2 bindings
- python-imageio (2.4.1-2)
- library for reading and writing image data (Python 2)
- python-imageio-doc (2.4.1-2)
- documentation for imageio
- python-imagesize (1.0.0-1)
- Python 2 module for getting image size from png/jpeg/jpeg2000/gif file
- python-imaging-dbg
- virtueel pakket geboden door python-pil-dbg
- python-imaging-doc-html (1.1.2-1.2)
- Documentation for the Python Imaging Library.
- python-imaging-doc-pdf (1.1.2-1.2)
- Documentation for the Python Imaging Library.
- python-imaging-sane
- virtueel pakket geboden door python-sane
- python-imaging-sane-dbg
- virtueel pakket geboden door python-sane-dbg
- python-imaging-tk
- virtueel pakket geboden door python-pil.imagetk
- python-imaging-tk-dbg
- virtueel pakket geboden door python-pil.imagetk-dbg
- python-imaplib2 (2.57-5)
- Threaded Python IMAP4 client
- python-imexam-doc (0.8.0-3)
- Simple interactive astronomical image examination and plotting (Doc)
- python-imobiledevice (1.2.1~git20181030.92c5462-2+deb10u1)
- Python bindings for communicating with iPhone and other Apple device
- python-impacket (0.9.15-5)
- Python module to easily build and dissect network protocols
- python-importlib
- virtueel pakket geboden door python2, python
- python-imposm (2.6.0+ds-6+b1)
- importer for OpenStreetMap data - Python module
- python-imposm-parser (1.0.7+ds-6+b1)
- Python module to parse OpenStreetMap data
- python-incremental (16.10.1-3)
- Library for versioning Python projects.
- python-indexed-gzip (0.8.6-1+b1)
- fast random access of gzip files in Python
- python-indigo (1.2.3-1)
- Organic Chemistry Toolkit (Python module)
- python-inflect (2.1.0-1)
- Generate plurals, singular nouns, ordinals, indefinite articles
- python-inflection (0.3.1-1)
- Port of Ruby on Rails' inflector (Python 2)
- python-influxdb (5.2.0-1)
- Client for InfluxDB - Python 2.7
- python-iniparse (0.4-2.2)
- access and modify configuration data in INI files (Python 2)
- python-inotifyx (0.2.0-1)
- simple Python binding to the Linux inotify
- python-input-pad (1.0.3-3)
- On-screen Input Pad to Send Characters with Mouse - python
- python-instagram (1.3.2+git20160108~dfeebe9-4)
- Python 2 client for the Instagram REST and Search APIs
- python-interactive-markers (1.11.4-1)
- Robot OS interactive_markers - Python 2 package
- python-intervaltree (3.0.2-1)
- mutable, self-balancing interval tree (Python 2)
- python-intervaltree-bio (1.0.1-3)
- Interval tree convenience classes for genomic data -- Python 2 library
- python-invocations (0.6.2-2)
- reusable invoke tasks - Python 2.x
- python-invoke (0.11.1+dfsg1-1)
- Pythonic task execution - Python 2.x
- python-ioprocess (0.15.1-3)
- Python bindings for ioprocess
- python-iowait (0.1-1.1)
- Platform-independent module for I/O completion events
- python-ipaclient (4.7.2-3+deb10u1) [security]
- FreeIPA centralized identity framework -- Python modules for ipaclient
- python-ipaddr (2.2.0-2)
- Python module for working with IP addresses, both IPv4 and IPv6
- python-ipaddress (1.0.17-1+deb10u1) [security]
- Backport of Python 3 ipaddress module (Python 2)
- python-ipalib (4.7.2-3+deb10u1) [security]
- FreeIPA centralized identity framework -- shared Python modules
- python-ipcalc (1.99.0-3.1)
- Python IP subnet calculator
- python-ipdb (0.11-1)
- IPython-based pdb replacement
- python-iptables (0.13.0-1+b1)
- Python bindings for iptables (Python 2 interface)
- python-iptables-doc (0.13.0-1)
- documentation for the python-iptables library
- python-iptcdata (1.0.5-2.1)
- Python bindings for the iptcdata library
- python-ipy (1:0.83-1)
- Python module for handling IPv4 and IPv6 addresses and networks
- python-ipykernel (4.9.0-1)
- IPython kernel for Jupyter (Python 2)
- python-ipython (5.8.0-1+deb10u1)
- Enhanced interactive Python shell (Python 2 version)
- python-ipython-doc (5.8.0-1+deb10u1)
- Enhanced interactive Python shell (documentation)
- python-ipython-genutils (0.2.0-1)
- IPython vestigial utilities for Python 2
- python-ipywidgets (6.0.0-4)
- Interactive widgets for the Jupyter notebook (Python 2)
- python-ipywidgets-doc (6.0.0-4)
- Interactive widgets for the Jupyter notebook (documentation)
- python-irc (8.5.3+dfsg-4)
- Internet Relay Chat (IRC) protocol client library — Python 2
- python-ironic-inspector-client (3.3.0-2)
- client for Ironic Inspector - Python 2.7
- python-ironicclient (2.5.0-2)
- Client for OpenStack bare metal Service - Python 2.7
- python-ironicclient-doc (2.5.0-2)
- Client for OpenStack bare metal Service - doc
- python-isbnlib (3.9.3-1)
- ISBN processing library
- python-isc-dhcp-leases (0.9.1-1)
- Python module for reading dhcp leases files (Python 2 interface)
- python-iso3166 (0.8.git20170319-1)
- Standalone ISO 3166-1 country definitions (Python 2)
- python-iso8583 (1.1-2)
- library for ISO 8583 transactions
- python-iso8601 (0.1.11-1)
- Python module to parse ISO 8601 dates - Python 2.x
- python-isodate (0.6.0-1)
- ISO 8601 date/time/duration parser and formatter (Python module)
- python-isort (4.3.4+ds1-1.1)
- library for sorting Python imports (Python 2)
- python-isoweek (1.3.3-2)
- Python module to provide the class Week
- python-itango (0.1.7-1)
- Interactive Tango client - Python 2
- python-itango-doc (0.1.7-1)
- Interactive Tango client - documentation
- python-itsdangerous (0.24+dfsg1-2)
- Various helpers to pass trusted data to untrusted environment - Python 2.x
- python-itsdangerous-doc (0.24+dfsg1-2)
- Various helpers to pass trusted data to untrusted environment - doc
- python-itypes (1.1.0-3)
- Python basic immutable containers types library
- python-jabber (0.5.0-1.6)
- Python module for the Jabber instant messaging platform
- python-jabberbot (0.15-1)
- easily write simple Jabber bots
- python-jack-client (0.4.5-1)
- JACK Audio Connection Kit (JACK) Client for Python
- python-jarabe (0.112-6)
- Sugar Learning Platform - graphical shell
- python-jaraco.itertools (2.0.1-3)
- Tools for working with iterables (Python 2)
- python-jaxml (3.01-6.2)
- Python module for generating XML documents
- python-jdcal (1.0-1.2)
- Julian dates from proleptic Gregorian and Julian calendars
- python-jedi (0.13.2-1)
- autocompletion tool for Python
- python-jellyfish (0.5.6-3+b2)
- Library for approximate and phonetic matching of strings (Python 2)
- python-jellyfish-doc (0.5.6-3)
- Library for approximate and phonetic matching of strings (documentation)
- python-jenkins-doc (0.4.16-1)
- bindings for the Jenkins Remote API - doc
- python-jenkinsapi (0.2.30-1)
- bindings for Python usage of the Jenkins remote API
- python-jieba-doc (0.39-4)
- Jieba Chinese text segmenter (common documentation)
- python-jinja2 (2.10-2+deb10u1) [security]
- small but fast and easy to use stand-alone template engine
- python-jinja2-doc (2.10-2+deb10u1) [security]
- documentation for the Jinja2 Python library
- python-jinja2-time (0.2.0-1)
- Jinja2 extension for dates and times - Python 2.x
- python-jira (2.0.0-1)
- JIRA Python library (Python 2)
- python-jira-doc (2.0.0-1)
- JIRA Python library (common documentation)
- python-jmespath (0.9.4-1)
- JSON Matching Expressions (Python 2)
- python-joblib (0.13.0-2+deb10u2) [security]
- tools to provide lightweight pipelining in Python
- python-josepy (1.1.0-2)
- JOSE implementation for Python 2.x
- python-josepy-doc (1.1.0-2)
- JOSE implementation for Python - Documentation
- python-jpy (0.9.0-2)
- Bi-directional Python-Java bridge
- python-jpylyzer (1.18.0-3)
- JP2 (JPEG 2000 Part 1) validator and properties extractor
- python-jpylyzer-doc (1.18.0-3)
- JP2 (JPEG 2000 Part 1) validator and properties extractor - doc
- python-jpype (0.6.3+dfsg-1+b1)
- Binding the worlds of Java and Python (for Python 2)
- python-jsbeautifier (1.6.4-7)
- JavaScript unobfuscator and beautifier (python2)
- python-jsmin (2.2.2-1)
- JavaScript minifier written in Python - Python 2.x
- python-json-pointer (1.10-1)
- resolve JSON pointers - Python 2.7
- python-json-pointer-doc (1.10-1)
- resolve JSON pointers - doc
- python-json-tricks (3.11.0-1)
- Python module with extra features for JSON files
- python-jsondiff (1.1.1-2)
- diff JSON and JSON-like structures in Python 2
- python-jsonext (0.4.1-1)
- serialisation to/from JSON for extra types — Python 2
- python-jsonhyperschema-codec (1.0.3-1)
- Python2 JSON Hyper-Schema codec for Core API
- python-jsonpatch (1.21-1)
- library to apply JSON patches - Python 2.x
- python-jsonpath-rw (1.4.0-3)
- extended implementation of JSONPath for Python 2.x
- python-jsonpath-rw-ext (1.1.3-1)
- extensions for JSONPath RW - Python 2.x
- python-jsonpath-rw-ext-doc (1.1.3-1)
- Extensions for JSONPath RW - doc
- python-jsonpickle (0.9.5-1)
- Python library for serializing object graphs into JSON (Python 2)
- python-jsonpickle-doc (0.9.5-1)
- Python library for serializing object graphs into JSON (documentation)
- python-jsonpipe (0.0.8-5)
- Convert JSON to a UNIX-friendly line-based format
- python-jsonrpc-doc (1.12.1-1)
- documentation for json-rpc
- python-jsonrpc2 (0.4.1-2)
- Python WSGI Framework for JSON RPC 2.0 (Python 2)
- python-jsonrpclib (0.1.7-1)
- implementation of the JSON-RPC v2.0 specification
- python-jsonschema (2.6.0-4)
- An(other) implementation of JSON Schema (Draft 3 and 4) - Python 2.7
- python-jsonschema-doc (2.6.0-4)
- An(other) implementation of JSON Schema (Draft 3 and 4) - doc
- python-junit.xml (1.8-1)
- creates JUnit XML test result docs readable by tools such as Jenkins
- python-junitxml (0.6-1.3)
- PyUnit extension for reporting in JUnit compatible XML
- python-junos-eznc (2.1.7-2)
- Junos 'EZ' automation for non-programmers (Python 2)
- python-jupyter-client (5.2.3-1)
- Jupyter protocol client APIs (Python 2)
- python-jupyter-client-doc (5.2.3-1)
- Jupyter protocol client APIs (documentation)
- python-jupyter-console (5.2.0-1)
- Jupyter terminal client (Python 2)
- python-jupyter-console-doc (5.2.0-1)
- Jupyter terminal client (documentation)
- python-jupyter-core (4.4.0-2+deb10u1) [security]
- Core common functionality of Jupyter projects for Python 2
- python-jupyter-core-doc (4.4.0-2+deb10u1) [security]
- Core common functionality of Jupyter projects (documentation)
- python-jupyter-sphinx-theme (0.0.6+ds1-6)
- Jupyter Sphinx Theme -- Python
- python-jwt (1.7.0-2)
- Python implementation of JSON Web Token
- python-k8sclient (0.3.0-1)
- Kubernetes API Python client code - Python 2.7
- python-k8sclient-doc (0.3.0-1)
- Kubernetes API Python client code - doc
- python-kafka (1.4.3-1)
- Pure Python client for Apache Kafka - Python 2.x
- python-kafka-doc (1.4.3-1)
- Pure Python client for Apache Kafka - doc
- python-kaitaistruct (0.8-1)
- Kaitai Struct declarative parser generator for binary data
- python-kajiki (0.7.2-1)
- Really fast well-formed xml templates - Python 2.x
- python-kajiki-doc (0.7.2-1)
- Really fast well-formed xml templates - doc
- python-kaptan (0.5.10-1)
- Python configuration manager in various formats
- python-karborclient (1.1.0-2)
- karbor API client - Python 2.7
- python-karborclient-doc (1.1.0-2)
- karbor API client - doc
- python-kazoo (2.5.0-1)
- higher level API to Apache Zookeeper (Python 2)
- python-kdcproxy (0.4-1)
- Kerberos KDC HTTP proxy WSGI module for python
- python-kdl-parser (1.13.1-1)
- Robot OS kdl_parser library - Python
- python-kdtree (0.7.1+git20101123-4+b4)
- container for kd-tree sorting for Python
- python-kdtree-dbg (0.7.1+git20101123-4+b4)
- container for kd-tree sorting for Python (debug extension)
- python-keepalive (0.5-1)
- urllib keepalive support for Python 2
- python-keepkey (0.7.3-1)
- library for communicating with KeepKey Hardware Wallet
- python-kerberos (1.1.14-2)
- GSSAPI interface module - Python 2.x
- python-keybinder (0.3.1-1)
- registers global key bindings for applications - Python bindings
- python-keyczar (0.716+ds-3)
- toolkit for safe and simple cryptography for Python
- python-keyring (17.1.1-1)
- store and access your passwords safely
- python-keyrings.alt (3.1.1-1)
- alternate backend implementations for python-keyring
- python-keystoneauth1 (3.10.0-2+deb10u1)
- authentication library for OpenStack Identity - Python 2.7
- python-keystoneauth1-doc (3.10.0-2+deb10u1)
- authentication library for OpenStack Identity - doc
- python-keystoneclient (1:3.17.0-2)
- client library for the OpenStack Keystone API - Python 2.x
- python-keystoneclient-doc (1:3.17.0-2)
- client library for the OpenStack Keystone API - doc
- python-keystonemiddleware (5.2.0-2)
- Middleware for OpenStack Identity (Keystone) - Python 2.x
- python-keystonemiddleware-doc (5.2.0-2)
- Middleware for OpenStack Identity (Keystone) - doc
- python-keyutils (0.6-1)
- Python2 bindings for keyutils
- python-kid (0.9.6-3)
- simple Pythonic template language for XML based vocabularies
- python-kineticstools (0.6.1+git20180425.27a1878-2)
- detection of DNA modifications (Python 2 library)
- python-kitchen (1.2.5-4)
- Python library of containers, text and i18n helpers (Python 2)
- python-kitchen-doc (1.2.5-4)
- Python library of containers, text and i18n helpers (common documentation)
- python-kiwi (1.9.22-4)
- a graphical framework to construct simple UI
- python-kiwisolver (1.0.1-2+b1)
- fast implementation of the Cassowary constraint solver - Python 2.X
- python-kiwisolver-dbg (1.0.1-2+b1)
- fast implementation of the Cassowary constraint solver - Python 2.X debug
- python-kjbuckets (1:1.0.0-16)
- Set and graph data types for Python
- python-klaus (1.3.0-2)
- simple easy-to-set-up Git web viewer
- python-kmip
- virtueel pakket geboden door python-pykmip
- python-kml (1.3.0-7)
- Library to manipulate KML 2.2 OGC standard files - Python extension
- python-kmodpy (0.1.10-2.1)
- Python binding for kmod
- python-kolabformat (1.1.6-4)
- Python 2 bindings for Kolab Format 3.0 XML parsing
- python-kombu (4.2.1-3)
- AMQP Messaging Framework for Python
- python-kombu-doc (4.2.1-3)
- AMQP Messaging Framework for Python (Documentation)
- python-krbv (1.0.90-1)
- Python extension module for Kerberos 5
- python-ktoblzcheck (1.49-5)
- library for verification of account numbers and bank codes (Python bindings)
- python-kubernetes (7.0.0~a1-1)
- Kubernetes Python client - Python 2.7
- python-l20n (4.0.0~a1-3)
- Mozilla Web localization framework (Python 2)
- python-laditools (1.1.0-3.1)
- Python module to control and monitor the LADI system
- python-landslide (1.1.3-1)
- Lightweight markup language-based html5 slideshow generator (compatibility package)
- python-langdetect (1.0.7-3)
- Python library for language-detection (Python 2)
- python-lasagne (0.1+git20181019.a61b76f-1)
- deep learning library build on the top of Theano (Python2 modules)
- python-laser-geometry (1.6.4-6)
- Robot OS laser geometry package - Python 2 bindings
- python-lasso (2.6.0-2+deb10u1)
- Library for Liberty Alliance and SAML protocols - Python bindings
- python-latexcodec (1.0.5-1)
- LaTeX lexer and codec library for Python
- python-latexcodec-doc (1.0.5-1)
- LaTeX lexer and codec library for Python (docs)
- python-launchpadlib (1.10.6-2)
- Launchpad web services client library
- python-lazr.config (2.2-1)
- ini-file format handling supporting schemas and inheritance
- python-lazr.config-doc (2.2-1)
- ini-file format handling supporting schemas and inheritance
- python-lazr.delegates (2.0.3-1)
- easily write objects that delegate behavior
- python-lazr.delegates-doc (2.0.3-1)
- easily write objects that delegate behavior
- python-lazr.restfulclient (0.14.2-1)
- client for lazr.restful-based web services
- python-lazr.smtptest (2.0.3-1)
- framework for testing SMTP-based applications and libraries
- python-lazr.smtptest-doc (2.0.3-1)
- framework for testing SMTP-based applications and libraries
- python-lazr.uri (1.0.3-3)
- library for parsing, manipulating, and generating URIs
- python-lazy-object-proxy (1.3.1-1+b1)
- Python fast and thorough lazy object proxy
- python-lazyarray (0.1.0-1)
- Python module providing a NumPy-compatible lazily-evaluated array
- python-ldap (3.1.0-2)
- LDAP interface module for Python
- python-ldap-dbg (3.1.0-2)
- LDAP interface module for Python (debug extension)
- python-ldap3 (2.4.1-1)
- Pure Python LDAP client library
- python-ldaptor (0.0.43+debian1-7)
- pure-Python library for LDAP operations
- python-ldb (2:1.5.1+really1.4.6-3+deb10u1)
- Python bindings for LDB
- python-ldb-dev (2:1.5.1+really1.4.6-3+deb10u1)
- LDB Python bindings - development files
- python-ldif3 (3.2.2-1)
- generate and parse LDIF data
- python-ldns (1.7.0-4)
- Python bindings for the ldns library for DNS programming
- python-ldtp (3.5.0-2)
- Python bindings for LDTP
- python-leather-doc (0.3.3-1)
- documentation for leather
- python-ledger (3.1.2+dfsg1-1)
- command-line double-entry accounting program (python extension)
- python-lepl (5.1.3-2)
- recursive descent parser library
- python-lesscpy (0.13.0+ds-1)
- LessCss Compiler for Python 2.x
- python-leveldb (0~svn68-3+b3)
- Python wrapper for LevelDB (Python 2)
- python-levenshtein (0.12.0-3)
- extension for computing string similarities and edit distances
- python-levenshtein-dbg (0.12.0-3)
- extension for computing string similarities and edit distances(debug extension)
- python-lfc (1.10.0-2+b3)
- LCG File Catalog (LFC) python2 bindings
- python-libarchive-c (2.8-0.3)
- Python interface to libarchive
- python-libavg (1.8.2-3)
- High-level development platform for media-centric applications
- python-libbde (20190102-1)
- BitLocker Drive Encryption access library -- Python 2 bindings
- python-libbtbb-pcapdump (2018.08.R1-2)
- pcapdump is a Python module for pcap delivered by libbtbb
- python-libcec (4.0.4+dfsg1-2)
- Python bindings for USB CEC Adaptor
- python-libcloud (2.4.0-1)
- unified Python interface into the cloud
- python-libconcord (1.3-1)
- Harmony remote configuration tool - Python bindings
- python-libdiscid (1.0-3+b1)
- libdiscid binding for Python 2
- python-libdiscid-dbg (1.0-3+b1)
- libdiscid binding for Python (debug extension)
- python-libdiscid-doc (1.0-3)
- libdiscid binding for Python (documentation)
- python-libemu (0.2.0+git20120122-1.2+b1)
- x86 shellcode detection and emulation - Python bindings
- python-libesedb (20181229-3)
- Extensible Storage Engine DB access library -- Python 2 bindings
- python-libevdev-doc (0.5-1)
- Python wrapper for libevdev (common documentation)
- python-libevt (20181227-1)
- Windows Event Log (EVT) format access library -- Python 2 bindings
- python-libevtx (20181227-1)
- Windows XML Event Log format access library -- Python 2 bindings
- python-libewf (20140804-1)
- support for Expert Witness Compression format -- Python 2 bindings
- python-libfsntfs (20190104-1)
- NTFS access library -- Python 2 bindings
- python-libfvde (20190104-1)
- FileVault Drive Encryption access library -- Python 2 bindings
- python-libfwnt (20181227-1)
- Windows NT data type library -- Python 2 bindings
- python-libfwsi (20181227-1)
- Windows Shell Item format access library -- Python 2 bindings
- python-libguess (1.1-1)
- high-speed character set detection library (Python wrapper)
- python-libguestfs
- virtueel pakket geboden door python-guestfs
- python-libhamlib2 (3.3-5)
- Run-time Python library to control radio transceivers and receivers
- python-libhfst (3.15.0-1.1~deb10u1)
- Helsinki Finite-State Transducer Technology (Python2 module)
- python-libiio (0.16-1)
- Python bindings for libiio
- python-libipa-hbac (1.16.3-3.2+deb10u2) [security]
- Python bindings for the FreeIPA HBAC Evaluator library
- python-liblarch (2.1.0-4)
- easily handle data structures
- python-liblas (1.8.1-10)
- Python module to use the ASPRS LiDAR data translation library
- python-liblcm (1.3.1+repack1-2)
- Lightweight Communications and Marshalling
- python-liblinear (2.1.0+dfsg-4)
- Python bindings for LIBLINEAR
- python-liblnk (20181227-1)
- Windows Shortcut File format access library -- Python 2 bindings
- python-liblo (0.10.0-3+b3)
- Python 2 bindings for liblo, the lightweight OSC library
- python-liblo-docs (0.10.0-3)
- Python bindings for liblo, the lightweight OSC library
- python-libmimic (1.0.4-2.3+b11)
- A video codec for Mimic V2.x content (python bindings)
- python-libmsiecf (20181227-1)
- Microsoft Internet Explorer Cache File access library -- Python 2 bindings
- python-libnacl (1.6.1-4)
- Python 2 bindings for libsodium based on ctypes
- python-libnmap (0.7.0-1)
- Python 2 NMAP library
- python-libnmap-doc (0.7.0-1)
- Python NMAP Library (common documentation)
- python-libolecf (20181231-1)
- OLE2 Compound File format access library -- Python 2 bindings
- python-libpcap (0.6.4-1)
- Python wrapper for libpcap packet capture library
- python-libpfm4 (4.10.1+git10-gd2a5b56-1)
- Python bindings for libpfm4
- python-libproxy (0.4.15-5+deb10u1)
- automatic proxy configuration management library (Python 2)
- python-libqcow (20181227-1)
- QEMU Copy-On-Write image format access library -- Python 2 bindings
- python-libravatar (1.7-5)
- Libravatar module for Python 2
- python-librdf (1.0.17.1+dfsg-1.3+b6)
- Python language bindings for the Redland RDF library
- python-libregf (20181231-1)
- Windows NT Registry File (REGF) format access library -- Python 2 bindings
- python-librtmp (0.3.0-1+b3)
- librtmp binding for Python 2
- python-librtmp-dbg (0.3.0-1+b3)
- librtmp binding for Python 2 - Debugging symbols
- python-libsass (0.17.0-1)
- SASS for Python: a straightforward binding of libsass for Python
- python-libscca (20181227-1)
- Windows Prefetch File access library -- Python 2 bindings
- python-libsigscan (20190103-1)
- binary signature scanning library -- Python 2 bindings
- python-libsmdev (20181227-1)
- storage media device access library -- Python 2 bindings
- python-libsmraw (20181227-1)
- split RAW image format access library -- Python 2 bindings
- python-libsoc (0.8.2-2)
- Python binding for libsoc
- python-libssh2 (1.0.0-1.2)
- Python binding for libssh2 library
- python-libsss-nss-idmap (1.16.3-3.2+deb10u2) [security]
- Python bindings for the SID lookups library
- python-libsvm (3.21+ds-1.2)
- Python interface for support vector machine library
- python-libtcod (1.7.0+dfsg-2)
- Python 2 bindings for the libtcod library
- python-libthumbor (1.3.2-1)
- Python module to make usage of Thumbor easy in Python
- python-libtiff (0.4.2-5)
- wrapper to the libtiff library to Python using ctypes
- python-libtmux (0.8.0-1)
- Python scripting library and ORM for tmux
- python-libtorrent (1.1.11-2)
- Python bindings for libtorrent-rasterbar
- python-libtorrent-dbg (1.1.11-2)
- Python bindings for libtorrent-rasterbar (debug symbols)
- python-libturpial (1.7.0-4)
- Python library that handles multiple microblogging protocols
- python-libusb1 (1.7-1)
- Python wrapper for libusb1 (Python 2)
- python-libuser (1:0.62~dfsg-0.1)
- user and group account administration library - Python 2.7 bindings
- python-libvhdi (20181227-1)
- Virtual Hard Disk image format access library -- Python 2 bindings
- python-libvirt (5.0.0-1)
- libvirt Python bindings
- python-libvmdk (20181227-1)
- VMWare Virtual Disk format access library -- Python 2 bindings
- python-libvoikko (4.2-1)
- transitional dummy package for Python bindings for libvoikko
- python-libvoikko
- virtueel pakket geboden door python3-libvoikko
- python-libvshadow (20190127-1)
- Volume Shadow Snapshot format access library -- Python 2 bindings
- python-libvslvm (20181227-1)
- Linux LVM volume system format access library -- Python 2 bindings
- python-libwfut-0.2 (0.2.3-6)
- WorldForge Update Tool (Python bindings)
- python-libxml2 (2.9.4+dfsg1-7+deb10u6) [security]
- Python bindings for the GNOME XML library
- python-libxml2-dbg (2.9.4+dfsg1-7+deb10u6) [security]
- Python bindings for the GNOME XML library (debug extension)
- python-libxslt1 (1.1.32-2.2~deb10u2) [security]
- Python bindings for libxslt1
- python-libxslt1-dbg (1.1.32-2.2~deb10u2) [security]
- Python bindings for libxslt1 (debug extension)
- python-lightblue (0.3.2-5)
- cross-platform Bluetooth API for Python
- python-linaro-image-tools (2016.05-1.1)
- Python library for the manipulation of Linaro bootable media
- python-linecache2 (1.0.0-3)
- backports of the linecache module - Python 2.7
- python-link-grammar (5.5.1-6)
- Carnegie Mellon University's link grammar parser (Python 2)
- python-link-grammar-examples (5.5.1-6)
- CMU's link grammar parser (Python examples)
- python-linux-procfs (0.6.1-1)
- Linux /proc abstraction classes in Python - Python 2.7
- python-livereload (2.6.0-1)
- automatic browser refresher
- python-livereload-doc (2.6.0-1)
- automatic browser refresher (documentation)
- python-lldb (1:7.0-47)
- Next generation, high-performance debugger, python lib
- python-lldb-6.0 (1:6.0.1-10)
- Next generation, high-performance debugger, python lib
- python-lldb-7 (1:7.0.1-8+deb10u2)
- Next generation, high-performance debugger, python lib
- python-lldb-x.y
- virtueel pakket geboden door python-lldb-7, python-lldb-6.0
- python-llfuse (1.3.6+dfsg-1)
- Python bindings for the low-level FUSE API
- python-llfuse-dbg (1.3.6+dfsg-1)
- Python bindings for the low-level FUSE API (debugging symbols)
- python-llfuse-doc (1.3.6+dfsg-1)
- Python bindings for the low-level FUSE API (documentation)
- python-llvmlite (0.27.0-2)
- LLVM Python binding for writing JIT compilers
- python-lmfit (0.9.11+dfsg-2)
- Least-Squares Minimization with Constraints (Python 2)
- python-lmfit-doc (0.9.11+dfsg-2)
- Least-Squares Minimization with Constraints (Documentation)
- python-lockfile (1:0.12.2-2)
- file locking library for Python — Python 2 library
- python-lockfile-doc (1:0.12.2-2)
- file locking library for Python — documentation
- python-logbook (1.4.3-1)
- logging system for Python that replaces the standard library's module
- python-logbook-doc (1.4.3-1)
- logging system for Python that replaces the standard library's module (doc)
- python-logging-tree (1.4-1)
- introspect and display the logging tree in Python
- python-loggingx (0.1.3-1.1)
- Generic utilities for the Python logging facility.
- python-logilab-common (1.4.2-1)
- useful miscellaneous modules used by Logilab projects
- python-logilab-constraint (0.6.0-1)
- constraints satisfaction solver in Python
- python-logsparser (0.4-1)
- Python library for log parsing, tagging and analysis.
- python-logutils (0.3.3-5)
- handlers for the Python standard library's logging package - Python 2.x
- python-logutils-doc (0.3.3-5)
- handlers for the Python standard library's logging package - docs
- python-logzero-doc (1.5.0-1)
- robust and effective logging for Python (common documentation)
- python-louie (1.1-4)
- Python signal dispatching mechanism
- python-louis (3.8.0-2)
- Python bindings for liblouis
- python-lunch (0.4.0-2)
- distributed process launcher
- python-lupa (1.6+dfsg-3)
- Python wrapper around LuaJIT (Python2 version)
- python-lxc (0.1-3)
- Linux Containers userspace tools (Python 2.x bindings)
- python-lxml (4.3.2-1+deb10u4)
- pythonic binding for the libxml2 and libxslt libraries
- python-lxml-dbg (4.3.2-1+deb10u4)
- pythonic binding for the libxml2 and libxslt libraries (debug extension)
- python-lxml-doc (4.3.2-1+deb10u4)
- pythonic binding for the libxml2 and libxslt libraries (documentation)
- python-ly (0.9.5-2)
- Tool and library for manipulating LilyPond files (Python 2)
- python-ly
- virtueel pakket geboden door python3-ly
- python-ly-doc (0.9.5-2)
- Tool and library for manipulating LilyPond files (documentation)
- python-lz4 (1.1.0+dfsg-1)
- Python interface to the lz4 compression library
- python-lzma (0.5.3-4)
- Python bindings for liblzma
- python-lzo (1.12-2)
- Python bindings for the LZO data compression library
- python-m2crypto (0.31.0-4+deb10u2)
- Python wrapper for the OpenSSL library (Python 2 modules)
- python-m2ext (0.1-1.2)
- Extensions to the M2Crypto Python package
- python-m3u8 (0.3.7-1)
- Python m3u8 parser - Python 2.x
- python-macaron (0.3.1-1)
- simple ORM for Python, SQLite3 and Bottle web framework
- python-macholib (1.11+repack0-1)
- module for Mach-O header analysis and editing (Python 2 interface)
- python-macholib-doc (1.11+repack0-1)
- module for Mach-O header analysis and editing (API documentation)
- python-magic (2:0.4.15-2)
- python2 interface to the libmagic file type identification library
- python-magics++ (3.3.1-1)
- python support for Magics++
- python-magnumclient (2.10.0-3)
- client library for Magnum API - Python 2.x
- python-magnumclient-doc (2.10.0-3)
- client library for Magnum API - doc
- python-mailer (0.8.1-3)
- Python module that simplifies sending email (Python 2)
- python-mailutils (1:3.5-4)
- GNU Mail abstraction library (Python interface)
- python-mako (1.0.7+ds1-1+deb10u1) [security]
- fast and lightweight templating for the Python platform
- python-mako-doc (1.0.7+ds1-1+deb10u1) [security]
- documentation for the Mako Python library
- python-mando-doc (0.6.4-4)
- command line argument parser for python3 (common documentation)
- python-mandrill (1.0.57-1)
- CLI client and Python API library for Mandrill
- python-manilaclient (1.24.1-2)
- OpenStack shared file system as a service - Python 2.7 client
- python-manilaclient-doc (1.24.1-2)
- OpenStack shared file system as a service - client doc
- python-manuel (1.10.1-1)
- Python library for testable documents and documented tests
- python-map-msgs (1.13.0-8)
- Python 2 code for map-related ROS Messages
- python-mapbox-vector-tile (0.5.0+ds-6)
- Mapbox Vector Tile library for Python 2
- python-mapnik (1:0.0~20180723-588fc9062-2)
- Python 2 interface to the mapnik library
- python-mapproxy (1.11.0-3+deb10u1)
- open source proxy for geospatial data - Python 2 module
- python-mapscript (7.2.2-1)
- Python library for MapServer
- python-marathon (0.9.0-2)
- Marathon Client Library - Python 2.7
- python-marisa (0.2.5-2+b1)
- Python bindings for MARISA
- python-markdown (3.0.1-3)
- text-to-HTML conversion library/tool (Python 2 version)
- python-markdown-doc (3.0.1-3)
- text-to-HTML conversion library/tool (documentation)
- python-markupsafe (1.1.0-1)
- HTML/XHTML/XML string library for Python
- python-markupsafe-dbg (1.1.0-1)
- HTML/XHTML/XML string library for Python - debug version
- (1.20.2-1)
- implementation of the freedesktop menu specification for MATE (Python bindings)
- python-mathgl (2.4.2.1-5)
- library for scientific graphs (Python v.2 module)
- python-matplotlib (2.2.3-6)
- Python based plotting system in a style similar to Matlab
- python-matplotlib-data (3.0.2-2)
- Python based plotting system (data package)
- python-matplotlib-dbg (2.2.3-6)
- Python based plotting system (debug extension)
- python-matplotlib-doc (3.0.2-2)
- Python based plotting system (documentation package)
- python-matplotlib-venn (0.11.5-5)
- Python plotting area-proportional two- and three-way Venn diagrams
- python-matplotlib2-data (2.2.3-6)
- Python based plotting system (data package)
- python-matplotlib2-doc (2.2.3-6)
- Python based plotting system (documentation package)
- python-maxminddb (1.4.1-1)
- Python module for reading the MaxMind DB format
- python-maxminddb-doc (1.4.1-1)
- Python module for reading the MaxMind DB format (documentation)
- python-mccabe (0.6.1-2)
- Python code complexity checker (Python 2)
- python-measurement (2.0.1-1)
- unit-aware measurement objects (Python2 version)
- python-measurement-doc (2.0.1-1)
- unit-aware measurement objects (Documentation)
- python-mecab (0.99.6-2)
- mecab binding for Python
- python-mecavideo
- virtueel pakket geboden door python3-mecavideo
- python-mechanicalsoup (0.10.0-2)
- library for automating interaction with websites (Python 2)
- python-mechanize (1:0.2.5-3+deb10u1) [security]
- stateful programmatic web browsing
- python-med (4.0.0+repack-7)
- python API for MED-fichier
- python-mediainfodll (18.12-2)
- library for reading metadata from media files -- shared library
- python-medusa (1:0.5.4-7)
- Framework for implementing asynchronous servers
- python-medusa-doc (1:0.5.4-7)
- Framework for implementing asynchronous servers
- python-meep (1.7.0-3)
- software package for FDTD simulation with Python
- python-meep-lam4 (1.7.0-3)
- software package for FDTD simulation with Python
- python-meep-mpi-default (1.7.0-3)
- software package for FDTD simulation with Python
- python-meep-mpich2 (1.7.0-3)
- software package for FDTD simulation with Python
- python-meep-openmpi (1.7.0-3)
- software package for FDTD simulation with Python
- python-meld3 (1.0.2-2)
- HTML/XML templating system for Python
- python-meliae (0.4.0+bzr199-4)
- Memory analysis tool for Python
- python-meliae-dbg (0.4.0+bzr199-4)
- Memory analysis tool for Python - Debug extension
- python-memcache (1.59-1)
- pure Python memcached client
- python-memory-profiler (0.52-1)
- memory consumption analysis for Python programs (Python 2)
- python-message-filters (1.14.3+ds1-5+deb10u3)
- Python 2 library for Robot OS message-filters
- python-metaconfig (0.1.4a1-5)
- Python ConfigParser bootstrapping library
- python-mhash (1.4-1.2)
- Python bindings for libmhash
- python-mhash-dbg (1.4-1.2)
- debugging symbols for Python bindings for libmhash
- python-mia (0.1.9-2+b1)
- Python-2 bindings for the MIA image processing library
- python-microversion-parse (0.2.1-2)
- OpenStack microversion header parsing library - Python 2.7
- python-microversion-parse-doc (0.2.1-2)
- OpenStack microversion header parsing library - doc
- python-mididings (0~20120419~ds0-6+b1)
- MIDI routing library for Python
- python-midiutil (1.2.1-2)
- Python 2 library to write multi-track MIDI files
- python-midiutil-doc (1.2.1-2)
- Python library to write multi-track MIDI files - documentation
- python-mido (1.2.9-1)
- Python module for working with MIDI messages and ports
- python-migrate (0.11.0-5)
- Database schema migration for SQLAlchemy - Python 2.7
- python-migrate-doc (0.11.0-5)
- Database schema migration for SQLAlchemy - doc
- python-milter (1.0.3-3)
- Python extension for Sendmail Milter Protocol
- python-milter-doc (1.0.3-3)
- Documentation for the Python Milter extension
- python-mimeparse (0.1.4-3.1)
- Parse mime-types and quality parameters - python 2.x
- python-mimerender (0.6.0-1)
- RESTful HTTP Content Negotiation for web frameworks (Python 2)
- python-mini-buildd (1.0.36+deb10u1)
- minimal build daemon - python library and user tool
- python-minieigen (0.50.3+dfsg1-8)
- Wrapper of parts of the Eigen library (Python 2)
- python-minimal (2.7.16-1)
- minimal subset of the Python2 language
- python-minimock (1.2.7-1)
- simple library for Python mock objects
- python-mipp (1.1.0-1)
- Meteorological data Ingest-Processing Package (mipp)
- python-mipp-test (1.1.0-1)
- Meteorological data Ingest-Processing Package (test suite)
- python-misaka (1.0.2-5+b3)
- binding for Sundown, a markdown parsing library - Python 2.x
- python-mistral-lib (1.0.0-1)
- Mistral shared routings and utilities - Python 2.7
- python-mistral-lib-doc (1.0.0-1)
- Mistral shared routings and utilities - doc
- python-mistralclient (1:3.7.0-2)
- OpenStack Workflow as a Service client - Python 2.7
- python-mistune (0.8.4-1)
- Markdown parser for Python 2
- python-mk-livestatus (0.2-1)
- Helps to query MK livestatus and return results as dictionary
- python-mlt (6.12.0-1)
- multimedia framework (Python bindings)
- python-mmllib (0.3.0.post1-1)
- library for handling Music Macro Language (Python 2)
- python-mne (0.17+dfsg-1)
- Python modules for MEG and EEG data analysis
- python-mnemonic (0.18-1)
- Implementation of Bitcoin BIP-0039 (Python 2)
- python-mock (2.0.0-4)
- Mocking and Testing Library
- python-mock-doc (2.0.0-4)
- Mocking and Testing Library (Documentation)
- python-mocker (1.0-2)
- Mocker object mocking framework
- python-mockldap (0.3.0-2)
- simple mock implementation of python-ldap (Python2 version)
- python-mockldap-doc (0.3.0-2)
- simple mock implementation of python-ldap (Documentation)
- python-mockupdb (1.7.0-2)
- MongoDB Wire Protocol server library - Python 2.x
- python-mod-pywebsocket (0.8-1)
- WebSocket extension for Apache HTTP Server
- python-mode (1:6.2.3-1.1)
- Python mode for GNU Emacs and XEmacs
- python-model-mommy (1.6.0-1)
- smart object creation facility for Django
- python-modestmaps (1.4.7-3)
- display and interaction library for tile-based maps
- python-moinmoin (1.9.9-1+deb10u1)
- Python clone of WikiWiki - library
- python-moksha.common (1.2.5-3)
- Common components for the Moksha framework (Python 2)
- python-moksha.hub (1.4.1-2)
- Hub components for the Moksha framework
- python-monasca-statsd (1.7.0-1)
- monasca statsd API client - Python 2.7
- python-monascaclient (1.12.1-2)
- client bindings for the Monasca API - Python 2.7
- python-moneyed (0.8.0-1)
- Currency and Money classes for use in Python 2 code
- python-mongoengine (0.15.3-1)
- Python Document-Object Mapper for working with MongoDB
- python-mongoengine-doc (0.15.3-1)
- Python Document-Object Mapper for working with MongoDB (documentation)
- python-monotonic (1.1-2)
- implementation of time.monotonic() - Python 2.x
- python-more-itertools (4.2.0-1)
- library with for operating on iterables, beyond itertools (Python 2)
- python-morris (1.2-1)
- announcement (signal/event) system for Python 2
- python-morris-doc (1.2-1)
- documentation for the Python morris module
- python-motor (2.0.0-2)
- full-featured, non-blocking MongoDB driver - Python 2.x
- python-move-base-msgs (1.13.0-8)
- Python 2 code for move-base-related ROS Messages,
- python-mox (0.5.3-5)
- mock object framework for Python
- python-mox3 (0.24.0-1)
- Mock object framework - Python 2.7
- python-mpd (1.0.0-3)
- Python MPD client library
- python-mpd-doc (1.0.0-3)
- Python MPD client library (documentation)
- python-mpdclient (0.11.1-5)
- Python interface to MPD
- python-mpegdash (0.1.5-2)
- Python MPEG-DASH parser - Python 2.x
- python-mpi4py (2.0.0-3+b3)
- bindings of the Message Passing Interface (MPI) standard
- python-mpi4py-doc (2.0.0-3)
- bindings of the MPI standard -- documentation
- python-mpikmeans (1.5+dfsg-7)
- Python bindings for MPIKmeans
- python-mplexporter (0.0.1+20140921-3)
- general matplotlib exporter
- python-mpltoolkits.basemap (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections
- python-mpltoolkits.basemap-data (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections (data package)
- python-mpltoolkits.basemap-dbg (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections (debug)
- python-mpltoolkits.basemap-doc (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections (documentation)
- python-mpmath (1.1.0-1)
- library for arbitrary-precision floating-point arithmetic
- python-mpmath-doc (1.1.0-1)
- library for arbitrary-precision floating-point arithmetic - Documentation
- python-mpop (1.5.0-4)
- Meteorological Post-Processing Package (MPoP)
- python-mrjob (0.3.3.2-1)
- MapReduce framework for writing and running Hadoop Streaming jobs
- python-mrtparse (1.6-1)
- read and analyze the MRT format data (Python 2.x module)
- python-msgpack (0.5.6-1+b1)
- Python implementation of MessagePack format
- python-msrest (0.5.5-1)
- AutoRest Swagger generator for Python 2.x
- python-msrestazure (0.5.1-1)
- Runtime library for AutoRest generated Python 2.x clients
- python-multi-key-dict (2.0.3-1)
- multi key dictionary implementation - Python 2.7
- python-multicorn (1.3.4-4+deb10u1) [security]
- multicorn utility module for postgresql-X.Y-python-multicorn
- python-multipletau (0.3.3+ds-1)
- multiple-tau algorithm for Python/NumPy
- python-multipletau-doc (0.3.3+ds-1)
- documentation for multipletau Python module
- python-munch (2.3.2-1)
- dot-accessible dictionary similar to JavaScript objects - Python 2.7
- python-murano-pkg-check (0.3.0-3)
- murano package validator tool - Python 2.7
- python-murano-pkg-check-doc (0.3.0-3)
- murano package validator tool - doc
- python-muranoclient (1.1.1-2)
- cloud-ready application catalog - Python 2.7 client module
- python-muranoclient-doc (1.1.1-2)
- cloud-ready application catalog - client doc
- python-musicbrainzngs (0.6-3)
- Python bindings for the Musicbrainz NGS webservice interface
- python-musicbrainzngs-doc (0.6-3)
- Documentation for the Python Musicbrainz NGS interface modules
- python-mutagen (1.40.0-2)
- audio metadata editing library
- python-mutagen-doc (1.40.0-2)
- audio metadata editing library - documentation
- python-mwparserfromhell (0.5.2-2)
- Outrageously powerful parser for MediaWiki wikicode (Python 2)
- python-myghty (1.1-6)
- Python based templating framework originally based on HTML::Mason
- python-myghtyutils (0.52-5)
- Set of utility classes used by Myghty templating
- python-mygpoclient (1.8-2)
- Client library for the gpodder.net web service
- python-myhdl (0.10-2)
- Hardware description language for Python (Python 2)
- python-mysqldb (1.3.10-2)
- Python interface to MySQL
- python-mysqldb-dbg (1.3.10-2)
- Python interface to MySQL (debug extension)
- python-nacl (1.3.0-2)
- Python bindings to libsodium (Python 2)
- python-nacl-doc (1.3.0-2)
- Python bindings to libsodium (documentation)
- python-nagiosplugin (1.2.4-1)
- Python class library for writing Nagios (Icinga) plugins (Python 2)
- python-nameparser (1.0.2-1)
- Python 2 module for parsing names into individual components
- python-natsort (6.0.0-1)
- Natural sorting for Python
- python-natsort-doc (6.0.0-1)
- Natural sorting for Python (doc)
- python-naturalsort (1.0.3-1.1)
- Simple natural order sorting API for Python that just works
- python-nautilus (1.2.2-2)
- Python binding for Nautilus components
- python-nav-msgs (1.12.7-1)
- Messages relating to Robot OS nav, Python 2 interface
- python-nb2plots (0.6-1)
- Converting between ipython notebooks and sphinx docs (Python 2)
- python-nbconvert (5.4-2+deb10u1) [security]
- Jupyter notebook conversion (Python 2)
- python-nbconvert-doc (5.4-2+deb10u1) [security]
- Jupyter notebook conversion (documentation)
- python-nbformat (4.4.0-1)
- Jupyter notebook format (Python 2)
- python-nbformat-doc (4.4.0-1)
- Jupyter notebook format (documentation)
- python-nbsphinx (0.4.2+ds-1)
- Jupyter Notebook Tools for Sphinx -- Python
- python-nbsphinx-doc (0.4.2+ds-1)
- Jupyter Notebook Tools for Sphinx -- doc
- python-nbxmpp (0.6.10-1)
- Non blocking Jabber/XMPP Python library
- python-nbxmpp-doc (0.6.10-1)
- Non blocking Jabber/XMPP Python library, documentation
- python-ncap (1.9.2-3)
- Python bindings for libncap
- python-ncclient (0.6.0-2)
- Python library for NETCONF clients (Python 2)
- python-ncclient-doc (0.6.0-2)
- Documentation for python-ncclient (Python library for NETCONF clients)
- python-ndg-httpsclient (0.5.1-1)
- enhanced HTTPS support for httplib and urllib2 using PyOpenSSL for Python2
- python-nemo
- virtueel pakket geboden door nemo-python
- python-nemu (0.3.1-1)
- lightweight network emulator embedded in a small python library
- python-neovim (0.3.0-1)
- Python2 library for scripting Neovim processes through its msgpack-rpc API
- python-netaddr (0.7.19-1)
- manipulation of various common network address notations (Python 2)
- python-netaddr-docs (0.7.19-1)
- manipulation of various common network address notations (documentation)
- python-netcdf4 (1.4.2-1+b1)
- Python interface to the netCDF4 (network Common Data Form) library
- python-netfilter (0.6.4-1)
- Python module for manipulating netfilter rules (Python 2)
- python-netifaces (0.10.4-1+b1)
- portable network interface information - Python 2.x
- python-netifaces-dbg (0.10.4-1+b1)
- portable network interface information - Python 2.x debug extension
- python-netmiko (1.4.3-1)
- multi-vendor library for SSH connections to network devices - Python 2.X
- python-netsnmp (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) Python support
- python-netsyslog (0.1.0+dp2-1)
- Python Modules for emitting and receiving syslog events
- python-networkmanager (2.1-1)
- Python 2 interface to the NetworkManager D-Bus interface
- python-networkx (2.2-1)
- tool to create, manipulate and study complex networks
- python-networkx-doc (2.2-1)
- tool to create, manipulate and study complex networks - documentation
- python-neuroshare (0.9.2-1)
- Python interface and tools for Neuroshare
- python-neuroshare-doc (0.9.2-1)
- Python interface and tools for Neuroshare
- python-neutron-lib-doc (1.18.0-2)
- Neutron shared routines and utilities - doc
- python-neutronclient (1:6.9.1-1)
- client API library for Neutron - Python 2.7
- python-nevow (0.14.2-2)
- Web application templating system for Python and Twisted
- python-newt (0.52.20-8)
- NEWT module for Python
- python-nfs-ganesha (2.7.1-2)
- Python bindings for nfs-ganesha
- python-ngs (2.9.3-1)
- Next Generation Sequencing language Bindings (Python bindings)
- python-nibabel (2.3.2-1)
- Python bindings to various neuroimaging data formats
- python-nibabel-doc (2.3.2-1)
- documentation for NiBabel
- python-nids (0.6.1-1.1)
- Python binding for libnids (aka pynids)
- python-nifti (0.20100607.1-4.1)
- Python interface to the NIfTI I/O libraries
- python-nine (1.0.0-1)
- Python 2 / 3 compatibility, like six, but favouring Python 3
- python-nipy (0.4.2-2)
- Analysis of structural and functional neuroimaging data
- python-nipy-doc (0.4.2-2)
- documentation and examples for NiPy
- python-nipy-lib (0.4.2-2)
- Analysis of structural and functional neuroimaging data
- python-nipy-lib-dbg (0.4.2-2)
- Analysis of structural and functional neuroimaging data
- python-nipype (1.1.8-1)
- Neuroimaging data analysis pipelines in Python
- python-nipype-doc (1.1.8-1)
- Neuroimaging data analysis pipelines in Python -- documentation
- python-nitime (0.7-2)
- timeseries analysis for neuroscience data (nitime)
- python-nitime-doc (0.7-2)
- timeseries analysis for neuroscience data (nitime) -- documentation
- python-nlopt (2.4.2+dfsg-8+b1)
- nonlinear optimization library -- Python bindings
- python-nltk (3.4-1)
- Python libraries for natural language processing
- python-nmap (0.6.1-1)
- Python interface to the Nmap port scanner
- python-nmea2 (1.12.0-1)
- Python library for the NMEA 0183 protocol
- python-nodelet (1.9.16-1)
- Robot OS nodelet library - service files - Python 2
- python-nodelet-topic-tools (1.9.16-1)
- Robot OS nodelet_topic_tools library - Python 2 service files
- python-nose (1.3.7-4)
- test discovery and running of Python's unittest
- python-nose-doc (1.3.7-4)
- documentation for discovery and running for Python's unittest
- python-nose-exclude (0.5.0-1)
- exclude specific directories from nosetests runs - Python 2.7
- python-nose-parameterized (0.3.4-4)
- decorator for parameterized testing with Nose - Python 2.x
- python-nose-random (1.0.0-1)
- nose plugin to facilitate randomized unit testing with Python 2
- python-nose-testconfig (0.9-2)
- test configuration plugin for nosetests
- python-nose-timer (0.3.0-2)
- timer plugin for nosetests - Python 2.x
- python-nose-yanc (0.3.3-3)
- Color output plugin for nose
- python-nose2 (0.8.0-1)
- Next generation of nicer testing for Python2
- python-nose2-cov (1.0a4-2)
- nose2 plugin for coverage reporting
- python-nose2-doc (0.8.0-1)
- Next generation of nicer testing for Python2/3 (documentation)
- python-nosehtmloutput (0.0.5-1)
- plugin to produce test results in html - Python 2.x
- python-nosexcover (1.0.11-1.1)
- Add Cobertura-style XML coverage report to nose (Python2 version)
- python-notebook (5.7.8-1)
- Jupyter interactive notebook (Python 2)
- python-notebook-doc (5.7.8-1)
- Jupyter interactive notebook (documentation)
- python-notify (0.1.1-4)
- Python bindings for libnotify
- python-notify2 (0.3-3)
- desktop notifications API for Python
- python-notmuch (0.28.4-1)
- Python interface to the notmuch mail search and index library
- python-nototools (0~20170925-1)
- font support tools from the Noto Fonts project
- python-novaclient (2:11.0.0-2)
- client library for OpenStack Compute API - Python 2.7
- python-novaclient-doc (2:11.0.0-2)
- client library for OpenStack Compute API - doc
- python-novnc (1:1.0.0-1)
- HTML5 VNC client - Python 2.7 libraries
- python-ns3 (3.29+dfsg-3)
- Python binding of ns3
- python-nss (1.0.0-1+b2)
- Python bindings for Network Security Services (NSS)
- python-ntlm (1.1.0-1)
- Python library that provides NTLM support
- python-ntplib (0.3.3-1)
- Python NTP library (Python 2.x)
- python-numexpr (2.6.9-1)
- Fast numerical array expression evaluator for Python and NumPy
- python-numexpr-dbg (2.6.9-1)
- Fast numerical array expression evaluator for Python and NumPy (debug ext)
- python-numpy (1:1.16.2-1)
- Numerical Python adds a fast array facility to the Python language
- python-numpy-abi9
- virtueel pakket geboden door python-numpy
- python-numpy-api13
- virtueel pakket geboden door python-numpy
- python-numpy-dbg (1:1.16.2-1)
- Fast array facility to the Python language (debug extension)
- python-numpy-dev
- virtueel pakket geboden door python-numpy
- python-numpy-doc (1:1.16.2-1)
- NumPy documentation
- python-numpydoc (0.7.0-1)
- Sphinx extension to support docstrings in Numpy format -- Python 2
- python-numpysane (0.17-1)
- more-reasonable core functionality for numpy
- python-nut (2.7.4-8)
- network UPS tools - Python bindings for NUT server
- python-nwdiag (1.0.4+dfsg-1)
- generate network-diagram image file from spec-text file
- python-nwsclient (1.6.4-8)
- NetWorkSpaces client for distributed Python applications
- python-nwsserver (2.0.0-2)
- NetWorkSpaces server for distributed applications
- python-nxt (2.2.2-4)
- python driver/interface/wrapper for the Lego Mindstorms NXT robot
- python-nxt-filer (2.2.2-4)
- simple GUI to manage files on a LEGO Mindstorms NXT
- python-oauth (1.0.1-5)
- Python library implementing of the OAuth protocol
- python-oauth2client (4.1.2-3)
- OAuth 2.0 client library - Python 2.7
- python-oauthlib (2.1.0-1)
- generic, spec-compliant implementation of OAuth for Python
- python-obexftp (0.24-5+b5)
- Python binding to the object exchange file transfer library
- python-objgraph (3.4.0-2)
- Module for exploring Python object reference graphs
- python-objgraph-doc (3.4.0-2)
- Module for exploring Python object reference graphs (Documentation)
- python-obsub (0.2-3)
- Python module that implements the observer pattern via a decorator
- python-octaviaclient (1.6.0-2)
- octavia client for OpenStack Load Balancing - Python 2.7
- python-octaviaclient-doc (1.6.0-2)
- Octavia client for OpenStack Load Balancing - doc
- python-odf (1.4.0-1)
- Python API to manipulate OpenDocument files
- python-odf-doc (1.4.0-1)
- documentation and examples for python-odf and python3-odf
- python-odf-tools (1.4.0-1)
- Python tools to manipulate OpenDocument files
- python-odil (0.10.0-3)
- C++11 library for the DICOM standard (Python wrappers)
- python-odoorpc (0.5.1-1)
- pilot Odoo servers through RPC (Python 2)
- python-odoorpc-doc (0.5.1-1)
- pilot Odoo servers through RPC (documentation)
- python-ofxhome (0.3.3-2)
- ofxhome.com financial institution lookup REST client (Python 2.7)
- python-ofxparse (0.19-1)
- parser for Open Financial Exchange (.ofx) format files
- python-ogg (1.3+repack-8)
- Python interface to the Ogg library
- python-ogg-dbg (1.3+repack-8)
- Python interface to the Ogg library (debug extension)
- python-okasha (0.2.4-2) [non-free]
- trivial WSGI web framework for Python
- python-okasha-examples (0.2.4-2) [non-free]
- trivial WSGI web framework for Python (examples)
- python-olefile (0.46-1)
- Python module to read/write MS OLE2 files
- python-olm (2.2.2+git20170526.0fd768e+dfsg-1)
- Python bindings for the olm Double Ratchet implementation
- python-omemo (0.10.3-1)
- Python 2 implementation of the OMEMO protocol
- python-omemo-backend-signal (0.2.3-1)
- Python 2 backend for python-omemo with libsignal compatibility
- python-ooolib (0.0.17-2.1)
- Python module for creating OpenDocument documents (sp.sheet/text)
- python-opcua (0.98.6-2)
- Pure Python OPC-UA Client and Server (Python 2)
- python-opcua-tools (0.98.6-2)
- Pure Python OPC-UA Client and Server (tools)
- python-openalpr (2.3.0-1.1)
- Python binding for OpenALPR library
- python-openbabel (2.4.1+dfsg-3)
- Chemical toolbox library (python bindings)
- python-openctm (1.0.3+dfsg1-2)
- Python bindings for OpenCTM library
- python-opencv (3.2.0+dfsg-6)
- Python bindings for the computer vision library
- python-opencv-apps (1.12.0-2)
- opencv_apps Robot OS package - Python 2 bindings
- python-opengl (3.1.0+dfsg-2)
- Python bindings to OpenGL (Python 2)
- python-openid (2.2.5-7)
- OpenID support for servers and consumers
- python-openid-cla (1.2-1)
- OpenID CLA extension for python-openid (Python 2)
- python-openid-doc (2.2.5-7)
- OpenID support for servers and consumers -- documentation
- python-openid-teams (1.2-1)
- OpenID teams extension for python-openid (Python 2)
- python-openopt (0.38+svn1589-1.1)
- Python module for numerical optimization
- python-openpyxl (2.4.9-1)
- Python module to read/write OpenXML xlsx/xlsm files
- python-openscap (1.2.16-2+b2)
- Set of libraries enabling integration of the SCAP line of standards
- python-openslide (1.1.1-4)
- Python 2 wrapper for reading whole slide image files
- python-openslide-examples (1.1.1-4)
- Python examples for python-openslide and python3-openslide
- python-openssl (19.0.0-1)
- Python 2 wrapper around the OpenSSL library
- python-openssl-doc (19.0.0-1)
- Python wrapper around the OpenSSL library (documentation package)
- python-openstack.nose-plugin (0.11-3)
- nosetests output to mimic the output of openstack's run_tests.py - Python 2.x
- python-openstackclient (3.16.2-1)
- OpenStack Command-line Client - Python 2.7
- python-openstackclient-doc (3.16.2-1)
- OpenStack Command-line Client - doc
- python-openstackdocstheme (1.20.0-3)
- extension support for Sphin OpenStack docs - Python 2.7
- python-openstacksdk (0.17.2-2)
- SDK for building applications to work with OpenStack - Python 2.x
- python-openstacksdk-doc (0.17.2-2)
- SDK for building applications to work with OpenStack - doc
- python-openvdb (5.2.0-5)
- Sparse Volume Processing toolkit - Python binding
- python-openvswitch (2.10.7+ds1-0+deb10u5) [security]
- Python bindings for Open vSwitch
- python-opster (4.1-2)
- python command line parsing speedster
- python-optcomplete (1.2-13)
- provide bash-completion for Python programs
- python-optlang (1.4.4-1)
- sympy based mathematical programming language (Python 2)
- python-os-api-ref (1.5.0+dfsg1-4)
- Sphinx Extensions to support API reference sites in OpenStack - Python 2.7
- python-os-api-ref-common (1.5.0+dfsg1-4)
- Sphinx Extensions to support API reference sites in OpenStack - common
- python-os-apply-config (0.1.14-1)
- Creates config files out of cloud metadata
- python-os-brick (2.5.5-1)
- Library for managing local volume attaches - Python 2.x
- python-os-brick-doc (2.5.5-1)
- Library for managing local volume attaches - doc
- python-os-client-config (1.31.2-2)
- OpenStack client configuration library - Python 2.x
- python-os-client-config-doc (1.31.2-2)
- OpenStack client configuration library - doc
- python-os-cloud-config (0.2.6-1)
- configuration seed and initialisation for TripleO OpenStack clouds
- python-os-net-config (0.1.0-1)
- OpenStack network configuration - Python 2.x
- python-os-net-config-doc (0.1.0-1)
- OpenStack network configuration - doc
- python-os-refresh-config (0.1.2-1)
- refresh system configuration of an OpenStack TripleO node
- python-os-service-types (1.3.0-2)
- lib for consuming OpenStack sevice-types-authority data - Python 2.7
- python-os-service-types-doc (1.3.0-2)
- lib for consuming OpenStack sevice-types-authority data - doc
- python-os-testr (1.0.0-4)
- Utility wrappers for testrepository for OpenStack projects - Python 2.x
- python-os-testr-doc (1.0.0-4)
- Utility wrappers for testrepository for OpenStack projects - doc
- python-os-traits (0.9.0-2)
- standardized trait strings - Python 2.7
- python-os-traits-doc (0.9.0-2)
- standardized trait strings - doc
- python-os-vif (1.11.1-1)
- Integration library between network and compute - Python 2.7
- python-os-vif-doc (1.11.1-1)
- Integration library between network and compute - doc
- python-os-win (4.0.0-3)
- Windows / Hyper-V library for OpenStack projects - Python 2.7
- python-os-win-doc (4.0.0-3)
- Windows / Hyper-V library for OpenStack projects - doc
- python-os-xenapi (0.3.3-3)
- XenAPI library for OpenStack projects - Python 2.7
- python-os-xenapi-doc (0.3.3-3)
- XenAPI library for OpenStack projects - doc
- python-osc-lib (1.11.1-2)
- OpenStackClient Library - Python 2.7
- python-osc-lib-doc (1.11.1-2)
- OpenStackClient Library - doc
- python-osc-placement-doc (1.3.0-2)
- OpenStackClient plugin for the Placement service - doc
- python-osd (0.2.14-6.1)
- Transitional dummy package
- python-oslo.cache (1.30.3-1)
- cache storage for Openstack projects - Python 2.7
- python-oslo.cache-doc (1.30.3-1)
- cache storage for Openstack projects - doc
- python-oslo.concurrency (3.27.0-3)
- concurrency and locks for OpenStack projects - Python 2.x
- python-oslo.concurrency-doc (3.27.0-3)
- oslo.concurrency library - doc
- python-oslo.config (1:6.4.1-1)
- Common code for Openstack Projects (configuration API) - Python 2.x
- python-oslo.config-doc (1:6.4.1-1)
- Common code for Openstack Projects (configuration API) - doc
- python-oslo.context (2.21.0-2)
- WSGI context helpers for OpenStack - Python 2.x
- python-oslo.context-doc (2.21.0-2)
- WSGI context helpers for OpenStack - doc
- python-oslo.db (4.40.0-3)
- database connectivity to the different backends and helper utils - Python 2.x
- python-oslo.db-doc (4.40.0-3)
- database connectivity to the different backends and helper utils - doc
- python-oslo.i18n (3.21.0-2)
- Oslo Internationalization Utilities - Python 2.x
- python-oslo.i18n-doc (3.21.0-2)
- Oslo Internationalization Utilities - doc
- python-oslo.log (3.39.0-2)
- OpenStack logging configuration library - Python 2.x
- python-oslo.log-doc (3.39.0-2)
- OpenStack logging configuration library - doc
- python-oslo.messaging (8.1.4-1+deb10u1)
- oslo messaging library - Python 2.x
- python-oslo.middleware (3.36.0-2)
- various WSGI middleware components for OpenStack - Python 2.x
- python-oslo.middleware-doc (3.36.0-2)
- various WSGI middleware components for OpenStack - doc
- python-oslo.policy (1.38.1-2)
- RBAC policy enforcement library for OpenStack - Python 2.x
- python-oslo.policy-doc (1.38.1-2)
- RBAC policy enforcement library for OpenStack - doc
- python-oslo.privsep (1.29.0-2)
- OpenStack library for privilege separation - Python 2.7
- python-oslo.privsep-doc (1.29.0-2)
- OpenStack library for privilege separation - doc
- python-oslo.reports (1.28.0-2)
- reports serialized in various data types - Python 2.7
- python-oslo.reports-doc (1.28.0-2)
- reports serialized in various data types - doc
- python-oslo.rootwrap (5.14.1-2)
- allows fine filtering of shell commands to run as root - Python 2.x
- python-oslo.serialization (2.27.0-2)
- utilities for serialization , especially JSON - Python 2.x
- python-oslo.serialization-doc (2.27.0-2)
- utilities for serialization , especially JSON - doc
- python-oslo.service (1.31.8-1)
- library for running OpenStack services - Python 2.x
- python-oslo.service-doc (1.31.8-1)
- library for running OpenStack services - doc
- python-oslo.utils (3.36.5-0+deb10u3) [security]
- set of utility functions for OpenStack - Python 2.x
- python-oslo.utils-doc (3.36.5-0+deb10u3) [security]
- Oslo Utility library - doc
- python-oslo.versionedobjects (1.33.3-2)
- deals with DB schema versions and code expectations - Python 2.x
- python-oslo.versionedobjects-doc (1.33.3-2)
- deals with DB schema versions and code expectations - doc
- python-oslo.vmware (2.31.0-2)
- VMware library for OpenStack projects - Python 2.7
- python-oslo.vmware-doc (2.31.0-2)
- VMware library for OpenStack projects - doc
- python-oslosphinx (4.18.0-2)
- theme and extension support for openstack - Python 2.7
- python-oslosphinx-common (4.18.0-2)
- theme and extension support for openstack - common
- python-oslotest (1:3.6.0-2)
- OpenStack test framework - Python 2.x
- python-oslotest-doc (1:3.6.0-2)
- OpenStack test framework - doc
- python-osmapi (1.2.2-2)
- Python interface to OpenStreetMap API
- python-osprofiler (2.3.0-3)
- OpenStack Profiler Library - Python 2.x
- python-osprofiler-doc (2.3.0-3)
- OpenStack Profiler Library - doc
- python-otb (6.6.1+dfsg-1+b1)
- ORFEO Toolbox Python API for applications (Python 2)
- python-othman (0.5.1-1.1) [non-free]
- library providing access to Quranic text with a fast search index
- python-overpass (0.6.1-1)
- wrapper for the OpenStreetMap Overpass API (Python 2)
- python-overpy (0.4-1)
- wrapper to access the OpenStreetMap Overpass API (Python 2)
- python-ovsdbapp (0.12.2-1)
- library for creating OVSDB applications - Python 2.7
- python-ovsdbapp-doc (0.12.2-1)
- library for creating OVSDB applications - doc
- python-ow (3.2p3+dfsg1-2+deb10u1)
- Dallas 1-wire support: Python 2 bindings
- python-ownet (3.2p3+dfsg1-2+deb10u1)
- Python 2 module for accessing 1-wire networks
- python-owslib (0.17.1-1+deb10u1) [security]
- Client library for Open Geospatial (OGC) web services (Python 2)
- python-packaging (19.0-1)
- core utilities for python packages
- python-pacparser (1.3.6-1.1+b4)
- Python module to parse proxy auto-config files
- python-padme (1.1.1-2)
- mostly transparent proxy class for Python 2
- python-padme-doc (1.1.1-2)
- mostly transparent proxy class for Python (documentation)
- (3.3-1)
- terminal/console pager module in pure Python - Python 2.7
- python-paho-mqtt (1.4.0-1)
- MQTT client class (Python 2)
- python-paisley (0.3.1-1)
- CouchDB client written in Python to be used within a Twisted application
- python-pam (0.4.2-13.2)
- Python interface to the PAM library
- python-pampy (1.8.4-1)
- Python module for simple PAM authentications (Python 2)
- python-pandas (0.23.3+dfsg-3)
- data structures for "relational" or "labeled" data
- python-pandas-doc (0.23.3+dfsg-3)
- documentation and examples for pandas
- python-pandas-lib (0.23.3+dfsg-3)
- low-level implementations and bindings for pandas
- python-pandocfilters (1.4.2-1)
- python bindings for Pandoc's filters
- python-pankoclient (0.5.0-2)
- Client library for OpenStack panko server - Python 2.x
- python-pankoclient-doc (0.5.0-2)
- Client library for OpenStack panko server - doc
- python-panoramisk-doc (1.0-1.1)
- asyncio based library to play with asterisk (doc)
- python-parallax (1.0.4-2)
- Execute commands and copy files over SSH (Python 2)
- python-parallel (0.2.2-3)
- pyparallel - module encapsulating access for the parallel port
- python-parameterized (0.6.1-2)
- parameterized testing for Python 2
- python-paramiko (2.4.2-0.1+deb10u1) [security]
- Make ssh v2 connections (Python 2)
- python-park (1.0.0-1)
- persistent key-value API for Python with ordered traversal of keys
- python-parse (1.6.6-0.1)
- Parse provides the reverse function for format(), Python2 package
- python-parse-type (0.3.4-2)
- BDD plugin for py.test.
- python-parsedatetime (2.4-2)
- Python module to parse human-readable date/time expressions
- python-parsel (1.5.0-2)
- Python 2 library to extract HTML/XML data using XPath/CSS selectors
- python-parsley (1.2-1)
- pattern-matching language based on OMeta and Python
- python-parso (0.3.1-1)
- Python parser that supports error recovery
- python-parso-doc (0.3.1-1)
- documentation for the parso Python library
- python-parted (3.11.2-10)
- Python interface for libparted
- python-parted-doc (3.11.2-10)
- Python 3 interface for libparted (common documentation)
- python-passfd (0.2-3)
- Python functions to pass file descriptors across UNIX domain
- python-passlib (1.7.1-1)
- comprehensive password hashing framework
- python-paste (3.0.6+dfsg-1)
- tools for using a Web Server Gateway Interface stack - Python 2.x
- python-paste-doc (3.0.6+dfsg-1)
- tools for using a Web Server Gateway Interface stack - documentation
- python-pastedeploy (2.0.1-1)
- load, configure, and compose WSGI applications and servers - Python 2.x
- python-pastedeploy-tpl (2.0.1-1)
- load, configure, and compose WSGI applications and servers - templates
- python-pastescript (2.0.2-2)
- create file layouts for Python packages and serve webapps
- python-pastescript-doc (2.0.2-2)
- create file layouts for packages and serve webapps - documentation
- python-pastewebkit (1.0-8)
- port/reimplementation of Webware WebKit in WSGI and Paste
- python-path (11.5.0-3)
- module wrapper for os.path for Python 2
- python-path-and-address (2.0.1-1)
- Functions for server CLI applications used by humans (Python 2)
- python-pathlib (1.0.1-2.1)
- set of Python 2 classes to handle filesystem paths
- python-pathlib-doc (1.0.1-2.1)
- set of Python 2 classes to handle filesystem paths (Documentation)
- python-pathlib2 (2.3.3-1)
- Backport of the "pathlib" stdlib module (Python 2)
- python-pathtools (0.1.2-2)
- Path utilities for Python - Python 2.x
- python-patsy (0.5.0+git13-g54dcf7b-1)
- statistical models in Python using symbolic formulas
- python-patsy-doc (0.5.0+git13-g54dcf7b-1)
- documentation and examples for patsy
- python-pattern (2.6+git20150109-3)
- web mining module for Python
- python-paver (1.2.1-1.1)
- Easy build, distribution and deployment scripting in Python
- python-paypal (1.2.5-2)
- PayPal API implementation (Python 2)
- python-pbalign (0.3.2-1)
- map Pacific Biosciences reads to reference DNA sequences (Python2)
- python-pbbanana (15.8.24+dfsg-3)
- additional utilities for the pbsuite
- python-pbcommand (1.1.1-1)
- common command-line interface for Pacific Biosciences analysis modules
- python-pbconsensuscore (1.1.1+dfsg-1)
- algorithms for PacBio multiple sequence consensus -- Python 2
- python-pbcore (1.6.5+dfsg-1)
- Python 2 library for processing PacBio data files
- python-pbcore-doc (1.6.5+dfsg-1)
- Python library for processing PacBio data files (documentation)
- python-pbgenomicconsensus (2.3.2-5)
- Pacific Biosciences variant and consensus caller (Python 2)
- python-pbh5tools (0.8.0+git20170929.58d54ff+dfsg-1)
- tools for manipulating Pacific Biosciences HDF5 files -- Python 2 library
- python-pbh5tools-doc (0.8.0+git20170929.58d54ff+dfsg-1)
- tools for manipulating HDF5 files produced by Pacific Biosciences (doc)
- python-pbkdf2 (1.3+20110613.git2a0fb15~ds0-3)
- Python RSA PKCS#5 v2.0 module (Python 2)
- python-pbr (4.2.0-5)
- inject useful and sensible default behaviors into setuptools - Python 2.x
- python-pbr-doc (4.2.0-5)
- inject useful and sensible default behaviors into setuptools - doc
- python-pbsuite-utils (15.8.24+dfsg-3)
- software for Pacific Biosciences sequencing data -- Python utilities
- python-pcapy (0.10.8-1+b1)
- Python interface to the libpcap packet capture library
- python-pcl-msgs (0.2.0-8)
- Python 2 code for PCL-related Robot OS Messages
- python-pcp (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Python PMAPI module
- python-pcs (0.5+debian-2)
- Packet Construction Set for Python
- python-pdal (2.1.8+ds-1)
- Point Data Abstraction Library - Python 2 extension
- python-pdfkit (0.6.1-1)
- Python wrapper for wkhtmltopdf to convert HTML to PDF (Python 2)
- python-pdfminer (20181108+dfsg-3)
- PDF parser and analyser (Python2)
- python-pdfrw (0.4-2)
- PDF file manipulation library (Python 2)
- python-pdfrw-doc (0.4-2)
- PDF file manipulation library (documentation)
- python-pdftools (0.37-5)
- PDF document reading classes
- python-peak.rules (0.5a1+r2713-1)
- generic functions support for Python
- python-peak.util (20160204-1)
- utilities from the Python Enterprise Application Kit
- python-peak.util.decorators (1.8-4)
- version-agnostic decorators support for Python
- python-pebl (1.0.2-4)
- Python Environment for Bayesian Learning
- python-pebl-dbg (1.0.2-4)
- Python Environment for Bayesian Learning - debug
- python-pebl-doc (1.0.2-4)
- Python Environment for Bayesian Learning - documentation
- python-pecan (1.3.2-2)
- WSGI object-dispatching web framework - Python 2.x
- python-pecan-doc (1.3.2-2)
- WSGI object-dispatching web framework
- python-peewee (3.7.0+dfsg-1)
- Simple ORM for PostgreSQL, MySQL and SQLite (Python 2)
- python-peewee-doc (3.7.0+dfsg-1)
- Documentation pyhton peewee simple ORM
- python-pefile (2018.8.8-1)
- Portable Executable (PE) parsing module for Python
- python-pelican (4.0.1+dfsg-1)
- transitional dummy package
- python-pep8 (1.7.1-3)
- Python PEP 8 code style checker - Python
- python-pep8-naming (0.4.1-4)
- check for PEP 8 naming conventions (flake8 plugin for Python2)
- python-periodictable (1.5.0-7)
- Extensible periodic table of the elements (Python 2)
- python-periodictable-doc (1.5.0-7)
- Extensible periodic table of the elements (common documentation)
- python-persistent (4.2.2-2)
- Automatic persistence for Python objects
- python-persistent-doc (4.2.2-2)
- Automatic persistence for Python objects - documentation
- python-petsc4py (3.10.1-1)
- Python 2 bindings for PETSc libraries
- python-petsc4py-docs (3.10.1-1)
- Python bindings for PETSc libraries: documentation and examples
- python-pexpect (4.6.0-1)
- Python module for automating interactive applications
- python-pexpect-doc (4.6.0-1)
- Python module for automating interactive applications (documentation)
- python-pg
- virtueel pakket geboden door python-pygresql
- python-pg8000 (1.10.6-1)
- Pure-Python PostgreSQL Driver (Python 2)
- python-pg8000-doc (1.10.6-1)
- Pure-Python PostgreSQL Driver (documentation)
- python-pgmagick (0.7.4-2)
- Yet Another Python wrapper for GraphicsMagick
- python-pgpdump (1.5-1)
- PGP packet parser library (Python 2)
- python-pgspecial (1.9.0-1.1)
- Meta-commands handler for Postgres Database (Python 2)
- python-phabricator (0.7.0-1)
- Phabricator Python API Bindings (Python 2)
- python-phonenumbers (8.9.10-1)
- parsing/formatting/validating phone numbers - Python 2
- python-phply (1.2.5-1)
- PHP parser written in Python using PLY
- python-phpserialize (1.3-1)
- Python port of PHP serialize and unserialize functions (Python 2)
- python-picklable-itertools (0.1.1-2)
- picklable reimplementation of Python's itertools
- python-pickleshare (0.7.5-1)
- File system based database that uses Python pickles for Python 2
- python-piexif (1.0.13-2)
- Pure python library for manipulating exif
- python-piggyphoto (0.1dev-git20141014)
- Python bindings for libgphoto2
- python-pigpio (1.68-3)
- Python module which talks to the pigpio daemon (Python 2)
- python-pika (0.11.0-4)
- AMQP client library for Python 2
- python-pika-doc (0.11.0-4)
- AMQP client library documentation
- python-pika-pool (0.1.3-3)
- Pika connection pooling - Python 2.7
- python-pil (5.4.1-2+deb10u6) [security]
- Python Imaging Library (Pillow fork)
- python-pil-dbg (5.4.1-2+deb10u6) [security]
- Python Imaging Library (debug extension)
- python-pil-doc (5.4.1-2+deb10u6) [security]
- Examples for the Python Imaging Library
- python-pil.imagetk (5.4.1-2+deb10u6) [security]
- Python Imaging Library - ImageTk Module (Pillow fork)
- python-pil.imagetk-dbg (5.4.1-2+deb10u6) [security]
- Python Imaging Library - ImageTk Module (debug extension)
- python-pilkit (2.0-2)
- Utilities and processors built for, and on top of PIL (Python2 version)
- python-pillow
- virtueel pakket geboden door python-pil
- python-pint (0.9-1)
- define, operate and manipulate physical quantities - Python 2.x
- python-pint-doc (0.9-1)
- define, operate and manipulate physical quantities - doc
- python-pip (18.1-5)
- Python package installer
- python-pip-whl (18.1-5)
- Python package installer
- python-pisock (0.12.5-dfsg-2+b3)
- Python module to communicate with PalmOS PDA
- python-pisock-dbg (0.12.5-dfsg-2+b3)
- Python module to communicate with PalmOS PDA (debug extension)
- python-pivy (0.6.4-1)
- Coin binding for Python
- python-pkg-resources (40.8.0-1)
- Package Discovery and Resource Access using pkg_resources
- python-pkgconfig (1.4.0-1)
- Python interface to the pkg-config command line tool
- python-pkginfo (1.4.2-2)
- Python 2.x module to query metadata from packages
- python-pkginfo-doc (1.4.2-2)
- Python module to query metadata from packages documentation
- python-plaster (1.0-1)
- Python multi-format configuration file loader interface - Python 2.x module
- python-plaster-doc (1.0-1)
- Python multi-format configuration file loader interface - Documentation
- python-plaster-pastedeploy (0.5-2)
- PasteDeploy configuration format plugin for plaster - Python 2.x module
- python-plastex (1.0.0-1)
- LaTeX document processing framework in Python
- python-plastex-doc (1.0.0-1)
- LaTeX document processing framework in Python - documentation files
- python-plist (2.0.1~git20190104.3f96731-1)
- Library for handling Apple binary and XML property lists - Python bindings
- python-plotly (3.6.1+dfsg1-1)
- Python plotting library for publication-quality graphs
- python-pluggy (0.8.0-1)
- plugin and hook calling mechanisms for Python - 2.7
- python-pluginbase (1.0.0-1)
- Support library for building plugins systems (Python 2)
- python-plumbum (1.6.7-1)
- library for writing shell script-like programs in Python 2
- python-plwm (2.6a+20080530-2)
- Pointless Window Manager - Python libraries for creating Window Managers
- python-ply (3.11-3)
- Lex and Yacc implementation for Python2
- python-ply-doc (3.11-3)
- Lex and Yacc implementation for Python (documentation)
- python-ply-lex-3.10
- virtueel pakket geboden door python-ply
- python-ply-yacc-3.10
- virtueel pakket geboden door python-ply
- python-pmock (0.3.1+s20140625-3)
- Python module for unit testing using mock objects
- python-pmw (1.3.2-6)
- Pmw -- Python MegaElementen
- python-pmw-doc (1.3.2-6)
- Pmw -- Python MegaWidgets
- python-png (0.0.19+ds-1)
- Pure Python PNG Reader/Writer (Python 2)
- python-pocketsphinx (0.8+5prealpha+1-2+b2)
- Speech recognition tool - Python bindings
- python-podcastparser (0.6.3-1)
- Simplified, fast RSS parsing library (Python 2)
- python-podcastparser-doc (0.6.3-1)
- Simplified, fast RSS parsing library (common documentation)
- python-polib (1.1.0-3)
- Python library to parse and manage gettext catalogs
- python-polib-doc (1.1.0-3)
- Python library to parse and manage gettext catalogs (documentation)
- python-polled-camera (1.11.13-3)
- Robot OS polled_camera package - Python 2
- python-portalocker (1.3.0-1)
- easy API to file locking (Python 2)
- python-portmidi
- virtueel pakket geboden door python-pypm
- python-portpicker (1.3.0-1)
- Python 2 module to find unused network ports to bind to
- python-positional (1.1.1-3)
- enforce positional or key-word arguments - Python 2.7
- python-positional-doc (1.1.1-3)
- Library to enforce positional or key-word arguments - doc
- python-posix-ipc (0.9.8-3+b1)
- semaphores, shared memory and message queues - Python 2.x
- python-poster (0.8.1-0.2)
- Python support for HTTP multipart PUT/POST
- python-potr (1.0.2-1)
- Pure Python implementation of the OTR protocol
- python-power (1.4+dfsg-2)
- Python module to get power and battery status (Python 2)
- python-powerline (2.7-2)
- prompt and statusline utility (Python 2.x module)
- python-powerline-doc (2.7-2)
- prompt and statusline utility (transitional package)
- python-powerline-taskwarrior (0.6.2-1)
- Powerline segment for showing Taskwarrior information (Python 2)
- python-pp (1.6.6-1)
- parallel and distributed programming toolkit for Python
- python-pprofile (2.0.2-1)
- Line-granularity, deterministic and statistic Python profiler
- python-pqueue (0.2-7.3)
- a priority queue extension for Python
- python-prctl (1.7-1+b1)
- Python interface to the prctl() syscall (Python 2)
- python-preggy (1.3.0-2)
- Assertion library for Python
- python-prelude (4.1.0-4.2)
- Security Information and Events Management system [ Python2 bindings ]
- python-preludedb (4.1.0-2+b2)
- Security Information and Events Management System [ Python2 bindings ]
- python-presage (0.9.1-2.1+b2)
- intelligent predictive text entry platform (Python binding)
- python-presage-dbg (0.9.1-2.1+b2)
- intelligent predictive text entry platform (Python binding debugging symbols)
- python-pretend (1.0.8-2)
- Python library for stubbing (Python 2)
- python-pretty-yaml (18.11.0-1)
- module to produce pretty and readable YAML-serialized data (Python 2)
- python-prettytable (0.7.2-4)
- library to represent tabular data in visually appealing ASCII tables
- python-prettytable
- virtueel pakket geboden door python-ptable
- python-prioritized-methods
- virtueel pakket geboden door python-peak.rules
- python-priority (1.3.0-1)
- pure-Python implementation of the HTTP/2 priority tree (Python 2)
- python-proboscis (1.2.6.0-4)
- extends Nose with certain TestNG like features - Python 2.x
- python-profiler
- virtueel pakket geboden door python, python2
- python-profitbricks (4.1.3-2)
- ProfitBricks REST API client library for Python 2
- python-progress (1.2-1)
- easy progress reporting for Python
- python-progressbar (2.5-1)
- text progress bar library for Python
- python-proliantutils-doc (2.6.0-2)
- client lib interfacing various devices in HP Proliant Servers - doc
- python-prometheus-client (0.6.0-1)
- Python 2 client for the Prometheus monitoring system
- python-prompt-toolkit (1.0.15-1)
- library for building interactive command lines (Python 2)
- python-protobix (1.0.2-2)
- Implementation of Zabbix Sender protocol
- python-protobuf (3.6.1.3-2+deb10u1) [security]
- Python bindings for protocol buffers
- python-protocols (1.0a.svn20070625-8)
- Open Protocols and Component Adaptation for Python
- python-prov (1.5.2-1)
- W3C Provenance Data Model (Python 2)
- python-prov-doc (1.5.2-1)
- documentation for prov
- python-prowlpy (0+20100211.92df046-2)
- Python support for Apple Push Notifications via Prowl
- python-proxmoxer (1.0.3-1)
- Python Wrapper for the Proxmox 2.x API (HTTP and SSH) (Python 2)
- python-pskc (1.0-1)
- Python module for handling PSKC files (Python 2)
- python-pskc-doc (1.0-1)
- Python module for handling PSKC files (Documentation)
- python-psutil (5.5.1-1)
- module providing convenience functions for managing processes
- python-psutil-dbg (5.5.1-1)
- module providing convenience functions for managing processes (debug)
- python-psutil-doc (5.5.1-1)
- module providing convenience functions for managing processes (doc)
- python-psycogreen (1.0-1)
- psycopg2 integration with coroutine libraries
- python-psycopg2 (2.7.7-1)
- Python module for PostgreSQL
- python-psycopg2-dbg (2.7.7-1)
- Python module for PostgreSQL (debug extension)
- python-psycopg2-doc (2.7.7-1)
- Python module for PostgreSQL (documentation package)
- python-ptable (0.9.2-1)
- library to represent tabular data in visually appealing ASCII tables
- python-pthreading (0.1.4-2)
- Reimplementation of Python locking objects with libpthread
- python-ptk (1.3.5-2)
- parser for Python 2 with support for asynchronous input
- python-ptk-doc (1.3.5-2)
- parser for Python with support for asynchronous input (documentation)
- python-ptrace (0.9.3-2.1)
- Python bindings for ptrace
- python-ptyprocess (0.6.0-1)
- Run a subprocess in a pseudo terminal from Python 2
- python-publicsuffix (1.1.0-2)
- Python module to get a domain suffix using the Public Suffix List
- python-pudb (2018.1-1)
- full-screen, console-based Python debugger
- python-pulp (1.6.0+dfsg1-2)
- LP modeler - Python 2.7
- python-purl (1.4-1)
- URL interrogation and manipulation
- python-pushy (0.5.1-1.1)
- Simple interface for connecting two python interpreters
- python-pwquality (1.4.0-3)
- Python bindings for libpwquality
- python-py (1.7.0-2)
- Advanced Python development support library (Python 2)
- python-pyaes (1.6.1-2)
- Pure-Python implementation of the AES cipher (Python 2)
- python-pyalsa (1.1.6-1+b1)
- Official ALSA Python binding library for Python2
- python-pyao (0.82-5)
- Python interface to the Audio Output library
- python-pyao-dbg (0.82-5)
- Python interface to the Audio Output library - debug symbols
- python-pyasn1 (0.4.2-3)
- ASN.1 library for Python (Python 2 module)
- python-pyasn1-doc (0.4.2-3)
- ASN.1 library for Python (documentation)
- python-pyasn1-modules (0.2.1-0.2)
- Collection of protocols modules written in ASN.1 language
- python-pyassimp (4.1.0~dfsg-5)
- 3D model import library (Python2 bindings)
- python-pyatspi (2.30.0+dfsg-3)
- Assistive Technology Service Provider Interface - Python bindings
- python-pyaudio (0.2.11-1+b2)
- Python bindings for PortAudio v19
- python-pyaudio-doc (0.2.11-1)
- Documentation for Python bindings for PortAudio v19
- python-pybedtools-doc (0.8.0-1)
- Documentation for pybedtools library
- python-pybiggles (1.6.6-3)
- Scientific plotting package for Python
- python-pybigwig (0.3.12-1+b1)
- Python 2 module for quick access to bigBed and bigWig files
- python-pybind11 (2.2.4-2)
- pybind11 helper module for Python 2
- python-pybloomfiltermmap (0.3.15-0.1+b2)
- Bloom filter (bloomfilter) for Python built on mmap
- python-pybtex (0.21-2)
- public modules for pybtex (Python 2)
- python-pybtex-doc (0.21-2)
- documentation for pybtex
- python-pybtex-docutils-doc (0.2.1-1)
- documentation for pybtex-docutils
- python-pycadf (2.7.0-2)
- implementation of DMTF Cloud Audit (CADF) data model - Python 2.7
- python-pycalendar (1:2.1~git20161130.0.e68e150-1)
- iCalendar/vCard Library
- python-pycallgraph (1.0.1-1)
- Python library that creates call graphs for Python programs
- python-pycarddav (0.7.0-1)
- simple to use CardDAV Python library
- python-pycares (2.1.1-2+b2)
- Python interface for c-ares (Python 2)
- python-pycares-doc (2.1.1-2)
- Python interface for c-ares (common documentation)
- python-pycassa (1.11.2.1-1)
- Client library for Apache Cassandra
- python-pycha (0.7.0-2)
- chart-drawing library using Cairo
- python-pychart (1.39-7.1)
- Python library for creating high quality charts
- python-pychart-doc (1.39-7.1)
- Documentation for PyChart
- python-pyclamav (0.4.1-11)
- Python bindings to ClamAV
- python-pyclamd (0.4.0-1)
- Python interface to the ClamAV daemon
- python-pycoast (1.2.2+dfsg-1)
- Draw coastlines, borders and rivers on images
- python-pycoast-doc (1.2.2+dfsg-1)
- Draw coastlines, borders and rivers on images (common documentation)
- python-pycodcif (2.3+dfsg-3)
- error-correcting CIF parser - Python bindings
- python-pycodestyle (2.4.0-2)
- Python style guide checker (formerly called pep8) - Python 2.x
- python-pycountry (17.5.14+ds1-0.1)
- ISO databases accessible from Python 2
- python-pycparser (2.19-1)
- C parser in Python
- python-pycryptodome (3.6.1-2+b1)
- cryptographic Python library (Python 2)
- python-pycryptodome-doc (3.6.1-2)
- cryptographic Python library (documentation)
- python-pycryptopp (0.7.1-4)
- Python wrappers for the Crypto++ library
- python-pycsw (2.2.0+dfsg-6) [non-free]
- OGC compliant metadata (Catalogue Service for the Web) server - Python 2
- python-pycsw-doc (2.2.0+dfsg-6) [non-free]
- Transitional dummy package to pycsw-doc
- python-pycsw-wsgi (2.2.0+dfsg-6) [non-free]
- Transitional dummy package to pycsw-wsgi
- python-pycuda (2018.1.1-3) [contrib]
- Python module to access Nvidia‘s CUDA parallel computation API
- python-pycuda-dbg (2018.1.1-3) [contrib]
- Python module to access Nvidia‘s CUDA API (debug extensions)
- python-pycuda-doc (2018.1.1-3) [contrib]
- module to access Nvidia‘s CUDA computation API (documentation)
- python-pycurl (7.43.0.2-0.1)
- Python bindings to libcurl
- python-pycurl-dbg (7.43.0.2-0.1)
- Python bindings to libcurl (debug extension)
- python-pycurl-doc (7.43.0.2-0.1)
- Python bindings to libcurl (documentation)
- python-pydbus (0.6.0-1)
- Pythonic D-Bus library (Python 2)
- python-pydbus-doc (0.6.0-1)
- Pythonic D-Bus library (common documentation)
- python-pydenticon (0.3.1-1)
- deterministically generate identicons in Python
- python-pydhcplib (0.6.2-3)
- Python DHCP client/server library
- python-pydicom (1.2.1-1)
- DICOM medical file reading and writing (Python 2)
- python-pydicom-doc (1.2.1-1)
- DICOM medical file reading and writing (documentation)
- python-pydispatch (2.0.5-1)
- Python signal dispatching mechanism
- python-pydispatch-doc (2.0.5-1)
- documentation for python-pydispatch
- python-pydl-doc (0.6.0-3)
- Library of IDL astronomy routines converted to Python (doc)
- python-pydoctor (16.3.0-2)
- Python API document generator
- python-pydot (1.4.1-1)
- Python interface to Graphviz's dot
- python-pydot-ng (1.0.0-3)
- interface to Graphviz's Dot - Python 2.7
- python-pydotplus (2.0.2-2)
- interface to Graphviz's Dot language - Python 2.7
- python-pydotplus-doc (2.0.2-2)
- interface to Graphviz's Dot language - doc
- python-pydoubles (1.4-2)
- pyDoubles is a test doubles framework for the Python platform.
- python-pydub (0.23.1-1)
- Python audio sample manipulation (Python 2)
- python-pyeapi (0.8.1-1)
- Python API to interact with EOS network devices - Python 2.x
- python-pyeapi-doc (0.8.1-1)
- Python API to interact with EOS network devices - docs
- python-pyeclib (1.5.0-4)
- interface for implementing erasure codes - Python 2.x
- python-pyee (3.0.3-1)
- Python 2 port of node.js's EventEmitter to Python
- python-pyelftools (0.25-2)
- pure-python2 library for parsing ELF and DWARF
- python-pyepl (1.1.0+git12-g365f8e3-3+b1)
- module for coding psychology experiments in Python
- python-pyepl-common (1.1.0+git12-g365f8e3-3)
- module for coding psychology experiments in Python
- python-pyepsg (0.3.2-1)
- easy access to the EPSG database (Python 2)
- python-pyepsg-doc (0.3.2-1)
- documentation for pyepsg
- python-pyethash (0.1.27-1+b1)
- Ethash proof-of-work algorithm — Python 2
- python-pyexiv2 (0.3.2-9)
- Python binding to Exiv2
- python-pyexiv2-doc (0.3.2-9)
- Documentation for Python binding to Exiv2
- python-pyface (4.5.2-1)
- traits-capable windowing framework
- python-pyfai (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts - Python2
- python-pyfai-dbg (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts - Python2 debug
- python-pyfai-doc (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts - Documentation
- python-pyfaidx (0.5.5.2-1)
- efficient random access to fasta subsequences for Python
- python-pyfaidx-examples (0.5.5.2-1)
- example data for efficient random access to fasta subsequences for Python
- python-pyfann (1:1.1.2+ds-1)
- deprecated Python bindings for FANN
- python-pyfftw (0.11.1-2)
- Pythonic wrapper around FFTW - Python 2
- python-pyfftw-doc (0.11.1-2)
- Pythonic wrapper around FFTW - docs
- python-pyfiglet (0.7.4+dfsg-3)
- Python port of the FIGlet specification
- python-pyflakes (2.0.0-1)
- passive checker of Python 2 programs - Python modules
- python-pyflot (0.2-1)
- interface from Python to libjs-flot
- python-pyflow (1.1.20-1)
- lightweight parallel task engine for Python
- python-pyfribidi (0.11.0+repack-3)
- FriBidi Python bindings
- python-pyfribidi-dbg (0.11.0+repack-3)
- FriBidi Python bindings (debug symbols)
- python-pyftpdlib (1.5.4-1)
- Python FTP server library (Python 2)
- python-pyftpdlib-doc (1.5.4-1)
- documentation for Python FTP server library
- python-pygal (2.4.0-2)
- Python svg graph plotting library
- python-pygame (1.9.4.post1+dfsg-3)
- SDL bindings for games development (Python 2)
- python-pygame-doc (1.9.4.post1+dfsg-3)
- SDL bindings for games development in Python (documentation)
- python-pygame-sdl2 (7.1.1-1)
- reimplementation of the Pygame API using SDL2
- python-pygccxml (1.9.1-1)
- specialized XML reader reads the output from gccxml - python2 lib
- python-pygccxml-doc (1.9.1-1)
- specialized XML reader reads the output from gccxml - documentation
- python-pygeoif (0.7-1)
- basic implementation of the __geo_interface__
- python-pygeoip (0.3.2-4)
- Python API for Maxmind's binary GeoIP databases (Python 2)
- python-pygerrit2 (2.0.4-1)
- library to interact with Gerrit via the REST API - Python 2.7
- python-pygetdata (0.10.0-5+deb10u1)
- library to read/write dirfile data - python bindings
- python-pyghmi (1.0.32-5)
- General Hardware Management Initiative (IPMI and others) - Python 2.7
- python-pygit2 (0.27.4-1)
- bindings for libgit2 - Python 2.x
- python-pygit2-doc (0.27.4-1)
- bindings for libgit2 - doc
- python-pygithub
- virtueel pakket geboden door python-github
- python-pyglet (1.3.0-1)
- cross-platform windowing and multimedia library
- python-pygments (2.3.1+dfsg-1+deb10u2)
- syntax highlighting package written in Python
- python-pygments-doc (2.3.1+dfsg-1+deb10u2)
- documentation for the Pygments
- python-pygpu (0.7.6-3)
- language bindings for libgpuarray (Python 2)
- python-pygpu-dbg (0.7.6-3)
- debug extensions for pygpu (Python 2)
- python-pygrace (0.5-2)
- Python bindings for grace
- python-pygraphviz (1.5-1)
- Python interface to the Graphviz graph layout and visualization package
- python-pygraphviz-dbg (1.5-1)
- Python interface to the Graphviz graph layout and visualization package (debug extension)
- python-pygraphviz-doc (1.5-1)
- Python interface to the Graphviz graph layout and visualization package (doc)
- python-pygresql (1:5.0.6-2)
- PostgreSQL module for Python
- python-pygresql-doc (1:5.0.6-2)
- Python Pygresql (common documentation)
- python-pygtail (0.6.1-1)
- read log file lines that have not been read (Python 2)
- python-pygtrie-doc (2.2-1)
- Python library implementing a trie data structure (common documentation)
- python-pyhsm (1.2.1-1)
- Python code for talking to a Yubico YubiHSM hardware
- python-pyicu (2.2-2)
- Python extension wrapping the ICU C++ API
- python-pyicu-dbg (2.2-2)
- Python extension wrapping the ICU C++ API (debug extension)
- python-pyinotify (0.9.6-1)
- simple Linux inotify Python bindings
- python-pyinotify-doc (0.9.6-1)
- simple Linux inotify Python bindings -- documentation
- python-pyip (0.7-2)
- Python modules for raw ip packet assembling/disassembling
- python-pyisomd5sum (1:1.2.3-3)
- ISO9660 checksum Python module
- python-pyjavaproperties (0.7-1)
- Python implementation of java.util.Properties
- python-pyjokes (0.5.0-2)
- One line jokes for programmers (Python 2)
- python-pykaraoke (0.7.5-1.2+deb10u1)
- free CDG/MIDI/MPEG karaoke player
- python-pykdtree (1.3.1-2)
- Fast kd-tree implementation with OpenMP-enabled queries (Python 2 version)
- python-pykickstart (1.83-2)
- Python library for manipulating kickstart files
- python-pykka (1.2.1-4)
- Actor model library (Python 2)
- python-pykmip (0.7.0-3)
- implementation of the Key Management Interoperability Protocol - Python 2.x
- python-pylama (7.4.3-2)
- code audit library for Python in Python2
- python-pylast (2.4.0-1)
- Python interface to Last.fm and other compatible services
- python-pyld (0.6.8-1)
- implementation of the JSON-LD API
- python-pyldap (3.1.0-2)
- LDAP interface module for Python 2.7 - transition package
- python-pyldap
- virtueel pakket geboden door python-ldap
- python-pylibacl (0.5.3-2)
- module for manipulating POSIX.1e ACLs
- python-pylibacl-dbg (0.5.3-2)
- module for manipulating POSIX.1e ACLs (debug extension)
- python-pylibacl-doc (0.5.3-2)
- module for manipulating POSIX.1e ACLs (documentation)
- python-pylibmc (1.5.2-1+b1)
- libmemcached wrapper
- python-pylibmc-doc (1.5.2-1)
- libmemcached wrapper (Documentation)
- python-pylirc (0.0.5-3.1)
- Python bindings for Linux Infra-red Remote Control (LIRC) support
- python-pylons (1.0.3-1)
- Python web framework emphasizing flexibility and rapid development
- python-pymacaroons (0.13.0-2)
- Macaroon library for Python 2
- python-pymad (0.10-2+b1)
- Python wrapper to the MPEG Audio Decoder library (Python 2)
- python-pymad-dbg (0.10-2+b1)
- Python wrapper to the MPEG Audio Decoder library (Python 2 debug)
- python-pymca5 (5.4.3+dfsg-1)
- Applications and toolkit for X-ray fluorescence analysis -- Python 2
- python-pymca5-dbg (5.4.3+dfsg-1)
- Applications and toolkit for X-ray fluorescence analysis -- Python 2 debug
- python-pymeasure-doc (0.5-1)
- documentation for PyMeasure
- python-pymediainfo (3.0-1)
- Library to fetch multimedia metadata information - Python 2.x
- python-pymediainfo-doc (3.0-1)
- documentation for the pymediainfo Python library
- python-pymemcache (1.3.2-3)
- comprehensive, fast, pure Python memcached client - Python 2.x
- python-pymetar (0.19-1)
- Python interface to METAR reports
- python-pymodbus (2.1.0+dfsg-1)
- full Modbus protocol implementation for Python 2
- python-pymodbus-doc (2.1.0+dfsg-1)
- full Modbus protocol implementation, documentation
- python-pymol (2.2.0+dfsg-4)
- Molecular Graphics System (Python 2 modules)
- python-pymongo (3.7.1-1.1)
- Python interface to the MongoDB document-oriented database
- python-pymongo-doc (3.7.1-1.1)
- Python interface to the MongoDB document-oriented database (documentation)
- python-pymongo-ext (3.7.1-1.1)
- C-coded extension to the python-pymongo package
- python-pymssql (2.1.4+dfsg-1)
- Python database access for MS SQL server and Sybase - Python 2
- python-pymtp (0.0.6-1.1)
- Pythonic binding to LibMTP to interact with MTP devices
- python-pymysql (0.9.3-1)
- Pure-Python MySQL driver - Python 2.x
- python-pymysql-doc (0.9.3-1)
- Pure-Python MySQL driver - doc
- python-pynag (0.9.1+dfsg-1)
- Python Modules for Nagios plugins and configuration
- python-pynast
- virtueel pakket geboden door pynast
- python-pynfft (1.3.2-2+b3)
- Python bindings for the NFFT3 library - Python 2
- python-pynfft-dbg (1.3.2-2+b3)
- Python bindings for the NFFT3 library - Python 2 (debug)
- python-pynfft-doc (1.3.2-2)
- Python bindings for the NFFT3 library - Documentation
- python-pyngus (2.2.2-3)
- callback API implemented over Proton - Python 2.7
- python-pynliner (0.8.0-1) [non-free]
- CSS-to-inline-styles conversion tool for HTML - Python 2.x
- python-pynlpl (1.1.2-1)
- PyNLPl is a library for Natural Language Processing (Python 2 version)
- python-pynwb (0.5.1-1)
- Python library for working with Neurodata in the NWB format
- python-pyo (0.9.1-1)
- Python module written in C to help digital signal processing script creation
- python-pyodbc (4.0.22-1+b1)
- Python module for ODBC database access
- python-pyodbc-dbg (4.0.22-1+b1)
- Python module for ODBC database access - Debugging symbols
- python-pyode (1.2.0-4+cvs20090320.3+b1)
- Python bindings for The Open Dynamics Engine
- python-pyode-doc (1.2.0-4+cvs20090320.3)
- Python bindings for The Open Dynamics Engine
- python-pyopencl (2018.2.2-1)
- Python module to access OpenCL parallel computation API
- python-pyopencl-dbg (2018.2.2-1)
- Python module to access OpenCL API (debug extensions)
- python-pyopencl-doc (2018.2.2-1)
- module to access OpenCL parallel computation API (documentation)
- python-pyopencolorio (1.1.0~dfsg0-5)
- complete color management solution - python bindings
- python-pyoptical (0.4-1.1)
- python interface to the CRS 'OptiCAL' photometer
- python-pyorbital (1.5.0-2)
- Orbital and astronomy computations in Python 2
- python-pyorbital-doc (1.5.0-2)
- Orbital and astronomy computations in Python (documentation)
- python-pyorick (1.4-2)
- Python 2 module to execute Yorick code
- python-pyosd (0.2.14-6.1)
- Python bindings for X On-Screen Display library
- python-pyosmium (2.15.1-1)
- Osmium library bindings for Python
- python-pyotp (2.2.7-1)
- Python One Time Password Library (Python 2)
- python-pypamtest (1.0.7-1+b1)
- Tool to test PAM applications and PAM modules -- Python bindings
- python-pypandoc (1.4+ds0-1.1)
- Thin wrapper for pandoc (Python 2.x)
- python-pyparsing (2.2.0+dfsg1-2)
- alternative to creating and executing simple grammars - Python 2.7
- python-pyparsing-doc (2.2.0+dfsg1-2)
- alternative to creating and executing simple grammars - doc
- python-pypcap (1.2.2-1)
- object-oriented Python interface for libpcap (Python 2)
- python-pypdf2 (1.26.0-2+deb10u2) [security]
- Pure-Python library built as a PDF toolkit (Python 2)
- python-pyperclip (1.6.4-1)
- Cross-platform clipboard module for Python
- python-pyphen (0.9.5-2)
- Python hyphenation module
- python-pypm (0.0.7-8)
- Python interface to libportmidi
- python-pypowervm (1.1.16+dfsg1-3)
- binding for the PowerVM REST API - Python 2.7
- python-pyprind (2.11.2-1)
- Python Progress Bar and Percent Indicator Utility - Python 2.X
- python-pyproj (1.9.6-1)
- Python interface to PROJ library
- python-pyptlib (0.0.6-2)
- library for Tor pluggable transports written in Python
- python-pypump (0.7-1)
- interface to the pump.io APIs (Python 2)
- python-pypump-doc (0.7-1)
- interface to the pump.io APIs (Common Documentation)
- python-pypureomapi (0.4-1)
- ISC DHCP OMAPI protocol implementation in Python2
- python-pyqrcode (1.2.1-2)
- Python 2 module to generate QR Codes
- python-pyqt5 (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5
- python-pyqt5-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5 (debug extensions)
- python-pyqt5.qsci (2.10.4+dfsg-2.1)
- Python bindings for QScintilla 2 with Qt 5
- python-pyqt5.qsci-dbg (2.10.4+dfsg-2.1)
- Python bindings for QScintilla 2 (Qt 5 debug extensions)
- python-pyqt5.qtmultimedia (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's Multimedia module
- python-pyqt5.qtmultimedia-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's Multimedia module (debug extensions)
- python-pyqt5.qtopengl (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's OpenGL module
- python-pyqt5.qtopengl-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's OpenGL module (debug extension)
- python-pyqt5.qtpositioning (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtPositioning module
- python-pyqt5.qtpositioning-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtPositioning module (debug extension)
- python-pyqt5.qtquick (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtQuick module
- python-pyqt5.qtquick-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtQuick module (debug extensions)
- python-pyqt5.qtsensors (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtSensors module
- python-pyqt5.qtsensors-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtSensors module (debug extension)
- python-pyqt5.qtserialport (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtSerialPort module
- python-pyqt5.qtserialport-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtSerialPort module (debug extension)
- python-pyqt5.qtsql (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's SQL module
- python-pyqt5.qtsql-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's SQL module (debug extension)
- python-pyqt5.qtsvg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's SVG module
- python-pyqt5.qtsvg-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's SVG module (debug extension)
- python-pyqt5.qtwebchannel (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebChannel module
- python-pyqt5.qtwebchannel-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's Webchannel module (debug extension)
- python-pyqt5.qtwebengine (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebEngine module
- python-pyqt5.qtwebengine-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebEngine module (debug extensions)
- python-pyqt5.qtwebkit (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebKit module
- python-pyqt5.qtwebkit-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebKit module (debug extensions)
- python-pyqt5.qtwebsockets (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebSockets module
- python-pyqt5.qtwebsockets-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's WebSockets module (debug extension)
- (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtX11Extras module
- (5.11.3+dfsg-1+b3)
- Python 2 bindings for QtX11Extras module (debug extension)
- python-pyqt5.qtxmlpatterns (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's XmlPatterns module
- python-pyqt5.qtxmlpatterns-dbg (5.11.3+dfsg-1+b3)
- Python 2 bindings for Qt5's XmlPatterns module (debug extension)
- python-pyqt5.qwt-doc (1.02.00-1)
- Python Qwt6 technical widget library, documentation and examples
- python-pyqtgraph (0.10.0-1)
- Scientific Graphics and GUI Library for Python 2
- python-pyqtgraph-doc (0.10.0-1)
- Scientific Graphics and GUI Library for Python (common documentation)
- python-pyquery (1.2.9-3)
- jQuery-like library for python 2
- python-pyrad (2.1-1)
- Python module for creating and decoding RADIUS packets (Python 2)
- python-pyramid (1.10.2+dfsg-2)
- Pyramid web application framework, a Pylons project - Python 2.x version
- python-pyramid-beaker (0.6.1+ds1-1)
- Beaker sessioning bindings for Pyramid
- python-pyramid-jinja2 (2.7+dfsg-1)
- Jinja2 template bindings for the Pyramid web framework (Python 2)
- python-pyramid-jinja2-doc (2.7+dfsg-1)
- Jinja2 template bindings for the Pyramid (common documentation)
- python-pyramid-multiauth (0.8.0-1)
- authentication policy for the Pyramid web framework
- python-pyramid-tm (0.5-1)
- Transaction management for the Pyramid web framework
- python-pyramid-zcml (1.0.0-1)
- Declarative configuration for the Pyramid web framework
- python-pyregfi (1.0.1+svn287-7)
- Python Bindings for reglookup
- python-pyregion-doc (2.0-7)
- Python module to parse ds9 region files (documentation)
- python-pyresample (1.10.3-1)
- Resampling of remote sensing data in Python 2
- python-pyresample-doc (1.10.3-1)
- Resampling of remote sensing data in Python (documentation)
- python-pyresample-test (1.10.3-1)
- Resampling of remote sensing data in Python (test suite)
- python-pyrex (0.9.9-1)
- compile native-code modules for Python from Python-like syntax
- python-pyroma (2.3.1-1)
- Python packaging quality checker
- python-pyroute2 (0.5.2-1)
- Python Netlink library
- python-pyroute2-doc (0.5.2-1)
- netlink and Linux network configuration library (documentation)
- python-pyrrd (0.1.0-3)
- object-oriented Python interface for RRD
- (1.1-1)
- interface for generating RSS 2.0 feeds
- python-pysal (1.14.4-3)
- Python Spatial Analysis Library - Python 2
- python-pysam (0.15.2+ds-2)
- interface for the SAM/BAM sequence alignment and mapping format (Python 2)
- python-pysam-tests (0.15.2+ds-2)
- interface for the SAM/BAM sequence alignment and mapping format (test data)
- python-pysaml2 (4.5.0-4+deb10u1)
- SAML Version 2 to be used in a WSGI environment - Python 2.x
- python-pysaml2-doc (4.5.0-4+deb10u1)
- SAML Version 2 to be used in a WSGI environment - doc
- python-pyscard (1.9.7-1+b1)
- Python2 wrapper above PC/SC API
- python-pyscss (1.3.5-2+b2)
- SCSS compiler - Python 2.x
- python-pyshp (2.1.0+ds-1)
- read/write support for ESRI Shapefile format
- python-pyside2-doc (5.11.2-3)
- Python bindings for Qt5 (common documentation)
- python-pyside2.qt3dcore (5.11.2-3)
- Python bindings for Qt5 3DCore module (Python 2)
- python-pyside2.qt3dinput (5.11.2-3)
- Python bindings for Qt5 3DInput module (Python 2)
- python-pyside2.qt3dlogic (5.11.2-3)
- Python bindings for Qt5 3DLogic module (Python 2)
- python-pyside2.qt3drender (5.11.2-3)
- Python bindings for Qt5 3DRender module (Python 2)
- python-pyside2.qtcharts (5.11.2-3)
- Python bindings for Qt5 Charts module (Python 2)
- python-pyside2.qtconcurrent (5.11.2-3)
- Python bindings for Qt5 Concurrent module (Python 2)
- python-pyside2.qtcore (5.11.2-3)
- Python bindings for Qt5 core module (Python 2)
- python-pyside2.qtgui (5.11.2-3)
- Python bindings for Qt5 Gui module (Python 2)
- python-pyside2.qthelp (5.11.2-3)
- Python bindings for Qt5 Help module (Python 2)
- python-pyside2.qtlocation (5.11.2-3)
- Python bindings for Qt5 Location module (Python 2)
- python-pyside2.qtmultimedia (5.11.2-3)
- Python bindings for Qt5 Multimedia module (Python 2)
- python-pyside2.qtmultimediawidgets (5.11.2-3)
- Python bindings for Qt5 MultimediaWidgets module (Python 2)
- python-pyside2.qtnetwork (5.11.2-3)
- Python bindings for Qt5 Network module (Python 2)
- python-pyside2.qtopengl (5.11.2-3)
- Python bindings for Qt5 OpenGL module (Python 2)
- python-pyside2.qtpositioning (5.11.2-3)
- Python bindings for Qt5 Positioning module (Python 2)
- python-pyside2.qtprintsupport (5.11.2-3)
- Python bindings for Qt5 PrintSupport module (Python 2)
- python-pyside2.qtqml (5.11.2-3)
- Python bindings for Qt5 Qml module (Python 2)
- python-pyside2.qtquick (5.11.2-3)
- Python bindings for Qt5 Quick module (Python 2)
- python-pyside2.qtquickwidgets (5.11.2-3)
- Python bindings for Qt5 QuickWidgets module (Python 2)
- python-pyside2.qtscript (5.11.2-3)
- Python bindings for Qt5 Script module (Python 2)
- python-pyside2.qtscripttools (5.11.2-3)
- Python bindings for Qt5 ScriptTools module (Python 2)
- python-pyside2.qtsensors (5.11.2-3)
- Python bindings for Qt5 Sensors module (Python 2)
- python-pyside2.qtsql (5.11.2-3)
- Python bindings for Qt5 Sql module (Python 2)
- python-pyside2.qtsvg (5.11.2-3)
- Python bindings for Qt5 Svg module (Python 2)
- python-pyside2.qttest (5.11.2-3)
- Python bindings for Qt5 Test module (Python 2)
- python-pyside2.qttexttospeech (5.11.2-3)
- Python bindings for Qt5 TextToSpeech module (Python 2)
- python-pyside2.qtuitools (5.11.2-3)
- Python bindings for Qt5 UiTools module (Python 2)
- python-pyside2.qtwebchannel (5.11.2-3)
- Python bindings for Qt5 WebChannel module (Python 2)
- python-pyside2.qtwebenginecore (5.11.2-3)
- Python bindings for Qt5 WebEngineCore module (Python 2)
- python-pyside2.qtwebenginewidgets (5.11.2-3)
- Python bindings for Qt5 WebEngineWidgets module (Python 2)
- python-pyside2.qtwebsockets (5.11.2-3)
- Python bindings for Qt5 WebSockets module (Python 2)
- python-pyside2.qtwidgets (5.11.2-3)
- Python bindings for Qt5 Widgets module (Python 2)
- (5.11.2-3)
- Python bindings for Qt5 X11Extras module (Python 2)
- python-pyside2.qtxml (5.11.2-3)
- Python bindings for Qt5 Xml module (Python 2)
- python-pyside2.qtxmlpatterns (5.11.2-3)
- Python bindings for Qt5 XmlPatterns module (Python 2)
- python-pyside2uic (5.11.2-3)
- User Interface Compiler for PySide2 (Python 2)
- python-pysimplesoap (1.16.2-1)
- simple and lightweight SOAP Library (Python 2)
- python-pysmi (0.3.2-1)
- SNMP SMI/MIB Parser (Python 2.X)
- python-pysmi-doc (0.3.2-1)
- SNMP SMI/MIB Parser (documentation)
- python-pysnmp-common
- virtueel pakket geboden door python-pysnmp4
- python-pysnmp2
- virtueel pakket geboden door python-pysnmp4
- python-pysnmp4 (4.4.6+repack1-1)
- Python SNMP library for agents and managers (Python 2 module)
- python-pysnmp4-apps (0.3.2-1)
- Applications for the Python SNMP library
- python-pysnmp4-doc (4.4.6+repack1-1)
- Python SNMP library for agents and managers (unstable branch)
- python-pysnmp4-mibs (0.1.3-1)
- MIBs for the Python SNMP library
- python-pysodium (0.7.0-1)
- Python libsodium wrapper (Python2 version)
- python-pysolr (3.8.1-1)
- lightweight Python wrapper for querying Apache Solr
- python-pyspatialite (3.0.1-13)
- Python interface to Spatialite
- python-pysph (0~20180411.git1ae58e1-2.1)
- open source framework for Smoothed Particle Hydrodynamics
- python-pysqlite1.1 (1.1.8a-8)
- python interface to SQLite 3
- python-pysqlite1.1-dbg (1.1.8a-8)
- python interface to SQLite 3 (debug extension)
- python-pysqlite2 (2.7.0-1)
- Python interface to SQLite 3
- python-pysqlite2-dbg (2.7.0-1)
- Python interface to SQLite 3 (debug extension)
- python-pysqlite2-doc (2.7.0-1)
- Documentation for python-pysqlite2
- python-pysrt (1.0.1-1)
- library to edit or create SubRip files (Python 2)
- python-pyssim (0.2-1)
- Tool computing the Structural Similarity Image Metric (SSIM)
- python-pyst (0.6.50-1)
- Python module for interacting with the Asterisk PBX
- python-pystache (0.5.4-6)
- Python implementation of Mustache
- python-pysvn
- virtueel pakket geboden door python-svn
- python-pytango (9.2.5-1)
- transitional dummy package for python-tango
- python-pytc (0.8-3)
- Python bindings for the Tokyo Cabinet database
- python-pytc-dbg (0.8-3)
- Python bindings for the Tokyo Cabinet database (debug extension)
- python-pyte (0.4.8-1)
- simple VTXXX-compatible terminal emulator
- python-pyte-doc (0.4.8-1)
- simple VTXXX-compatible terminal emulator (documentation)
- python-pytest (3.10.1-2)
- Simple, powerful testing in Python
- python-pytest-benchmark (3.2.2-1)
- pytest fixture for benchmarking code
- python-pytest-cookies (0.3.0-1)
- wrapper for the cookiecutter API for generating projects (Python 2)
- python-pytest-cov (2.6.0-1)
- py.test plugin to produce coverage reports
- python-pytest-cython (0.1.0-1)
- pytest plugin for testing Cython extension modules (Python 2)
- python-pytest-django (3.1.2-1)
- Django plugin for py.test.
- python-pytest-doc (3.10.1-2)
- Simple, powerful testing in Python - Documentation
- python-pytest-expect (1.1.0-1)
- py.test plugin to store test expectations
- python-pytest-forked (1.0.1-1)
- py.test plugin for running tests in forked subprocesses (Python 2)
- python-pytest-httpbin (0.3.0-4)
- py.test plugin providing a local httpbin
- python-pytest-localserver (0.5.0-1)
- py.test plugin to test server connections locally (Python 2)
- python-pytest-mock (1.7.1-1)
- thin-wrapper around mock for easier use with py.test (Python 2 module)
- python-pytest-multihost (3.0-1)
- Utility for writing multi-host tests for pytest (Python 2)
- python-pytest-pep8 (1.0.6-1)
- pytest plugin to check PEP8 requirements
- python-pytest-pylint (0.14.0-1)
- pytest plugin to check source code with pylint - Python 2.x
- python-pytest-runner (2.11.1-1.1)
- Invoke py.test as distutils command with dependency resolution - Python 2.x
- python-pytest-sourceorder (0.5.1-1)
- Test-ordering plugin for pytest (Python 2)
- python-pytest-timeout (1.3.3-1)
- Pytest plugin to abort hanging tests - Python 2.X
- python-pytest-tornado (0.5.0-1)
- py.test plugin to test Tornado applications
- python-pytest-xdist (1.26.1-1)
- xdist plugin for py.test
- python-pytestqt-doc (3.2.2-1)
- documentation for pytest-qt
- python-pyth (0.6.0-2)
- Python text markup and conversion
- python-pythoncard (0.8.2-5)
- wxPython-based GUI construction framework (underlying Python libraries)
- python-pythonmagick (0.9.19-4)
- Object-oriented Python interface to ImageMagick
- python-pytimeparse (1.1.5-2)
- time expression parser - Python 2.x
- python-pytoml (0.1.2-1)
- TOML parser and emitter for Python2
- python-pytools (2019.1-1)
- big bag of things supplementing Python standard library
- python-pytools-doc (2019.1-1)
- big bag of things supplementing Python library (documentation)
- python-pytyrant (1.1.17-1.2)
- Pure Python client implementation of the Tokyo Tyrant protocol
- python-pyuca (1.2-1)
- Unicode Collation Algorithm (UCA) for Python
- python-pyudev (0.21.0-1)
- Python bindings for libudev
- python-pyvcf (0.6.8+git20170215.476169c-1)
- Variant Call Format (VCF) parser for Python
- python-pyvcf-examples (0.6.8+git20170215.476169c-1)
- Example data for Variant Call Format (VCF) parser for Python
- python-pyvirtualdisplay (0.2.1-2)
- python wrapper for Xvfb, Xephyr and Xvnc - Python 2.X
- python-pyvisa (1.9.1-1)
- Python bindings for Virtual Instrument Software Architecture
- python-pyvisa-py (0.3.1-2)
- Backend that implements a large part of the VISA in pure Python
- python-pyvmomi (6.7.1-2)
- VMware vSphere Python SDK - Python 2.x
- python-pyvmomi-doc (6.7.1-2)
- VMware vSphere Python SDK - documentation
- python-pyvorbis (1.5-5)
- Python interface to the Ogg Vorbis library
- python-pyvorbis-dbg (1.5-5)
- Python interface to the Ogg Vorbis library (debug extension)
- python-pyvows (2.1.0-2)
- Asynchronous BDD for Python
- python-pyvtk (0.4.74-3.1)
- module for manipulating VTK files
- python-pywbem (0.8.0~dev650-1)
- Python WBEM Client and Provider Interface
- python-pywps (4.2.1-1)
- Implementation of OGC's Web Processing Service - Python 2 module
- python-pywt (0.5.1-1.1+b3)
- Python extension implementing of wavelet transformations
- python-pywt-doc (0.5.1-1.1)
- Python extension implementing of wavelet transformations (Documentation)
- python-pyx (0.12.1-11)
- Python 2 module for generating PostScript graphics
- python-pyx-doc (0.12.1-11)
- Python 2 module for generating PostScript graphics (documentation)
- python-pyxattr (0.6.1-1)
- module for manipulating filesystem extended attributes
- python-pyxattr
- virtueel pakket geboden door python-xattr
- python-pyxattr-dbg (0.6.1-1)
- module for manipulating filesystem extended attributes (debug extension)
- python-pyxattr-doc (0.6.1-1)
- module for manipulating filesystem extended attributes (documentation)
- python-pyxb (1.2.6+dfsg-2)
- Python XML Schema Bindings (Python2 version)
- python-pyxb-bundles-common (1.2.6+dfsg-2)
- Python XML Schema Bindings (common bindings for Python2)
- python-pyxb-bundles-dc (1.2.6+dfsg-2)
- Python XML Schema Bindings (Dublin Core bindings for Python2)
- python-pyxb-bundles-saml20 (1.2.6+dfsg-2)
- Python XML Schema Bindings (SAML bindings for Python2)
- python-pyxb-bundles-wssplat (1.2.6+dfsg-2)
- Python XML Schema Bindings (WS-* bindings for Python2)
- python-pyxb-doc (1.2.6+dfsg-2)
- Python XML Schema Bindings (Documentation)
- python-pyxenstore (0.0.2-1)
- Access XenStore from Python
- python-pyxid (1.0-2)
- interface for Cedrus XID and StimTracker devices
- python-pyxmpp (1.1.2-1)
- XMPP and Jabber implementation for Python
- python-pyxmpp-doc (1.1.2-1)
- XMPP and Jabber implementation for Python (documentation)
- python-pyxnat (1.0.1.0-1)
- Interface to access neuroimaging data on XNAT servers
- python-q (2.6-1.1)
- Quick-and-dirty Python debugging output for tired programmers
- python-q-text-as-data (1.7.4+2018.12.21+git+28f776ed46-1)
- performs SQL-like statements on tabular text data - Python 2.x
- python-qcli (0.1.1-3)
- separated module of pyqi needed for QIIME package
- python-qgis (2.18.28+dfsg-2)
- Python bindings to QGIS
- python-qgis-common (2.18.28+dfsg-2)
- Python bindings to QGIS - architecture-independent files
- python-qinlingclient-doc (2.0.0-2)
- client for Function as a Service for OpenStack - doc
- python-qpid (1.37.0+dfsg-1)
- Python bindings for qpid/mlib
- (0.32-1)
- Python bindings for qpid/mlib
- python-qpid-proton (0.22.0-3)
- language bindings for Qpid Proton messaging framework - Python 2.7
- python-qpid-proton-doc (0.22.0-3)
- Documentation for the Python language bindings for Qpid Proton
- python-qrcode (6.1-1)
- QR Code image generator library - Python 2.7
- python-qrencode (1.2-4+b2)
- Python bindings for the Qrencode QR Code generator library
- python-qrtools (1.4~bzr32-1)
- high level library for reading and generating QR codes
- python-qscintilla2 (2.10.4+dfsg-2.1)
- Python bindings for QScintilla 2
- python-qscintilla2-dbg (2.10.4+dfsg-2.1)
- Python bindings for QScintilla 2 (debug extensions)
- python-qt-binding (0.3.4-2)
- Robot OS Python 2 bindings for QT
- python-qt4 (4.12.1+dfsg-2+b1)
- Python bindings for Qt4
- python-qt4-dbg (4.12.1+dfsg-2+b1)
- Python bindings for Qt4 (debug extensions)
- python-qt4-dbus (4.12.1+dfsg-2+b1)
- D-Bus Support for PyQt4
- python-qt4-dbus-dbg (4.12.1+dfsg-2+b1)
- D-Bus Support for PyQt4 (debug extensions)
- python-qt4-dev (4.12.1+dfsg-2)
- Development files for PyQt4
- python-qt4-doc (4.12.1+dfsg-2)
- Documentation and examples for PyQt4
- python-qt4-gl (4.12.1+dfsg-2+b1)
- Python bindings for Qt4's OpenGL module
- python-qt4-gl-dbg (4.12.1+dfsg-2+b1)
- Python bindings for Qt4's OpenGL module (debug extension)
- python-qt4-phonon (4.12.1+dfsg-2+b1)
- Python bindings for Phonon
- python-qt4-phonon-dbg (4.12.1+dfsg-2+b1)
- Python bindings for Phonon (debug extensions)
- python-qt4-sql (4.12.1+dfsg-2+b1)
- Python bindings for PyQt4's SQL module
- python-qt4-sql-dbg (4.12.1+dfsg-2+b1)
- Python bindings for PyQt4's SQL module (debug extension)
- python-qt4reactor (1.0-1)
- Twisted Qt4 Integration
- python-qtawesome (0.4.4+ds1-1)
- iconic fonts in PyQt and PySide applications (Python 2)
- python-qtawesome-common (0.4.4+ds1-1)
- common files for QtAwesome
- python-qtawesome-doc (0.4.4+ds1-1)
- documentation and examples for QtAwesome
- python-qtconsole (4.3.1-1)
- Jupyter - Qt console (Python 2)
- python-qtconsole-doc (4.3.1-1)
- Jupyter - Qt console (documentation)
- python-qtpy (1.3.1-1)
- abtraction layer for PySide/PyQt4/PyQt5 (Python 2)
- python-quantities (0.12.1-1)
- Library for computation of physical quantities with units, based on numpy
- python-quark-sphinx-theme (0.5.1-1)
- Sphinx theme designed for QTextBrowser (Python 2)
- python-queuelib (1.4.2-1)
- collection of persistent (disk-based) queues (Python 2)
- python-quintuple (0~20171222-3)
- 5-qubit quantum computer simulator (Python 2)
- python-quixote (2.7~b2-2)
- Highly Pythonic Web application framework
- python-quixote-doc (2.7~b2-2)
- Quixote web application framework documentation
- python-qwt (0.5.5-1)
- Pure Python implementation of Qwt - Python 2
- python-qwt-doc (0.5.5-1)
- Pure Python implementation of Qwt - Documentation
- python-qwt3d-doc (0.1.8-5)
- Documentation for the Python-qwt3d library
- python-qwt5-doc (5.2.1~cvs20091107+dfsg-10)
- Python Qwt5 technical widget library, documentation and examples
- python-qwt5-qt4 (5.2.1~cvs20091107+dfsg-10)
- Python version of the Qwt5 technical widget library
- python-rabbyt (0.8.1-2)
- sprite library for Python with game development in mind
- python-radix (0.10.0-2+b1)
- radix tree implementation for storage of IPv4 and IPv6 networks (Python 2)
- python-radon-doc (2.3.1+dfsg-1)
- Python tool to compute code metrics (common documentation)
- python-rados (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python libraries for the Ceph librados library
- python-rainbow (0.8.7-2)
- core rainbow shared module
- python-random2 (1.0.1-1)
- backport of Python 2.7's random module (Python 2)
- python-randomize (0.14-1)
- randomize the order of tests in a unittest.TestCase class - Python 2.7
- python-rarfile (3.0-1)
- RAR archive reader module for Python 2
- python-rasterio (1.0.21-1)
- Python 2 API for using geospatial raster data with Numpy
- python-rawdoglib
- virtueel pakket geboden door rawdog
- python-rawkit (0.6.0-1)
- CTypes based LibRaw bindings - Python 2.X
- python-rbd (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python libraries for the Ceph librbd library
- python-rcssmin (1.0.6-1+b3)
- CSS Minifier - Python 2.7
- python-rdflib (4.2.2-2)
- Python library containing an RDF triple store and RDF parsers/serializers
- python-rdflib-doc (4.2.2-2)
- Python library containing an RDF triple store [...] (documentation)
- python-rdflib-jsonld (0.4.0-4)
- rdflib extension adding JSON-LD parser and serializer in Python 2
- python-rdflib-tools (4.2.2-2)
- Python tools for converting to and from RDF
- python-rdkit (201809.1+dfsg-6)
- Collection of cheminformatics and machine-learning software
- python-recaptcha (1.0.6-1)
- client library for reCAPTCHA and Mailhide
- python-reclass (1.4.1-3)
- hierarchical inventory backend for configuration management systems
- python-recoll (1.24.3-3)
- Python extension for recoll
- python-recommonmark (0.4.0+ds-5)
- CommonMark utility for Docutils and Sphinx projects -- Python
- python-recommonmark-doc (0.4.0+ds-5)
- CommonMark utility for Docutils and Sphinx projects -- doc
- python-reconfigure (0.1.81+git20171214.2b8729a8-1)
- simple config file management library (Python 2)
- python-reconfigure-doc (0.1.81+git20171214.2b8729a8-1)
- simple config file management library (documentation)
- python-redis (3.2.1-2)
- Persistent key-value database with network interface (Python library)
- python-rediscluster (1.3.3-1)
- Python interface to a cluster of Redis key-value stores - Python 2.X
- python-rediscluster-doc (1.3.3-1)
- Python interface to a cluster of Redis key-value stores - Documentation
- python-redminelib (2.1.1+ds-1)
- Python library for the Redmine RESTful API (Python 2)
- python-rednose (0.4.1-2)
- coloured output for nosetests - Python 2.x
- python-regex (0.1.20190207-1)
- alternative regular expression module
- python-regex-dbg (0.1.20190207-1)
- alternative regular expression module (debug extension)
- python-rekall-core (1.6.0+dfsg-2)
- memory analysis and incident response framework -- core Python modules
- python-relatorio (0.8.1-1)
- Python module to create reports from Python objects (Python2)
- python-releases (1.4.0-1)
- Sphinx extension for changelog manipulation (Python 2)
- python-releases-doc (1.4.0-1)
- Sphinx extension for changelog manipulation documentation
- python-remctl (3.15-1+b3)
- Python extension for Kerberos-authenticated command execution
- python-rencode (1.0.5-1+b2)
- Python encoding library similar to bittorrent's bencode
- python-renderpm (3.5.13-1+deb10u2) [security]
- python low level render interface
- python-renderpm-dbg (3.5.13-1+deb10u2) [security]
- python low level render interface (debug extension)
- python-reno (2.9.2-1)
- RElease NOtes manager - Python 2.x
- python-reno-doc (2.9.2-1)
- RElease NOtes manager - doc
- python-renpy (7.1.3+dfsg-2)
- framework for developing visual-novel type games - Python module
- python-reportlab (3.5.13-1+deb10u2) [security]
- ReportLab library to create PDF documents using Python
- python-reportlab-accel (3.5.13-1+deb10u2) [security]
- C coded extension accelerator for the ReportLab Toolkit
- python-reportlab-accel-dbg (3.5.13-1+deb10u2) [security]
- C coded extension accelerator for the ReportLab Toolkit
- python-reportlab-doc (3.5.13-1+deb10u2) [security]
- Documentation for the ReportLab Python library (PDF format)
- python-repoze.lru (0.7-1)
- tiny LRU cache implementation and decorator
- python-repoze.sphinx.autointerface (0.8-0.1)
- Sphinx extension to generate API docs from Zope interfaces (Python 2)
- python-repoze.tm2 (2.0-1)
- Zope-like transaction manager WSGI middleware (Python 2)
- python-repoze.tm2-doc (2.0-1)
- Zope-like transaction manager WSGI middleware documentation
- python-repoze.who (2.2-3)
- ident and auth framework for Python WSGI applications - Python 2.x
- python-requestbuilder (0.5.2-2+deb10u1) [security]
- command line-driven HTTP request builder - Python 2.7
- python-requests (2.21.0-1+deb10u1) [security]
- elegant and simple HTTP library for Python2, built for human beings
- python-requests-cache (0.4.13-2)
- persistent cache for requests library
- python-requests-cache-doc (0.4.13-2)
- persistent cache for requests library (doc)
- python-requests-file (1.4.3-1)
- File transport adapter for Requests - Python 2.X
- python-requests-futures (0.9.9-1)
- library for asynchronous HTTP requests (Python 2)
- python-requests-kerberos (0.11.0-2)
- Kerberos/GSSAPI authentication handler for python-requests - Python 2.x
- python-requests-mock (1.5.2-2)
- mock out responses from the requests package - Python 2.x
- python-requests-mock-doc (1.5.2-2)
- mock out responses from the requests package - doc
- python-requests-oauthlib (1.0.0-0.1)
- module providing OAuthlib auth support for requests (Python 2)
- python-requests-oauthlib-doc (1.0.0-0.1)
- module providing OAuthlib auth support for requests (Common Documentation)
- python-requests-toolbelt (0.8.0-1)
- Utility belt for advanced users of python-requests
- python-requests-toolbelt-doc (0.8.0-1)
- Utility belt for python3-requests (documentation)
- python-requests-unixsocket (0.1.5-3)
- use requests to talk HTTP via a UNIX domain socket - Python 2.7
- python-requestsexceptions (1.4.0-1)
- import exceptions from bundled packages in requests. - Python 2.7
- python-requirements-detector (0.6-1)
- finds and lists the requirements of a Python project (Python 2)
- python-resource-retriever (1.12.4-2)
- Robot OS resource_retriever library - Python 2
- python-responses (0.9.0-1)
- Utility library for mocking out the requests Python library
- python-restless (2.1.1-1)
- lightweight REST miniframework for Python
- python-restless-doc (2.1.1-1)
- lightweight REST miniframework for Python
- python-restructuredtext-lint (0.12.2-2)
- reStructuredText linter - Python 2.7
- python-retrying (1.3.3-3)
- simplifies the task of adding retry behavior - Python 2.x
- python-rfc3339 (1.1-1)
- parser and generator of RFC 3339-compliant timestamps (Python 2)
- python-rfc3986 (0.3.1-2)
- validating URI references per RFC 3986 - Python 2.x
- python-rfoo (1.3.0-2)
- Fast RPC package for Python (and a remote console)
- python-rgain (1.3.4-4)
- Replay Gain volume normalization Python tools
- python-rgw (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python 2 libraries for the Ceph librgw library
- python-ricky (0.1-1)
- tool for rebuilding packages using the Debile infrastructure
- python-ripe-atlas-cousteau (1.4.2-1)
- Python wrapper around the RIPE Atlas API
- python-ripe-atlas-sagan (1.2.2-1)
- Python library for parsing RIPE Atlas measurement results
- python-ripe-atlas-sagan-doc (1.2.2-1)
- Python library for parsing RIPE Atlas measurement results (documentation)
- python-rjsmin (1.0.12+dfsg1-4+b2)
- javascript minifier written in Python - Python 2.7
- python-rlp (0.5.1-1)
- Recursive Length Prefix (RLP) library — Python 2
- python-rlp-doc (0.5.1-1)
- Recursive Length Prefix (RLP) library — documentation
- python-robot-detection (0.4.0-1)
- Detect web crawlers from HTTP UserAgent (Python 2 version)
- python-roman (2.0.0-3)
- module for generating/analyzing Roman numerals for Python 2
- python-rope (0.10.5-3)
- Python 2 refactoring library
- python-ropemacs (0.8-1)
- Emacs mode for Python refactoring
- python-ropemode (0.4-1)
- ropemode, a helper for using rope refactoring library in IDE
- python-rosbag (1.14.3+ds1-5+deb10u3)
- Python 2 tools for recording from and playing back to Robot OS topics
- python-rosboost-cfg (1.14.4-6)
- Contains scripts used by the Robot OS rosboost-cfg tool (Python 2)
- python-rosclean (1.14.4-6)
- cleanup Robot OS filesystem resources (e.g. logs) (Python 2)
- python-roscpp-msg (1.14.3+ds1-5+deb10u3)
- Header for roscpp messages, Python 2
- python-roscreate (1.14.4-6)
- Robot OS empty package template creator (Python 2)))))))))
- python-rosdep
- virtueel pakket geboden door python-rosdep2
- python-rosdep2 (0.15.1-2)
- rosdep package manager abstraction tool for Robot OS (Python 2)
- python-rosdistro (0.7.2-1)
- Tool to work with rosdistro files (for Robot OS, Python 2)
- python-rosgraph (1.14.3+ds1-5+deb10u3)
- Tool to print information about the Robot OS computation graph - Python 2
- python-rosgraph-msgs (1.11.2-9)
- Messages relating to the Robot OS Computation Graph, Python 2 bindings
- python-rosinstall (0.7.8-2)
- Installer for Robot OS (Python 2)
- python-rosinstall-generator (0.1.14-1)
- Tool to generate rosinstall files (for Robot OS) Python 2
- python-roslaunch (1.14.3+ds1-5+deb10u3)
- roslaunch tool for Robot OS - Python 2
- python-roslib (1.14.4-6)
- Python 2 library for roslib (Robot OS)
- python-roslz4 (1.14.3+ds1-5+deb10u3)
- Python 2 library implementing lz4 for Robot OS
- python-rosmake (1.14.4-6)
- rosmake is a Robot OS dependency aware build tool (Python 2)
- python-rosmaster (1.14.3+ds1-5+deb10u3)
- Robot OS Master implementation - Python 2
- python-rosmsg (1.14.3+ds1-5+deb10u3)
- Command-line tools for displaying information about Robot OS - Python 2
- python-rosnode (1.14.3+ds1-5+deb10u3)
- Tool for displaying debug information about Robot OS - Python 2
- python-rosparam (1.14.3+ds1-5+deb10u3)
- Tool for getting and setting Robot OS Parameters - Python 2
- python-rospkg (1.1.7-1)
- Robot OS package library (Python 2)
- python-rospy (1.14.3+ds1-5+deb10u3)
- Python 2 client library for Robot OS
- python-rosservice (1.14.3+ds1-5+deb10u3)
- Command-line tool for listing and querying Robot OS services - Python 2
- python-rostest (1.14.3+ds1-5+deb10u3)
- Test suite for Robot OS based on roslaunch - Python 2
- python-rostopic (1.14.3+ds1-5+deb10u3)
- Tool for displaying debug information about Robot OS Topics - Python 2
- python-rosunit (1.14.4-6)
- Unit-testing package for ROS (Python 2)
- python-roswtf (1.14.3+ds1-5+deb10u3)
- Tool for diagnosing issues with a running Robot OS system - Python 2
- python-routes (2.4.1-1)
- Routing Recognition and Generation Tools
- python-rows (0.3.1-3)
- library to tabular data, no matter the format
- python-rpaths-doc (0.13-1)
- documentation for rpaths
- python-rpi.gpio (0.6.5-1)
- Module to control Raspberry Pi GPIO channels (Python 2)
- python-rply (0.7.4-3)
- pure Python based parser that also works with RPython (Python 2)
- python-rpm (4.14.2.1+dfsg1-1)
- Python bindings for RPM
- python-rpy2 (2.8.6-2+b2)
- Python 2 interface to the GNU R language and environment (version 2.8)
- python-rrdtool (1.7.1-2)
- time-series data storage and display system (Python interface)
- python-rsa (4.0-2)
- Pure-Python RSA implementation (Python 2)
- python-rstr (2.2.6-1)
- Easily generate random strings of various types
- python-rtree (0.8.3+ds-2)
- R-Tree spatial index for Python GIS - Python 2 module
- python-rtslib-fb (2.1.66-2)
- object API for managing the Linux LIO kernel target - Python 2.x
- python-rtslib-fb-doc (2.1.66-2)
- object API for managing the Linux LIO kernel target - doc
- python-ruamel.ordereddict (0.4.13-1)
- dictionary that keeps keys in insertion resp. sorted order
- python-ruamel.yaml (0.15.34-1+b1)
- roundtrip YAML parser/emitter (Python 2 module)
- python-rudolf (0.3-1)
- colour output plugin for the nose testing framework - Python 2.x
- python-ruffus (2.8.1-4)
- Python computation pipeline library widely used in bioinformatics
- python-ruffus-doc (2.8.1-4)
- documentation for python-ruffus computation pipeline library
- python-rviz (1.13.1+dfsg-1+b3)
- Python bindings for the 3D visualization tool for Robot OS
- python-ryu-doc (4.26+dfsg1-5)
- software defined networking framework (ryu docs)
- python-s3transfer (0.2.0-1)
- Amazon S3 Transfer Manager for Python2
- python-sabyenc (3.3.5-1)
- yEnc extension for Python, optimized for Usenet
- python-saga (2.3.1+dfsg-4+b1)
- SAGA GIS Python bindings
- python-sagenb (1.1.2+ds1-1)
- Standalone Sage Notebook
- python-sagenb-export (3.2-3)
- Convert SageNB Notebooks (Python 2)
- python-sagetex (3.2+ds-2)
- Embed Sage code and plots into LaTeX -- Python
- python-saharaclient (2.0.0-2.1)
- Client library for Openstack Sahara API server - Python 2.7 module
- python-saharaclient-doc (2.0.0-2.1)
- Client library for Openstack Sahara API server - documentation
- python-samba (2:4.9.5+dfsg-5+deb10u5) [security]
- Python bindings for Samba
- python-sane (2.8.3-1+b2)
- Python Imaging Library - SANE interface (Pillow fork)
- python-sane-dbg (2.8.3-1+b2)
- Python Imaging Library - SANE interface (debug extension)
- python-sanlock (3.6.0-3)
- Python bindings to shared storage lock manager
- python-sardana (2.6.2+dfsg-1)
- instrument control and data acquisition system - library
- python-sardana-doc (2.6.2+dfsg-1)
- instrument control and data acquisition system - documentation
- python-sasmodels (0.99-2)
- Theoretical models for small angle scattering (Python 2)
- python-sasmodels-doc (0.99-2)
- Theoretical models for small angle scattering (documentation)
- python-sasview (4.2.1-1)
- Small Angle Scattering Analysis (Python 2)
- python-satellites (2.5-1)
- transitional package to upgrade to the newer pysatellites
- python-scales (1.0.9-2)
- Application metrics for Python
- python-scandir (1.9.0-2)
- Backport of the "scandir" stdlib module (Python 2)
- python-scapy (2.4.0-2)
- Packet generator/sniffer and network scanner/discovery (Python 2)
- python-scciclient-doc (0.7.2-2+deb10u1) [security]
- Python ServerView Common Command Interface (SCCI) Client Library - doc
- python-scgi (1.13-1.1)
- Server-side implementation of the SCGI protocol
- python-schedule (0.3.2-1)
- Job scheduling for humans (Python 2)
- python-schedutils (0.6-1)
- Linux scheduler Python bindings - Python 2.7
- python-schema (0.6.7-1)
- simple data validation library (Python 2)
- python-schroot (0.4-3)
- set of Python bindings to schroot chroots
- python-scipy (1.1.0-7)
- scientific tools for Python
- python-scipy-dbg (1.1.0-7)
- scientific tools for Python - debugging symbols
- python-scipy-doc (1.1.0-7)
- scientific library for Python - documentation
- python-sclapp (0.5.3-3)
- framework for Python command-line applications
- python-scoop (0.7.1.1-3)
- Python library for concurrent parallel programming
- python-scour (0.37-2)
- SVG scrubber and optimizer (Python 2 module)
- python-scp (0.13.0-1)
- scp module for paramiko (Python 2)
- python-scrapy (1.5.1-1+deb10u1)
- Python web scraping and crawling framework (Python 2)
- python-scrapy-djangoitem (1.1.1-2)
- Scrapy extension to write scraped items using Django models (Python2 version)
- python-scrapy-doc (1.5.1-1+deb10u1)
- Python web scraping and crawling framework documentation
- python-scripttest (1.3-2)
- Helper to test command-line scripts - python 2.x
- python-scriptutil (1-2)
- Python module which provides the functionality of find and grep
- python-scruffy (0.3.3-1.1)
- framework for taking care of a bunch of boilerplate in Python2 apps
- python-scrypt (0.8.0-0.1+b1)
- bindings for the scrypt key derivation function library - Python 2.7
- python-sdnotify (0.3.1-1)
- Python2 implementation of systemd's service notification protocol
- python-seaborn (0.9.0-1)
- statistical visualization library for Python
- python-seafile (6.2.11-1)
- Python bindings for the Seafile Client
- python-seamicroclient (0.4.0-3)
- Client library for Seamicro chassis API - Python 2.x
- python-searchlightclient (1.3.0-2)
- OpenStack Indexing and Search API Client Library - Python 2.7
- python-searchlightclient-doc (1.3.0-2)
- OpenStack Indexing and Search API Client Library - doc
- python-searpc (3.1.0-3)
- Python bindings for the Searpc framework
- python-seccomp (2.3.3-4)
- high level interface to Linux seccomp filter (Python 2 bindings)
- python-secretstorage (2.3.1-2)
- Python module for storing secrets - Python 2.x version
- python-secretstorage-doc (2.3.1-2)
- Python module for storing secrets - documentation
- python-selenium (3.14.1+dfsg1-1)
- Python bindings for Selenium
- python-selinux (2.8-1+b1)
- Python bindings to SELinux shared libraries
- python-semanage (2.8-2)
- Python bindings for SELinux policy management
- python-semantic-version (2.6.0-2)
- implementing the SemVer scheme - Python 2.x
- python-semantic-version-doc (2.6.0-2)
- implementing the SemVer scheme - doc
- python-semver (2.0.1-3)
- helps to compare semantic versioning - Python 2.x
- python-send2trash (1.5.0-1)
- Python module for sending file to trash natively
- python-sendfile (2.0.1-2)
- Python interface to sendfile(2)
- python-sendfile-dbg (2.0.1-2)
- Python interface to sendfile(2) (debug)
- python-senlinclient (1.8.0-2)
- OpenStack Clustering API Client Library - Python 2.7
- python-senlinclient-doc (1.8.0-2)
- OpenStack Clustering API Client Library - doc
- python-sensor-msgs (1.12.7-1)
- Messages relating to Robot OS sensor, Python 2 interface
- python-sentinels (1.0.0-3)
- Python module for sentinel objects (Python 2 version)
- python-seqdiag (0.9.5+dfsg-1.1)
- seqdiag generate sequence-diagram image file from spec-text file
- python-serial (3.4-4)
- pyserial - module encapsulating access for the serial port
- python-service-identity (16.0.0-2)
- Service identity verification for pyOpenSSL (Python 2 module)
- python-sesame (0.24-4)
- Python wrapper for Sesame's REST HTTP API
- python-setoptconf (0.2.0-3)
- retrieve Python program settings from a variety of sources
- python-setproctitle (1.1.10-1+b2)
- Setproctitle implementation for Python 2
- python-setproctitle-dbg (1.1.10-1+b2)
- Setproctitle implementation for Python 2 (debug)
- python-setupdocs (1.0.5-3)
- setuptools plugin that automates building of docs from reST source
- python-setuptools (40.8.0-1)
- Python Distutils Enhancements
- python-setuptools-doc (40.8.0-1)
- Python Distutils Enhancements (documentation)
- python-setuptools-git (1.2-2)
- plugin for setuptools that enables git integration
- python-setuptools-scm (3.2.0-1)
- blessed package to manage your versions by scm tags for Python 2
- python-sexpdata (0.0.3-1)
- S-expression parser (Python2)
- python-sfml (2.2~git20150611.196c88+dfsg-4+b3)
- Simple and Fast Multimedia Library - Python 2 Bindings
- python-sfml-dbg (2.2~git20150611.196c88+dfsg-4+b3)
- Simple and Fast Multimedia Library - Python 2 Debug Bindings
- python-sfml-doc (2.2~git20150611.196c88+dfsg-4)
- Simple and Fast Multimedia Library - Documentation
- python-sgp4 (1.4-1)
- Track earth satellite TLE orbits using up-to-date 2010 version of sgp4
- python-sh (1.12.14-1.1)
- Python subprocess interface
- python-sha3 (1.0.2-2+deb10u1) [security]
- SHA-3 (Keccak) hash implementation — Python 2
- python-shade (1.30.0-2)
- Client library for operating OpenStack clouds
- python-shape-msgs (1.12.7-1)
- Messages relating to Robot OS shape, Python 2 interface
- python-shapely (1.6.4-2)
- geometric objects, predicates, and operations (Python 2)
- python-shapely-doc (1.6.4-2)
- geometric objects, predicates, and operations (documentation)
- python-shellescape (3.4.1-3)
- escapes characters to safely assemble command lines
- python-shelltoolbox (0.2.1+bzr17-1.1)
- Helpers for using shell commands from within Python.
- python-shodan (1.11.1-1)
- Official Python library for Shodan (Python 2)
- python-shodan-doc (1.11.1-1)
- Official Python library for Shodan (common documentation)
- python-shogun (3.2.0-5.2)
- Large Scale Machine Learning Toolbox
- python-shogun-dbg (3.2.0-5.2)
- Large Scale Machine Learning Toolbox
- python-shortuuid (0.5.0-1)
- generates concise, unambiguous, URL-safe UUIDs
- python-sievelib (1.1.0-1)
- Client-side Sieve and Managesieve library
- python-sigmask (2.4.1-3)
- module for saving and restoring the signal mask
- python-sigmavirus24-urltemplate (3.0.0+git20181031.68064e2-1)
- Simple Python library to deal with URI Templates - Python 2.x
- python-signedjson (1.0.0+git20151019-2)
- sign JSON with Ed25519 signatures in Python
- python-silo (4.10.2.real-5+b1)
- Python interface to the SILO Scientific I/O library
- python-silx (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Python2 library
- python-silx-dbg (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Python2 debug
- python-silx-doc (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Documentation
- python-simplebayes-doc (1.5.7-1)
- Naïve bayesian text classifier - documentation
- python-simpleeval (0.9.6-1)
- Simple, safe single expression evaluator library (Python 2)
- python-simplegeneric (0.8.1-2)
- simple generic functions for Python
- python-simplejson (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python 2.x
- python-simplejson-dbg (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python 2.x - debug symbols
- python-simplejson-doc (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python - documentation
- python-simpletal (4.3-1)
- Simple TAL, TALES and METAL implementation
- python-simpy (2.3.1+dfsg-1)
- python-based simulation package
- python-simpy-doc (2.3.1+dfsg-1)
- python-based simulation package, Documentation and examples
- python-simpy-gui (2.3.1+dfsg-1)
- python-based simulation package, GUI
- python-simpy3 (3.0.11-1)
- python-based simulation package
- python-simpy3-doc (3.0.11-1)
- python-based simulation package, Documentation and examples
- python-singledispatch (3.4.0.3-2)
- single-dispatch generic functions for Python
- python-sip (4.19.14+dfsg-2)
- Python/C++ bindings generator runtime library
- python-sip-dbg (4.19.14+dfsg-2)
- Python/C++ bindings generator runtime library (debug extension)
- python-sip-dev (4.19.14+dfsg-2)
- Python/C++ bindings generator development files
- python-sip-doc (4.19.14+dfsg-2)
- Python/C++ bindings generator documentation
- python-sireader (1.1.1-1)
- Python module to communicate with SportIdent main stations (Python 2)
- python-six (1.12.0-1)
- Python 2 and 3 compatibility library (Python 2 interface)
- python-six-doc (1.12.0-1)
- Python 2 and 3 compatibility library (documentation)
- python-skimage (0.14.2-2)
- Python modules for image processing
- python-skimage-doc (0.14.2-2)
- Documentation and examples for scikit-image
- python-skimage-lib (0.14.2-2)
- Optimized low-level algorithms for scikit-image
- python-sklearn (0.20.2+dfsg-6)
- Python modules for machine learning and data mining - Python 2
- python-sklearn-doc (0.20.2+dfsg-6)
- documentation and examples for scikit-learn
- python-sklearn-lib (0.20.2+dfsg-6)
- low-level implementations and bindings for scikit-learn
- python-sleekxmpp (1.3.3-4)
- XMPP (Jabber) Library Implementing Everything as a Plugin (Python 2.x)
- python-slepc4py (3.10.0-2)
- Python 2 bindings for SLEPc libraries
- python-slepc4py-docs (3.10.0-2)
- Python bindings for SLEPc libraries: documentation and examples
- python-slides (1.0.1-15)
- Python-based Slide Maker
- python-slimit (0.8.1-3)
- JavaScript minifier/parser in Python
- python-slimmer (0.1.30-7)
- HTML, XHTML, CSS, JavaScript optimizer for Python2
- python-slixmpp-doc (1.4.2-1)
- Threadless, event-based XMPP Python library (documentation)
- python-slowaes (0.1a1-2)
- implementation of AES in Python
- python-slugify (2.0.1-1)
- Python module that provides tools for slugifying unicode strings
- python-smartpm (1.4-2)
- Python library of the Smart Package Manager
- python-smartypants (2.0.0-1)
- smart-quotes plugin for pyblosxom
- python-smbc (1.0.15.6-1+b2)
- Python 2 bindings for the Samba client library
- python-smbpasswd (1.0.1-1.3)
- This module can generate both LANMAN and NT password hashes
- python-smbus (4.1-1)
- Python bindings for Linux SMBus access through i2c-dev
- python-smclib (1.8.3-1+b1)
- Robot OS 'bond' State Machine Compiler Python 2 package
- python-smmap (2.0.5-1)
- pure Python implementation of a sliding window memory map manager
- python-smoke-zephyr (1.4.1-1)
- Python utility collection
- python-smstrade (0.2.4-5)
- Python library to send SMS via the smstrade service (Python 2)
- python-smstrade-doc (0.2.4-5)
- Python library to send SMS via the smstrade service (documentation)
- python-snappy (0.5.3-1)
- snappy compression library from Google - Python 2.7
- python-snimpy (0.8.13-1)
- high-level SNMP bindings for Python 2
- python-snimpy-doc (0.8.13-1)
- interactive SNMP tool with Python (documentation)
- python-snowballstemmer (1.2.1-1)
- Pure Python Snowball stemming library
- python-snuggs (1.4.3-1)
- S-expressions for numpy - Python 2 version
- python-soappy (0.12.22-1)
- SOAP Support for Python
- python-soapysdr (0.6.1-4+b1)
- SoapySDR Python 2 bindings
- python-socketio (0.3.6-4)
- Socket.IO server based on the gevent pywsgi server
- python-socketio-client (0.6.5-0.1)
- socket.io-client library for Python
- python-socketio-doc (0.3.6-4)
- documentation for gevent-socketio
- python-socketpool (0.5.3-4)
- simple Python 2 socket pool
- python-socks (1.6.8+dfsg-1)
- Python 2 SOCKS client module
- python-socksipychain (2.0.15-2)
- Python SOCKS/HTTP/SSL chaining proxy module
- python-softlayer (5.6.4-1)
- Python client for SoftLayer API (Python 2)
- python-solv (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (Python2 bindings)
- python-sorl-thumbnail (12.5.0-1)
- thumbnail support for the Django framework
- python-sorl-thumbnail-doc (12.5.0-1)
- thumbnail support for the Django framework (Documentation)
- python-sortedcollections-doc (1.0.1-1)
- Python documentation for Sorted Collections
- python-sortedcontainers (2.0.4-1)
- sorted container types: SortedList, SortedDict, and SortedSet
- python-sortedcontainers-doc (2.0.4-1)
- sorted container types: SortedList, SortedDict, and SortedSet (Documentation)
- python-sortedm2m (1.2.2-1)
- Replacement for Django's many to many field with sorted relations (Python 2)
- python-sortedm2m-data (1.2.2-1)
- Replacement for Django's many to many field with sorted relations (data files)
- python-soundfile (0.10.1-3)
- Python audio module based on libsndfile and NumPy
- python-soundfile-doc (0.10.1-3)
- Python audio module based on libsndfile - documentation
- python-soupsieve (1.8+dfsg-1)
- Modern CSS selector implementation for BeautifulSoup (Python 2.7)
- python-sourcecodegen (0.6.14-1)
- Python source-code generator based on the compiler.ast abstract syntax tree
- python-spake2 (0.8-1)
- SPAKE2 password-authenticated key exchange (pure python)
- python-sparkpost (1.3.7-1)
- SparkPost Python API client (Python 2)
- python-sparqlwrapper (1.8.2-0.1)
- SPARQL endpoint interface to Python
- python-sparse (1.1.1-2)
- Sparse linear algebra extension for Python
- python-sparse-examples (1.1.1-2)
- Sparse linear algebra extension for Python: documentation
- python-spf (2.0.12t-3)
- sender policy framework (SPF) module for Python
- python-sphere (3.2-11)
- Python interface to the spherepack scientific library.
- python-sphinx (1.8.4-1)
- documentation generator for Python projects (implemented in Python 2)
- python-sphinx-argparse (0.2.2-2)
- Sphinx extension for argparse commands and options (Python 2)
- python-sphinx-bootstrap-theme (0.6.5-1)
- bootstrap theme for Sphinx
- python-sphinx-feature-classification-doc (0.3.0-1)
- generate a matrix of pluggable drivers and their support to an API - doc
- python-sphinx-gallery (0.2.0-1)
- extension that builds an HTML gallery of examples from Python scripts
- python-sphinx-gallery-doc (0.2.0-1)
- extension that builds an HTML gallery of examples from Python scripts (Doc)
- python-sphinx-paramlinks (0.3.5-1)
- Sphinx extension to make param links linkable (Python 2 version)
- python-sphinx-patchqueue (0.5.0-2)
- Sphinx extension for embedding sequences of file alterations (Python 2)
- python-sphinx-rtd-theme (0.4.3+dfsg-1)
- sphinx theme from readthedocs.org (Python 2)
- python-sphinx-testing (0.8.1-1)
- testing utility for Sphinx extensions
- python-sphinxbase (0.8+5prealpha+1-3+b1)
- Speech recognition tool - Python bindings
- python-sphinxcontrib-pecanwsme (0.8.0-7)
- documenting APIs built with Pecan and WSME - Python 2.7
- python-sphinxcontrib.actdiag (0.8.5-1)
- Sphinx "actdiag" extension
- python-sphinxcontrib.bibtex-doc (0.4.1-2)
- documentation for sphinxcontrib-bibtex
- python-sphinxcontrib.blockdiag (1.5.5-1)
- Sphinx "blockdiag" extension
- python-sphinxcontrib.docbookrestapi (0.2.1-3)
- generating REST API documentation for http://api.openstack.org
- python-sphinxcontrib.httpdomain (1.5.0-2)
- Sphinx domain for HTTP APIs - Python 2.x
- python-sphinxcontrib.issuetracker (0.11-2)
- Sphinx integration with different issue trackers
- python-sphinxcontrib.nwdiag (0.9.5-1)
- Sphinx "nwdiag" extension
- python-sphinxcontrib.plantuml (0.5-5)
- PlantUML extension for Sphinx - Python 2.x
- python-sphinxcontrib.programoutput (0.11-3.1)
- insert the output of arbitrary commands into documents - Python 2.x
- python-sphinxcontrib.programoutput-doc (0.11-3.1)
- insert the output of arbitrary commands into documents - doc
- python-sphinxcontrib.restbuilder (0.2-2)
- extension to build reST (reStructuredText) files with Sphinx (Python 2)
- python-sphinxcontrib.seqdiag (0.8.5-1)
- Sphinx "seqdiag" extension
- python-sphinxcontrib.spelling (4.2.0-1)
- Sphinx "spelling" extension
- python-sphinxcontrib.spelling-doc (4.2.0-1)
- Sphinx "spelling" extension (documentation)
- python-sphinxcontrib.websupport (1.1.0-2)
- API to integrate Sphinx documentation into Web applications (Python 2)
- python-sphinxtesters (0.2.1-1)
- utilities for testing Sphinx extensions - Python 2
- python-spoon (1.0.6-3)
- Simple to use pre-forking server interface (Python 2)
- python-sprox (0.9.6-1)
- library to generate web widgets from database schemas
- python-sptest (0.2.1-3)
- Python unittest module extension
- python-spur (0.3.20-1)
- Run commands easily over SSH
- python-spyder (3.3.3+dfsg1-1)
- public modules for spyder (Python 2)
- python-spyder-kernels (1.0.1+really0.2.4-1)
- Jupyter kernels for the Spyder console - Python 2
- python-spyderlib (3.3.3+dfsg1-1)
- transitional dummy package for python-spyder
- python-spyderlib
- virtueel pakket geboden door python-spyder
- python-spyne (2.12.11-1)
- Python library for writing and calling soap web service
- python-sql (1.0.0-1)
- Library to write SQL queries (implemented in Python 2)
- python-sqlalchemy (1.2.18+ds1-2)
- SQL toolkit and Object Relational Mapper for Python
- python-sqlalchemy-doc (1.2.18+ds1-2)
- documentation for the SQLAlchemy Python library
- python-sqlalchemy-ext (1.2.18+ds1-2)
- SQL toolkit and Object Relational Mapper for Python - C extension
- python-sqlalchemy-utils (0.32.21-1)
- various utility functions for SQLAlchemy - Python 2.x
- python-sqlalchemy-utils-doc (0.32.21-1)
- various utility functions for SQLAlchemy - doc
- python-sqlite (1.0.1-12)
- Python interface to SQLite 2
- python-sqlite-dbg (1.0.1-12)
- Python interface to SQLite 2 (debug extension)
- python-sqlitecachec (1.1.4-1)
- Fast metadata parser for yum
- python-sqlkit (0.9.6.1-2)
- Python tools and GUI application to view and edit databases content
- python-sqlkit-doc (0.9.6.1-2)
- documentation for python-sqlkit and sqledit application
- python-sqlobject (3.7.0+dfsg-2)
- Python 2 object relational manager providing an object interface to databases
- python-sqlobject-doc (3.7.0+dfsg-2)
- Documentation for SQLObject, a Python object relational manager for databases
- python-sqlparse (0.2.4-1+deb10u1) [security]
- non-validating SQL parser for Python 2
- python-sqlparse-doc (0.2.4-1+deb10u1) [security]
- documentation for non-validating SQL parser in Python
- python-sqlsoup (0.9.1-3)
- one step database access tool for Python, built on the SQLAlchemy ORM
- python-squaremap (1:1.0.4-2)
- wxPython control to display hierarchic data as nested squares
- python-srp (1.0.14-1)
- Secure Remote Password protocol implementation
- python-srs (1.0.3-1)
- Python SRS (Sender Rewriting Scheme) library
- python-ssdeep (3.1+dfsg-2+b2)
- Python 2.x wrapper for the ssdeep piecewise hashing tool
- python-sshpubkeys (3.1.0-1)
- SSH public key parser - Python 2
- python-sss (1.16.3-3.2+deb10u2) [security]
- Python module for the System Security Services Daemon
- python-starpy (1.0.1.0.git.20151124-2)
- Asterisk (AMI) protocols for Twisted Python
- python-staticconf (0.10.3-2)
- Python library for loading and reading configuration (Python 2)
- python-staticconf-doc (0.10.3-2)
- Python library for loading and reading configuration (documentation)
- python-statistics (3.4.0b3-2)
- Python 2.* port of 3.4 Statistics Module (Python 2)
- python-statsd (3.2.1-2)
- Python client for the statsd daemon (Python 2)
- python-statsmodels (0.8.0-9)
- Python module for the estimation of statistical models
- python-statsmodels-doc (0.8.0-9)
- documentation and examples for statsmodels
- python-statsmodels-lib (0.8.0-9)
- low-level implementations and bindings for statsmodels
- python-std-msgs (0.5.11-5)
- Python 2 interface for Standard Robot OS Messages
- python-std-srvs (1.11.2-9)
- Robot OS Common service definitions, Python 2 bindings
- python-stdeb (0.8.5-1)
- Python to Debian source package conversion utility
- python-stdnum (1.10-1)
- Python module to handle standardized numbers and codes
- python-stdnum-doc (1.10-1)
- Python module to handle standardized numbers and codes (Documentation)
- python-stem (1.7.1-1)
- Tor control library for Python
- python-stemmer (1.3.0+dfsg-1+b9)
- Python bindings for libstemmer - snowball stemming algorithms
- python-stemmer-dbg (1.3.0+dfsg-1+b9)
- Python bindings for libstemmer (debug extension)
- python-stemmer-doc (1.3.0+dfsg-1)
- Python bindings for libstemmer (documentation)
- python-stepic (0.4.1-1)
- Python Steganography in Images
- python-stereo-msgs (1.12.7-1)
- Messages relating to Robot OS stereo, Python 2 interface
- python-stestr (2.1.0-2)
- test runner similar to testrepository - Python 2.7
- python-stestr-doc (2.1.0-2)
- test runner similar to testrepository - doc
- python-stetl (1.2+ds-1)
- Streaming ETL - Geospatial ETL framework for Python 2
- python-stevedore (1.29.0-2)
- manage dynamic plugins for Python applications - python2
- python-stevedore-doc (1.29.0-2)
- manage dynamic plugins for Python applications - doc
- python-stfio (0.15.8-1+b1)
- Python module to read common electrophysiology file formats.
- python-stfl (0.22-1.3+b10)
- python bindings for the structured terminal forms language/library
- python-stomp (4.1.19-1)
- STOMP client library for Python 2
- python-stomp-doc (4.1.19-1)
- Documentation of Python's STOMP client library
- python-stomper (0.4.1-1)
- Python client implementation of the STOMP protocol (Python 2)
- python-stompy (0.2.9-1.1)
- Implementation of the STOMP protocol in Python
- python-storm (0.19-2)
- object-relational mapper (ORM) for Python
- python-storm-dbg (0.19-2)
- object-relational mapper (ORM) for Python - debugging files
- python-straight.plugin (1.4.1-2)
- Simple namespaced plugin facility (Python 2)
- python-straight.plugin-doc (1.4.1-2)
- Simple namespaced plugin facility (common documentation)
- python-stringtemplate3 (3.1-4)
- template engine with strict model-view separation - Python 2.7
- python-structlog (18.1.0-1)
- structured logging for Python (2.x)
- python-structlog-doc (18.1.0-1)
- structured logging for Python (common documentation)
- python-stsci.distutils (0.3.7-4)
- Python packaging utilities for STScI's packages
- python-subnettree (0.28-1)
- Python Module for CIDR Lookups
- python-subprocess32 (3.5.3-1)
- backport of the Py3 stdlib subprocess module for Py2
- python-subunit (1.3.0-1+deb10u1) [security]
- unit testing protocol - Python bindings to generate and consume streams
- python-subunit2sql (1.10.0-1)
- subunit file/stream to DB - Python 2.7
- python-subunit2sql-doc (1.10.0-1)
- subunit file/stream to DB - doc
- python-subversion (1.10.4-1+deb10u3)
- Python bindings for Apache Subversion
- python-subvertpy (0.10.1-2)
- Alternative Python bindings for Subversion - Python 2
- python-suds (0.7~git20150727.94664dd-5)
- Lightweight SOAP client for Python - Python 2.7
- python-sugar (0.98.0-8)
- Sugar Learning Platform - legacy core functionality
- python-sugar3 (0.112-3)
- Sugar Learning Platform - toolkit Python bindings
- python-sunlight (1.1.5-2)
- set of bindings to access U.S. government data (Python 2)
- python-sunlight-doc (1.1.5-2)
- set of bindings to access U.S. government data (documentation)
- python-sunpinyin (3.0.0~rc1+ds1-2)
- Simplified Chinese Input Method from SUN (Python binding)
- python-sure (1.2.5-5)
- utility belt for automated testing - Python 2.x
- python-surfer (0.7-2.1)
- visualize Freesurfer's data in Python
- python-sushy-doc (1.3.1-3)
- small library to communicate with Redfish based systems - doc
- python-svg.path (3.0-1)
- SVG path objects and parser for Python
- python-svgwrite (1.2.1-3)
- library to create SVG drawings (Python 2)
- python-svgwrite-doc (1.2.1-3)
- library to create SVG drawings (common documentation)
- python-svipc (0.16-3)
- interprocess communication (shared memory...) for Python
- python-svn (1.9.9-1)
- A(nother) Python interface to Subversion
- python-swap (1.2.1-7)
- Semantic Web Area for Play
- python-swift (2.19.1-1+deb10u1) [security]
- distributed virtual object store - Python libraries
- python-swiftclient (1:3.6.0-2)
- Client library for Openstack Swift API - Python 2.7
- python-swiftclient-doc (1:3.6.0-2)
- Client library for Openstack Swift API - doc
- python-swiftsc (0.5-1)
- simple client library of OpenStack Swift
- python-swiglpk (4.65.0-1)
- Plain Python bindings for the GNU Linear Programming Kit (Python 2)
- python-symeig (1.5-2)
- Symmetrical eigenvalue routines for NumPy
- python-symeig-dbg (1.5-2)
- Symmetrical eigenvalue routines for NumPy (debug symbols)
- python-sympy (1.3-2)
- Computer Algebra System (CAS) in Python
- python-sympy-doc (1.3-2)
- Computer Algebra System (CAS) in Python - Documentation
- python-systemd (234-2+b1)
- Python 2 bindings for systemd
- python-systemfixtures (0.6.4-1)
- Test fixtures providing fake versions of various system resources
- python-sysv-ipc (0.6.8-2+b4)
- semaphores, shared memory and message queues - Python 2.x
- python-tables (3.4.4-2)
- hierarchical database for Python based on HDF5
- python-tables-data (3.4.4-2)
- hierarchical database for Python based on HDF5 - test data
- python-tables-dbg (3.4.4-2)
- hierarchical database for Python based on HDF5 (debug extension)
- python-tables-doc (3.4.4-2)
- hierarchical database for Python based on HDF5 - documentation
- python-tables-lib (3.4.4-2)
- hierarchical database for Python based on HDF5 (extension)
- python-tablib (0.12.1-2)
- format agnostic tabular dataset library - Python 2.7
- python-tabulate (0.8.2-1)
- pretty-print tabular data in Python2
- python-tackerclient (0.14.0-2)
- CLI and Client Library for OpenStack Tacker - Python 2.7
- python-tackerclient-doc (0.14.0-2)
- CLI and Client Library for OpenStack Tacker - doc
- python-tagpy (2013.1-6.1)
- Python module for manipulating tags in music files
- python-talloc (2.1.14-2)
- hierarchical pool based memory allocator - Python bindings
- python-talloc-dev (2.1.14-2)
- talloc Python bindings - development files
- python-tango (9.2.5-1)
- API for the TANGO control system (Python 2)
- python-tango-doc (9.2.5-1)
- API for the TANGO control system
- python-tap (2.5-2)
- TAP producer/consumer tools for Python unittest -- Python 2 version
- python-tap-doc (2.5-2)
- TAP producer/consumer tools for Python unittest -- documentation
- python-taskflow (3.2.0-3)
- Taskflow structured state management library - Python 2.7
- python-taskflow-doc (3.2.0-3)
- Taskflow structured state management library - doc
- python-taskw (1.2.0-2)
- Python bindings for your taskwarrior database (Python 2 version)
- python-taurus (4.5.0+dfsg-1)
- Framework for scientific/industrial CLIs and GUIs - Python2
- python-taurus-doc (4.5.0+dfsg-1)
- Framework for scientific/industrial CLIs and GUIs - Documentation
- python-tblib (1.3.2-3)
- Python 2 traceback fiddling library
- python-tcpwrap (0.2-2.3)
- Python interface for libwrap0 (TCP wrappers)
- python-tdb (1.3.16-2+b1)
- Python bindings for TDB
- python-tds (1.9.1-1)
- Python DBAPI driver for MSSQL using pure Python TDS (Python 2)
- python-tds-doc (1.9.1-1)
- Python DBAPI driver for MSSQL using pure Python TDS (documentation)
- python-tegaki (0.3.1-1.1)
- core Python module of Tegaki
- python-tegaki-gtk (0.3.1-1.1)
- GTK+ widget Python model for Tegaki
- python-tegakitools (0.3.1-1.1)
- command-line tools for Tegaki
- python-telepathy (0.15.19-3)
- Python language bindings for telepathy
- python-tempest (1:19.0.0-2)
- OpenStack Integration Test Suite - Python 2.7
- python-tempita (0.5.2-2)
- very small text templating language
- python-templayer (1.5.1-3)
- layered template library for Python
- python-tenacity (4.12.0-2)
- retry code until it succeeeds - Python 2.7
- python-tenacity-doc (4.12.0-2)
- retry code until it succeeeds - doc
- python-termcolor (1.1.0-2)
- ANSII Color formatting for output in terminal - Python 2.x
- python-terminado (0.8.1-4)
- Terminals served to term.js using Tornado websockets (Python 2)
- python-terminado-doc (0.8.1-4)
- Terminals served to term.js using Tornado websockets (documentation)
- python-terminaltables-doc (3.1.0-2)
- Documentation for terminaltables table printer
- python-termstyle (0.1.10-2)
- console colouring for python - Python 2.x
- python-test-server (0.0.27-1)
- HTTP Server to test HTTP clients (Python 2)
- python-testfixtures (4.14.3-2)
- Collection of helpers and mock objects (Python2 version)
- python-testfixtures-doc (4.14.3-2)
- Collection of helpers and mock objects (Documentation)
- python-testing.common.database (2.0.0-1)
- Python testing.* framework - common database utilities (Python 2)
- python-testing.mysqld (1.4.0-3)
- Python testing.* framework - MySQLd helpers (Python 2)
- python-testing.postgresql (1.3.0-1)
- Python testing.* framework - PostgreSQL helpers (Python 2)
- python-testpath (0.4.2+dfsg-1)
- Utilities for Python 2 code working with files and commands
- python-testpath-doc (0.4.2+dfsg-1)
- Utilities for Python code working with files and commands (doc)
- python-testrepository (0.0.20-3)
- Database of test results - Python 2.x library
- python-testresources (2.0.0-2)
- PyUnit extension for managing expensive test fixtures - Python 2.x
- python-testscenarios (0.5.0-2)
- Dependency injection for Python unittest tests - Python 2.x
- python-testtools (2.3.0-5)
- Extensions to the Python unittest library - Python 2.x
- python-testtools-doc (2.3.0-5)
- Extensions to the Python unittest library - doc
- python-texext (0.6.1-1)
- sphinx extensions for working with LaTeX math - Python 2
- python-textile (1:3.0.3-1)
- Python 2 parser for the Textile markup
- python-texttable (1.6.0-1)
- Module for creating simple ASCII tables — python2
- python-tf (1.12.0-5+b1)
- Robot OS tf transform library - Python 2
- python-tf2 (0.6.5-3)
- Robot OS tf2 transform library - Python 2
- python-tf2-msgs (0.6.5-3)
- Robot OS messages for tf2 transform library - Python 2
- python-tf2-ros (0.6.5-3)
- Robot OS binding for tf2 transform library - Python 2
- python-tf2-sensor-msgs (0.6.5-3)
- Robot OS sensor messages for tf2 transform library - Python 2
- python-tftpy (0.6.0-1)
- pure Python library for TFTP
- python-tgext.admin (0.2.6-3)
- user management controller add-on for TurboGears
- python-theano (1.0.3+dfsg-1)
- CPU/GPU math expression compiler for Python
- python-thrift (0.11.0-4)
- Python library for Thrift
- python-thrift-dbg (0.11.0-4)
- Python library for Thrift (debug symbols)
- python-tidylib (0.3.2~dfsg-4)
- Python 2 wrapper for HTML Tidy (tidylib)
- python-tinycss (0.4-2)
- complete yet simple CSS parser (Python2 version)
- python-tinycss-doc (0.4-2)
- complete yet simple CSS parser (Documentation)
- python-tinycss2-common (0.6.1-1)
- Low-level CSS parser (test data)
- python-tinycss2-doc (0.6.1-1)
- Low-level CSS parser (Documentation)
- python-tinyrpc (0.6-1)
- small, modular RPC library — Python 2
- python-tinyrpc-doc (0.6-1)
- small, modular RPC library — documentation
- python-tk (2.7.16-2)
- Tkinter - Writing Tk applications with Python2
- python-tk-dbg (2.7.16-2)
- Tkinter - Writing Tk applications with Python2 (debug extension)
- python-tksnack (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - Python 2.x library
- python-tktreectrl (2.0.2-1)
- Tkinter-based wrapper for Tk TreeCtrl
- python-tktreectrl-doc (2.0.2-1)
- documentation and examples for Python TkTreeCtrl
- python-tld-doc (0.9.1-1)
- Extract the top level domain (TLD) from a given URL (common documentation)
- python-tlsh (3.4.4+20151206-1.1)
- fuzzy hashing library - Python module
- python-tlslite-ng (0.7.5-2)
- pure Python implementation of SSL/TLS protocols
- python-tmdbsimple (2.2.0-1)
- Wrapper for The Movie Database API (Python2 version)
- python-tmuxp (1.5.0a-1)
- tmux session manager (Python 2)
- python-tnetstring (0.2.1-4)
- python library for data serialization using typed netstrings
- python-tofu (0.5-7)
- high-level network game engine for Python
- python-tomahawk (0.7.1-2)
- simple ssh wrapper for executing commands into many hosts
- python-toml (0.10.0-1)
- library for Tom's Obvious, Minimal Language - Python 2.7
- python-toolz-doc (0.9.0-1)
- List processing tools and functional utilities documentation
- python-tooz (1.62.0-3)
- coordination library for distributed systems - Python 2.x
- python-tooz-doc (1.62.0-3)
- Coordination library for distributed systems. - doc
- python-topic-tools (1.14.3+ds1-5+deb10u3)
- Python 2 library for working with Robot OS topics
- python-toposort (1.5-2)
- topological sort algorithm - Python 2 module
- python-torctl (20130920git-2)
- Tor control library for Python
- python-tornado (5.1.1-4)
- scalable, non-blocking web server and tools
- python-tornado-doc (5.1.1-4)
- scalable, non-blocking web server and tools - documentation
- python-tornado4-doc (4.5.3-3)
- scalable, non-blocking web server and tools - documentation
- python-tornadorpc (0.1.1-1)
- library for XML/JSON RPC using the Tornado framework
- python-toro (1.0.1-3)
- Synchronization primitives for Tornado coroutines
- python-tosca-parser (1.1.0-3)
- parser for TOSCA Simple Profile in YAML - Python 2.x
- python-tosca-parser-doc (1.1.0-3)
- parser for TOSCA Simple Profile in YAML - doc
- python-toscawidgets (0.9.7.2-3)
- Python framework for building reusable web components
- python-tower-cli (3.3.0-1)
- Python 2 client library for the Ansible Tower and AWX Project's REST API
- python-tqdm (4.28.1-1)
- fast, extensible progress bar for Python 2
- python-traceback2 (1.4.0-5)
- backports of the traceback module - Python 2.7
- python-tracer (0.2.3-1.1)
- Centralized trace management using sys.settrace
- python-traitlets (4.3.2-1)
- Lightweight Traits-like package for Python 2
- python-traitlets-doc (4.3.2-1)
- Lightweight Traits-like package for Python
- python-traits (4.6.0-1+b2)
- Manifest typing and reactive programming for Python (Python 2)
- python-traitsui (4.5.1-1)
- traits-capable user interfaces
- python-trajectory-msgs (1.12.7-1)
- Messages relating to Robot OS trajectory, Python 2 interface
- python-transaction (1.4.3-3)
- Transaction management for Python
- python-translate (2.3.1-3)
- Toolkit assisting in the localization of software (Python 2)
- python-translationstring (1.3-2)
- Utility library for i18n relied on by various Repoze packages - Python 2.x
- python-translitcodec (0.3-1)
- Unicode to 8-bit charset transliteration codec
- python-transliterate-doc (1.10.2-2)
- Bi-directional transliterator for Python (Documentation)
- python-transmissionrpc (0.11-3)
- Transmission RPC client module for Python
- python-transmissionrpc-doc (0.11-3)
- Transmission RPC client module for Python (documentation)
- python-treq (18.6.0-0.1)
- Higher level API for making HTTP requests with Twisted (Python 2)
- python-treq-doc (18.6.0-0.1)
- Higher level API for making HTTP requests with Twisted (doc)
- python-trezor (0.9.0-1)
- library for communicating with TREZOR Bitcoin HW wallet (Python 2)
- python-trie (0.2+ds-1)
- Pure Python implementation of the trie data structure (Python 2)
- python-trie-doc (0.2+ds-1)
- Pure Python implementation of the trie data structure (doc)
- python-tripleo-image-elements (0.7.1-1)
- Image building rules for OpenStack
- python-trollius (2.1~b1-5)
- port of the Tulip project on Python 2.7 - Python 2.x
- python-trollius-redis (0.1.4-2)
- Redis client for Python Trollius (Python 2)
- python-troveclient (1:2.16.0-2)
- Client for OpenStack Database as a Service - Python 2.7
- python-tsk (20190121-2)
- Python Bindings for The Sleuth Kit
- python-ttystatus (0.38-2)
- terminal progress bar and status output for Python
- python-tunigo (1.0.0-3)
- Python API for the browse feature of Spotify (Python 2)
- python-turbogears2 (2.3.12+really2.3.7-1)
- Python web application framework
- python-turbogears2-doc (2.3.7-1)
- documentation for the TurboGears2 web framework
- python-turbojson (1.3.2-2.1)
- plugin to use JSON templates in Python templating engines
- python-turbokid (1.0.5-2)
- TurboGears template plugin to use kid templates
- python-tuskarclient (0.1.18-1)
- control how and where OpenStack services are deployed - client
- python-tuskarclient-doc (0.1.18-1)
- control how and where OpenStack services are deployed - client doc
- python-tvdb-api (2.0-1)
- API interface to TheTVDB.com
- python-tweepy (3.6.0-1.1)
- Twitter library for Python
- python-tweepy-doc (3.6.0-1.1)
- Documentation for python-tweepy module
- python-twext (1:0.1~git20161216.0.b90293c-2)
- Python module consisting of extensions to the Twisted Framework
- python-twilio (6.8.2-2)
- Twilio API client and TwiML generator (Python 2)
- python-twill (0.9-4)
- Simple scripting language for Web browsing
- python-twisted (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications (dependency package)
- python-twisted-bin (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications
- python-twisted-bin-dbg (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications (debug extension)
- python-twisted-conch (1:18.9.0-3+deb10u2) [security]
- twisted dummy package for Twisted SSH Implementation
- python-twisted-core (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications
- python-twisted-mail (18.9.0-3+deb10u2) [security]
- twisted dummy package for SMTP, IMAP and POP protocol implementation
- python-twisted-names (18.9.0-3+deb10u2) [security]
- twisted package for DNS protocol implementation
- python-twisted-news (18.9.0-3+deb10u2) [security]
- twisted dummy package for NNTP protocol implementation
- python-twisted-runner (18.9.0-3+deb10u2) [security]
- twisted dummy package for process management
- python-twisted-runner-dbg (18.9.0-3+deb10u2) [security]
- twisted dummy package for process management
- python-twisted-web (18.9.0-3+deb10u2) [security]
- twisted dummy package for HTTP protocol implementation
- python-twisted-words (18.9.0-3+deb10u2) [security]
- twisted dummy package for Chat and Instant Messaging
- (3.3-1)
- Twitter API wrapper for Python
- (3.3-1)
- Twitter API wrapper for Python: documentation files
- python-twodict (1.2-1)
- Simple two way ordered dictionary for Python 2
- python-twython (3.7.0-1)
- Pure Python wrapper for the Twitter API
- python-txaio (2.10.0-1)
- compatibility API between asyncio/Twisted/Trollius - Python 2.x
- python-txaio-doc (2.10.0-1)
- compatibility API between asyncio/Twisted/Trollius - doc
- python-txlibravatar (1.1-15)
- Libravatar module for Twisted
- python-txosc (0.2.0-2)
- Open Sound Control (OSC) protocol for Twisted
- python-txsocksx (1.15.0.2-1)
- SOCKS{4,4a,5} endpoints for Twisted
- python-txt2tags
- virtueel pakket geboden door txt2tags
- python-txtorcon-doc (18.3.0-1)
- Twisted-based asynchronous Tor control protocol implementation (Documentation)
- python-txwinrm (1.3.3-1)
- asynchronous Python WinRM client libraries
- python-txws (0.9.1-3)
- Python module to add Websocket support to the Twisted framework (Python 2)
- python-txzmq (0.8.0-1)
- ZeroMQ binding for the Twisted framework (Python2 version)
- python-txzookeeper (0.9.8-1)
- Twisted-based Asynchronous Libraries for Apache Zookeeper.
- python-typing (3.6.6-1)
- Backport of the standard 3.5 library typing module
- python-typogrify (1:2.0.7-1)
- filters to transform text into typographically-improved HTML
- python-tz (2019.1-1)
- Python version of the Olson timezone database
- python-tzlocal (1.5.1-1)
- tzinfo object for the local timezone
- python-u-msgpack (2.1-1)
- Python MessagePack serializer and deserializer
- python-u1db (13.10-6.3)
- Ubuntu One structured data storage - Python API
- python-u2flib-server (5.0.0-1)
- Universal 2nd Factor (U2F) server communication Python module
- python-ubjson (0.12.0-1)
- Universal Binary JSON encoder/decoder for Python 2
- python-ubuntutools (0.166)
- useful APIs for Ubuntu developer tools — Python 2 library
- python-ucltip (0.7.1-1)
- Python module to help making command line tool Python binding faster
- python-udatetime (0.0.16-1+deb10u1)
- fast RFC3339 compliant date-time library (Python 2)
- python-ufl (2018.1.0-5)
- unified language for form-compilers
- python-ufl-doc (2018.1.0-5)
- documentation and demos for UFL
- python-ufw (0.36-1)
- Uncomplicated FireWall Python modules
- python-uinput (0.11.2-1)
- Pythonic API to Linux uinput kernel module (Python2)
- python-ujson (1.35-3)
- ultra fast JSON encoder and decoder for Python 2
- python-ujson-dbg (1.35-3)
- ultra fast JSON encoder and decoder for Python 2 (debug ext)
- python-ulmo (0.8.4+dfsg1-2)
- Simple & fast Python access to public hydrology & climatology data
- python-ulmo-doc (0.8.4+dfsg1-2)
- Simple & fast Python access to public hydrology & climatology data - docs
- python-unbound (1.9.0-2+deb10u4) [security]
- library implementing DNS resolution and validation (Python bindings)
- python-uncertainties (3.0.2.github-2)
- Python module for calculations with uncertainties
- python-unicodecsv (0.14.1-1)
- drop-in replacement for Pythons CSV module with Unicode support
- python-unidecode (1.0.23-1)
- ASCII transliterations of Unicode text (Python module)
- python-unidiff (0.5.4-1)
- Unified diff Python parsing/metadata extraction library
- python-unipath (0.2.1+dfsg-1)
- object-oriented approach to file/pathname manipulations
- python-unittest2 (1.1.0-6.1)
- backport of the enhanced unittest testing framework - Python 2.7
- python-unpaddedbase64 (1.1.0-4)
- unpadded Base64 implementation in Python
- python-unrardll (0.1.3-2) [contrib]
- Python wrapper for the unrar shared library
- python-unshare (0.2-1)
- Python bindings for the Linux unshare() syscall
- python-uritemplate (0.6-3)
- implementation of RFC6570 - Python 2.x
- python-uritools (2.2.0-1)
- RFC 3986 compliant replacement for urlparse (Python 2)
- python-urlgrabber (3.10.2-1)
- high-level URL transfer library
- python-urllib3 (1.24.1-1+deb10u2) [security]
- HTTP library with thread-safe connection pooling for Python
- python-urlobject (2.4.0-1)
- utility class for manipulating URLs.
- python-urwid (2.0.1-2+b1)
- curses-based UI/widget library for Python 2
- python-urwid-doc (2.0.1-2)
- curses-based UI/widget library (common documentation)
- python-urwid-satext (0.7.0.a2-1)
- collection of urwid widgets
- python-urwidtrees (1.0.1.1-1)
- Urwid Tree Container API
- python-usagestats (0.7-4)
- Python module to collect usagestats from users
- python-usb (1.0.2-1)
- USB interface for Python
- python-utidylib (0.5-2)
- Python wrapper for TidyLib
- python-utmp (0.8.3)
- python module for working with utmp
- python-uvicorn-doc (0.3.24-1)
- ASGI server implementation, using uvloop and httptools (Documentation)
- python-uwsgidecorators (2.0.18-1)
- module of decorators for elegant access to uWSGI API (Python 2)
- python-vagrant (0.5.15-2)
- Python 2.7 bindings for interacting with Vagrant virtual machines
- python-validictory (0.8.3-3)
- general purpose Python data validator (Python 2)
- python-validictory-doc (0.8.3-3)
- general purpose Python data validator (Documentation)
- python-van
- virtueel pakket geboden door python-van.pydeb
- python-van.pydeb (1.3.3-2)
- introspection of Python metadata and conversion to Debian package metadata
- python-vatnumber (1:1.2-8)
- Python module to validate VAT numbers (implemented in Python 2)
- python-vcr (2.0.1-3)
- record and replay HTML interactions (Python library)
- python-vcr-doc (2.0.1-3)
- record and replay HTML interactions (Documentation)
- python-vcstools (0.1.40-2)
- VCS/SCM Python source control library for svn, git, hg, and bzr (Python 2)
- python-vcversioner (2.16.0.0-1)
- Use version control tags to discover version numbers
- python-venusian (1.2.0-1)
- library for deferring decorator actions
- python-versiontools (1.9.1-3)
- Smart replacement for plain tuple used in __version__ (Python 2)
- python-versuchung (1.1-3)
- toolbox for reproducible research
- python-vertica (0.8.2-1) [contrib]
- native Python client for the Vertica database
- python-vigra (1.10.0+git20160211.167be93+dfsg1-2)
- Python bindings for the C++ computer vision library
- python-vigra-doc (1.10.0+git20160211.167be93+dfsg1-2)
- Documentation for Python bindings for the C++ computer vision library
- python-vine (1.1.4+dfsg-2)
- Python promises (Python 2 version)
- python-vine-doc (1.1.4+dfsg-2)
- vine Python promises documentation
- python-vipscc (8.7.4-1+deb10u1)
- image processing system good for very large ones (tools)
- python-virtualenv (15.1.0+ds-2+deb10u1)
- Python virtual environment creator
- python-virtualenv-clone (0.3.0-1.2)
- script for cloning a non-relocatable virtualenv (Python2)
- python-vispy (0.4.0-1)
- interactive visualization in Python 2
- python-visualization-msgs (1.12.7-1)
- Messages relating to Robot OS visualization, Python 2 interface
- python-vitrageclient-doc (2.3.0-2)
- OpenStack root cause analysis as a Service doc.
- python-vmtk (1.3+dfsg-2.3) [non-free]
- Python interface for vmtk
- python-vnlog (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- python-vobject (0.9.6.1-0.1)
- parse iCalendar and vCards in Python
- python-voluptuous (0.11.1-1)
- Python library to validate data
- python-vsgui (0.3.3-1)
- Very Simple Graphical library for Python shell script
- python-vtk6 (6.3.0+dfsg2-2+b5)
- Python bindings for VTK
- python-vulndb (0.1.1-1)
- Python2 tool to provide access to the vulndb information
- python-w3lib (1.20.0-1)
- Collection of web-related functions (Python 2)
- python-wadllib (1.3.3-2)
- Python library for navigating WADL files
- python-waiting (1.4.1-3)
- Python module for waiting for events to happen (Python 2 version)
- python-waitress (1.2.0~b2-2+deb10u1)
- production-quality pure-Python WSGI server
- python-waitress-doc (1.2.0~b2-2+deb10u1)
- production-quality pure-Python WSGI server (documentation)
- python-wand (0.4.4-3)
- Python interface for ImageMagick library (Python 2 build)
- python-warlock (1.2.0-2)
- object model built on top of JSON schema - Python 2.7
- python-watchdog (0.9.0-1)
- Python API and shell utilities to monitor file system events - Python 2.x
- python-watcherclient (2.1.0-3)
- OpenStack Cloud Optimization as a Service client - Python 2.7
- python-watcherclient-doc (2.1.0-3)
- OpenStack Cloud Optimization as a Service - client doc
- python-watson-developer-cloud (2.5.4-1)
- Python client library to use the IBM Watson Services (Python 2)
- python-wchartype (0.1-1)
- handling double-byte (full-width) characters (Python 2)
- python-wcwidth (0.1.7+dfsg1-3)
- determine printable width of a string on a terminal (Python 2)
- python-weakrefmethod (1.0.3-4)
- WeakMethod class for storing bound methods using weak references
- python-webassets (3:0.12.1-1)
- Asset management application for Python web development
- python-webassets-doc (3:0.12.1-1)
- Asset management application for Python web development - doc
- python-webcolors (1.5-2)
- library of color names and value formats defined by HTML and CSS
- python-webdav (0.9.11~git20180601.5d7d16a-5)
- WebDAV server implementation in Python (Python2)
- python-webencodings (0.5.1-1)
- Python implementation of the WHATWG Encoding standard
- python-weberror (0.13.1+dfsg-1)
- Python web error handling and exception catching module
- python-webflash (0.1a9-5)
- Portable flash messages for Python WSGI applications
- python-webhelpers (1.3-4)
- library of helper functions to make writing web application templates easier
- python-webob (1:1.8.5-1)
- Python module providing WSGI request and response objects (Python 2)
- python-webob-doc (1:1.8.5-1)
- Python module providing WSGI request and response objects (documentation)
- python-webpy-doc (1:0.39+20181101-1)
- Web framework for Python applications
- python-websocket (0.53.0-1)
- WebSocket client library - Python 2.7
- python-websockify (0.8.0+dfsg1-10)
- WebSockets support for any application/server - Python 2
- python-webtest (2.0.32-1)
- wraps any WSGI application and makes it easy to test
- python-webtest-doc (2.0.32-1)
- wraps any WSGI application and makes it easy to test
- python-webunit (1:1.3.10-2.1)
- Unit testing for web apps with code that acts like a web browser.
- python-werkzeug (0.14.1+dfsg1-4+deb10u2) [security]
- collection of utilities for WSGI applications (Python 2.x)
- python-werkzeug-doc (0.14.1+dfsg1-4+deb10u2) [security]
- documentation for the werkzeug Python library (docs)
- python-wget (3.2-2)
- pure Python download utility for Python 2
- python-whatthepatch (0.0.5-2)
- Library for parsing patch files (Python 2)
- python-wheel (0.32.3-2)
- built-package format for Python
- python-wheel-common (0.32.3-2)
- built-package format for Python
- python-wheezy.template (0.1.167-1.1+b3)
- a lightweight template library (Python 2 package)
- python-whichcraft (0.4.1-1)
- cross-platform cross-python shutil.which functionality (Python 2 module)
- python-whiteboard (1.0+git20170915-2)
- Make your own electronic whiteboard
- python-whitenoise (3.3.1-1)
- static file serving for WSGI applications (Python 2)
- python-whois (0.7-6)
- Python module for retrieving WHOIS information - Python 2
- python-whoosh (2.7.4+git6-g9134ad92-4)
- pure-Python full-text indexing, search, and spell checking library (Python 2)
- python-whoosh-doc (2.7.4+git6-g9134ad92-4)
- full-text indexing, search, and spell checking library (doc)
- python-wicd (1.7.4+tb2-6)
- wired and wireless network manager - Python module
- python-widgetsnbextension (6.0.0-4)
- Interactive widgets - Jupyter notebook extension (Python 2)
- python-wiredtiger (3.1.0+ds-1)
- Python library for WiredTiger
- python-wokkel (18.0.0-1)
- Python collection of enhancements for Twisted
- python-workqueue (7.0.9-2)
- cooperative computing tools work queue Python bindings
- python-wrapt (1.10.11-1)
- decorators, wrappers and monkey patching. - Python 2.x
- python-wrapt-doc (1.10.11-1)
- decorators, wrappers and monkey patching. - doc
- python-wreport (3.15-1)
- Python library to work with BUFR and CREX weather bulletins
- python-wsaccel (0.6.2-1+b1)
- Accelerator for ws4py and AutobahnPython - Python 2.7
- python-wsgi-intercept (1.5.0-2)
- installs a WSGI application in place of a real URI for testing
- python-wsgicors (0.4.1-1)
- WSGI middleware to handle CORS preflight requests
- python-wsgilog (0.3.1-2)
- WSGI logging and event reporting middleware (Python 2)
- python-wsgiref
- virtueel pakket geboden door python, python2
- python-wsme (0.9.3-2)
- Web Services Made Easy: implement multi-protocol webservices - Python 2.x
- python-wstool (0.1.17-1)
- Commands to manage multi-VCS repositories (for Robot OS) Python 2
- python-wstools (0.4.3-3)
- WSDL parsing tools Python module
- python-wtf-peewee (3.0.0+dfsg-1)
- WTForms integration for peewee models (Python 2)
- python-wtforms (2.2.1-1)
- flexible forms validation and rendering library for Python 2
- python-wtforms-doc (2.2.1-1)
- flexible forms validation and rendering library for Python (doc)
- python-wxgtk-media3.0 (3.0.2.0+dfsg-8)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
- python-wxgtk-media4.0 (4.0.4+dfsg-2)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
- python-wxgtk-webview3.0 (3.0.2.0+dfsg-8)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
- python-wxgtk-webview4.0 (4.0.4+dfsg-2)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
- python-wxgtk3.0 (3.0.2.0+dfsg-8)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit
- python-wxgtk3.0-dev (3.0.2.0+dfsg-8)
- Development files for wxPython
- python-wxgtk4.0 (4.0.4+dfsg-2)
- Python interface to the wxWidgets Cross-platform C++ GUI toolkit
- python-wxtools (3.0.2.0+dfsg-8)
- Tools from the wxPython distribution
- python-wxversion (3.0.2.0+dfsg-8)
- API for selecting the wxPython version to use
- python-x2go (0.6.0.2-1)
- Python module providing X2Go client API (Python 2)
- python-x2go-doc (0.6.0.2-1)
- Python module providing X2Go client API (documentation)
- python-x2gobroker-doc (0.0.4.1-1)
- X2Go Session Broker (Python3 module documentation)
- python-x3dh (0.5.8-1)
- Python 2 implementation of the X3DH key agreement protocol
- python-xapian (1.4.11-2)
- Xapian search engine interface for Python
- python-xapian-haystack (2.1.0-3)
- Xapian backend for Django-Haystack (Python2 version)
- python-xapp (1.2.0-2)
- Python 2 XApp library
- python-xappy (0.5-5)
- easy-to-use interface to the Xapian search engine
- python-xarray (0.11.3-2)
- N-D labeled arrays and datasets in Python
- python-xarray-doc (0.11.3-2)
- documentation for xarray
- python-xattr (0.9.6-1)
- module for manipulating filesystem extended attributes - Python 2
- python-xcbgen (1.13-1)
- X C Binding - protocol binding generator
- python-xdg (0.25-5)
- Python 2 library to access freedesktop.org standards
- python-xdmf (3.0+git20160803-5+b1)
- Python wrappers for the eXtensible Data Model and Format library
- python-xdo (0.4-1)
- Python 2 library for simulating X11 keyboard/mouse input (libxdo bindings)
- python-xe (0.7.4-2)
- library to work with XML elements (Python 2)
- python-xeddsa (0.4.6-1)
- Python 2 implementation of the XEdDSA signature scheme
- python-xhtml2pdf (0.2.2-2)
- A library for converting HTML into PDFs using ReportLab (Python 2 module)
- python-xkcd (2.4.2-1)
- Python2 library for accessing xkcd.com
- python-xkcd-doc (2.4.2-1)
- Python library for accessing xkcd.com (common documentation)
- python-xlib (0.23-2)
- interface for Python to the X11 protocol
- python-xlrd (1.1.0-1)
- extract data from Microsoft Excel spreadsheet files
- python-xlrd-docs (1.1.0-1)
- extract data from Microsoft Excel spreadsheet files (documentation)
- python-xlsxwriter (1.1.2-0.1)
- Python module for creating Excel XLSX files
- python-xlwt (1.3.0-2)
- module for writing Microsoft Excel spreadsheet files - Python 2.7
- python-xlwt-doc (1.3.0-2)
- module for writing Microsoft Excel spreadsheet files - doc
- python-xmlbuilder (1.0-1)
- create xml/(x)html files - Python 2.x
- python-xmlmarshaller (1.0.1-2)
- Converting Python objects to XML and back again (Python 2)
- python-xmlrunner (1.5.0-1)
- PyUnit-based test runner with JUnit like XML reporting
- python-xmltodict (0.11.0-2)
- Makes working with XML feel like you are working with JSON (Python 2)
- python-xmltv (1.3-2)
- allows Python applications to access XMLTV data
- python-xmmsclient (0.8+dfsg-18.2)
- XMMS2 - Python bindings
- python-xmpp (0.4.1-cvs20080505.4)
- Python library for communication with XMPP (Jabber) servers
- python-xopen (0.5.0-2)
- Python module to open compressed files transparently
- python-xstatic-doc (1.0.0-6)
- XStatic base package with minimal support code - doc
- python-xtermcolor (1.2.1-2)
- Python module to print coloured text on terminals
- python-xvfbwrapper (0.2.9-1)
- headless display inside Xvfb - Python 2.x
- python-yade (2019.01a-2)
- Platform for discrete element modeling. Python bindings
- python-yaml (3.13-2)
- YAML parser and emitter for Python
- python-yaml-dbg (3.13-2)
- YAML parser and emitter for Python (debug build)
- python-yapf (0.25.0-2.1)
- public modules for yapf (Python 2)
- python-yapps (2.2.1-3)
- Yet Another Python Parser System
- python-yappy (1.9.4-2)
- Yet Another Parser Generator for Python
- python-yappy-doc (1.9.4-2)
- Documentation for yappy
- python-yapsy (1.12.0-1)
- simple plugin system for Python applications
- python-yapsy-doc (1.12.0-1)
- simple plugin system for Python applications - doc
- python-yaql (1.1.3-2)
- Yet Another Query Language - Python 2.7
- python-yara (3.9.0-1)
- Python 2 bindings for YARA
- python-yarl-doc (1.3.0-1)
- documentation for the yarl Python library
- python-yattag (1.11.1-1)
- library for generating HTML or XML in a pythonic way (Python 2)
- python-yenc (0.4.0-4)
- yEnc encoding/decoding extension (Python 2)
- python-yenc-doc (0.4.0-4)
- yEnc encoding/decoding extension for Python (documentation)
- python-yowsup (2.5.7-4)
- library to implement a WhatsApp client
- python-yowsup-common (2.5.7-4)
- library to implement a WhatsApp client (common files)
- python-yt (3.5.0-1)
- Framework for analyzing and visualizing simulation data (Python 2)
- python-yubico (1.3.2-1.1)
- Python library for talking to Yubico YubiKeys
- python-yubico-tools (1.3.2-1.1)
- Tools for Yubico YubiKeys
- python-z3 (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research - Python bindings
- python-zake (0.2.2-2)
- provides a set of testing utilities for the kazoo library - Python 2.x
- python-zaqarclient (1.10.0-2)
- OpenStack Zaqar Queueing API, client and library - Python 2.7
- python-zbar (0.22-1+deb10u1) [security]
- bar code scanner and decoder (Python bindings)
- python-zbarpygtk (0.22-1+deb10u1) [security]
- bar code scanner and decoder (PyGTK bindings)
- python-zc.buildout (1.7.1-1)
- system for managing development buildouts
- python-zc.customdoctests (1.0.1-1)
- Use Python doctest with other languages
- python-zc.lockfile (1.3.0-1)
- Basic inter-process locks for Python 2
- python-zconfig (3.1.0-1)
- Structured Configuration Library, for Python 2
- python-zdaemon (2.0.7-1)
- daemon process control library and tools for Unix-based systems
- python-zeep (3.2.0-1)
- Modern SOAP client library (Python 2)
- python-zeitgeist (1.0.1-1)
- event logging framework - Python bindings
- python-zenoss (0.6.3-1)
- module to work with the Zenoss JSON API
- python-zeroc-ice (3.7.2-4)
- Python 2 extension for Ice
- python-zeroconf (0.19.1-3)
- Pure Python implementation of multicast DNS service discovery
- python-zfec (1.5.2-2+b1)
- fast erasure codec, with Python bindings
- python-zhpy (1.7.3.1-1.1)
- the Python language with Chinese
- python-zinnia (0.06-4)
- Python binding for the zinnia library
- python-zipstream (1.1.3-1)
- Zipfile generator
- python-zmq (17.1.2-2+deb10u1)
- Python bindings for 0MQ library
- python-zmq-dbg (17.1.2-2+deb10u1)
- Python bindings for 0MQ library - debugging files
- python-zodb (1:3.10.7-1)
- Zope Object Database (ZODB)
- python-zodbpickle (1.0-1+b1)
- Fork of pickle module for uniform serialization between Python 2 and 3 (Py2)
- python-zookeeper (3.4.13-2+deb10u1) [security]
- Python bindings for zookeeper
- python-zope
- virtueel pakket geboden door python-zope.interface
- python-zope.authentication (3.7.1-3)
- Definition of authentication basics for the Zope Framework
- python-zope.browser (2.1.0-1)
- Shared Zope Toolkit browser components
- python-zope.cachedescriptors (3.5.1-2)
- Method and property caching decorators
- python-zope.component (4.3.0-1)
- Zope Component Architecture
- python-zope.component-hook
- virtueel pakket geboden door python-zope.component
- python-zope.component-persistentregistry (4.3.0-1)
- Metapackage for zope.component persistentregistry extra
- python-zope.component-security (4.3.0-1)
- Metapackage for zope.component security extra
- python-zope.component-test (4.3.0-1)
- Metapackage for zope.component test extra
- python-zope.component-zcml (4.3.0-1)
- Metapackage for zope.component zcml extra
- python-zope.configuration (4.0.3-3)
- Zope Configuration Markup Language (ZCML)
- python-zope.contenttype (4.1.0-1)
- Utility module for content-type handling
- python-zope.copy (3.5.0-6)
- Pluggable object copying mechanism
- python-zope.deprecation (4.4.0-1)
- Zope Deprecation Infrastructure
- python-zope.dottedname (3.4.6-5)
- Resolver for Python dotted names
- python-zope.event (4.2.0-1)
- Very basic event publishing system
- python-zope.exceptions (4.0.8-1)
- Zope exceptions for Python
- python-zope.hookable (4.0.4-4+b4)
- Hookable object support
- python-zope.i18n (4.1.0-1)
- Zope Internationalization Support
- python-zope.i18nmessageid (4.0.3-2+b4)
- Message Identifiers for internationalization
- python-zope.interface (4.3.2-1+b2)
- Interfaces for Python
- python-zope.interface-dbg (4.3.2-1+b2)
- Interfaces for Python (debug extension)
- python-zope.location (4.0.3-2)
- Tools for working with object locations
- python-zope.proxy (4.2.0-1.1)
- Generic transparent proxies for Python
- python-zope.publisher (3.12.6-2)
- Zope publisher publishes Python objects on the web
- python-zope.schema (4.4.2-3)
- zope.interface extension for defining data schemas
- python-zope.security (4.0.3-2+b3)
- Zope Security Framework
- python-zope.sendmail (3.7.4-2)
- Mail sending library for zope
- python-zope.sqlalchemy (0.6.1-2)
- Minimal Zope/SQLAlchemy transaction integration
- python-zope.testbrowser (4.0.2-1)
- Programmable browser for functional black-box tests
- python-zope.testing (4.6.2-1)
- Zope testing helpers
- python-zope.testrunner (4.4.9-1)
- Flexible test runner with layer support for Python
- python-zope.traversing (3.13.2-2)
- Resolving paths in the object hierarchy
- python-zopeinterface
- virtueel pakket geboden door python-zope.interface
- python-zopeinterface-dbg
- virtueel pakket geboden door python-zope.interface-dbg
- python-zsi (2.1~a1-4)
- Zolera Soap Infrastructure
- python-zunclient (2.1.0-2)
- OpenStack container management service API client - Python 2.7
- python-zunclient-doc (2.1.0-2)
- OpenStack container management service API client - doc
- python-zxcvbn (4.4.27-1)
- Realistic password strength estimator - Python2 module
- python-zzzeeksphinx (1.0.20-2)
- Zzzeek's Sphinx layout and utilities
- python2 (2.7.16-1)
- interactive high-level object-oriented language (Python2 version)
- python2-dbg (2.7.16-1)
- debug build of the Python2 interpreter (version 2.7)
- python2-dev (2.7.16-1)
- header files and a static library for Python2
- python2-doc (2.7.16-1)
- documentation for the high-level object-oriented language Python2
- python2-minimal (2.7.16-1)
- minimal subset of the Python2 language
- python2-pyro4 (4.75-1)
- distributed object middleware for Python (RPC), Python2 modules
- python2-selectors34 (1.2.0-1)
- backport of the selectors module from Python 3.4, Python2 module
- python2-serpent (1.27-1)
- simple serialization library based on ast.literal_eval(), Python2 modules
- python2.6-adns
- virtueel pakket geboden door python-adns
- python2.6-bobo
- virtueel pakket geboden door python-bobo
- python2.6-fdsend
- virtueel pakket geboden door python-fdsend
- python2.6-gtkglext1
- virtueel pakket geboden door python-gtkglext1
- python2.6-inotifyx
- virtueel pakket geboden door python-inotifyx
- python2.6-ldaptor
- virtueel pakket geboden door python-ldaptor
- python2.6-logsparser
- virtueel pakket geboden door python-logsparser
- python2.6-macaron
- virtueel pakket geboden door python-macaron
- python2.6-mechanize
- virtueel pakket geboden door python-mechanize
- python2.6-minimock
- virtueel pakket geboden door python-minimock
- python2.6-moinmoin
- virtueel pakket geboden door python-moinmoin
- python2.6-mrjob
- virtueel pakket geboden door python-mrjob
- python2.6-nwsclient
- virtueel pakket geboden door python-nwsclient
- python2.6-nwsserver
- virtueel pakket geboden door python-nwsserver
- python2.6-ooolib
- virtueel pakket geboden door python-ooolib
- python2.6-pyao
- virtueel pakket geboden door python-pyao
- python2.6-pydhcplib
- virtueel pakket geboden door python-pydhcplib
- python2.6-pymetar
- virtueel pakket geboden door python-pymetar
- python2.6-pyramid-beaker
- virtueel pakket geboden door python-pyramid-beaker
- python2.6-pyxmpp
- virtueel pakket geboden door python-pyxmpp
- python2.6-smartpm
- virtueel pakket geboden door python-smartpm
- python2.6-sourcecodegen
- virtueel pakket geboden door python-sourcecodegen
- python2.6-xappy
- virtueel pakket geboden door python-xappy
- python2.6-zdaemon
- virtueel pakket geboden door python-zdaemon
- python2.6-zope.publisher
- virtueel pakket geboden door python-zope.publisher
- python2.6-zope.testbrowser
- virtueel pakket geboden door python-zope.testbrowser
- python2.7 (2.7.16-2+deb10u4) [security]
- Interactive high-level object-oriented language (version 2.7)
- python2.7-actdiag
- virtueel pakket geboden door python-actdiag
- python2.7-activipy
- virtueel pakket geboden door python-activipy
- python2.7-adios
- virtueel pakket geboden door python-adios
- python2.7-adns
- virtueel pakket geboden door python-adns
- python2.7-alabaster
- virtueel pakket geboden door python-alabaster
- python2.7-apscheduler
- virtueel pakket geboden door python-apscheduler
- python2.7-apt
- virtueel pakket geboden door python-apt
- python2.7-apt-dbg
- virtueel pakket geboden door python-apt-dbg
- python2.7-argparse
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-arrow
- virtueel pakket geboden door python-arrow
- python2.7-ase
- virtueel pakket geboden door python-ase
- python2.7-audit
- virtueel pakket geboden door python-audit
- python2.7-avahi
- virtueel pakket geboden door python-avahi
- python2.7-avogadro
- virtueel pakket geboden door python-avogadro
- python2.7-ayatana-appindicator
- virtueel pakket geboden door python-ayatana-appindicator
- python2.7-backup2swift
- virtueel pakket geboden door python-backup2swift
- python2.7-bibtex
- virtueel pakket geboden door python-bibtex
- python2.7-biopython-sql
- virtueel pakket geboden door python-biopython-sql
- python2.7-bittorrent
- virtueel pakket geboden door python-bittorrent
- python2.7-blist
- virtueel pakket geboden door python-blist
- python2.7-blockdiag
- virtueel pakket geboden door python-blockdiag
- python2.7-bluez
- virtueel pakket geboden door python-bluez
- python2.7-bobo
- virtueel pakket geboden door python-bobo
- python2.7-boto
- virtueel pakket geboden door python-boto
- python2.7-bottle
- virtueel pakket geboden door python-bottle
- python2.7-box2d
- virtueel pakket geboden door python-box2d
- python2.7-breezy
- virtueel pakket geboden door python-breezy
- python2.7-breezy.tests
- virtueel pakket geboden door python-breezy.tests
- python2.7-bsddb3
- virtueel pakket geboden door python-bsddb3
- python2.7-bsddb3-dbg
- virtueel pakket geboden door python-bsddb3-dbg
- python2.7-bson
- virtueel pakket geboden door python-bson
- python2.7-bson-ext
- virtueel pakket geboden door python-bson-ext
- python2.7-btrees
- virtueel pakket geboden door python-btrees
- python2.7-bz2file
- virtueel pakket geboden door python-bz2file
- python2.7-bzrlib
- virtueel pakket geboden door python-bzrlib
- python2.7-bzrlib-dbg
- virtueel pakket geboden door python-bzrlib-dbg
- python2.7-bzrlib.tests
- virtueel pakket geboden door python-bzrlib.tests
- python2.7-cairo
- virtueel pakket geboden door python-cairo
- python2.7-cairo-dbg
- virtueel pakket geboden door python-cairo-dbg
- python2.7-calabash
- virtueel pakket geboden door python-calabash
- python2.7-canonicaljson
- virtueel pakket geboden door python-canonicaljson
- python2.7-carquinyol
- virtueel pakket geboden door python-carquinyol
- python2.7-catcher
- virtueel pakket geboden door python-catcher
- python2.7-catkin-lint
- virtueel pakket geboden door python-catkin-lint
- python2.7-cclib
- virtueel pakket geboden door python-cclib
- python2.7-cddb
- virtueel pakket geboden door python-cddb
- python2.7-celementtree
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-chameleon
- virtueel pakket geboden door python-chameleon
- python2.7-chemfp
- virtueel pakket geboden door python-chemfp
- python2.7-cherrypy
- virtueel pakket geboden door python-cherrypy
- python2.7-cherrypy3
- virtueel pakket geboden door python-cherrypy3
- python2.7-cinderclient
- virtueel pakket geboden door python-cinderclient
- python2.7-cinfony
- virtueel pakket geboden door python-cinfony
- python2.7-cjkcodecs
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-clint
- virtueel pakket geboden door python-clint
- python2.7-clips
- virtueel pakket geboden door python-clips
- python2.7-cmd2
- virtueel pakket geboden door python-cmd2
- python2.7-cmor
- virtueel pakket geboden door python-cmor
- python2.7-comedilib
- virtueel pakket geboden door python-comedilib
- python2.7-confget
- virtueel pakket geboden door python-confget
- python2.7-construct
- virtueel pakket geboden door python-construct
- python2.7-cookies
- virtueel pakket geboden door python-cookies
- python2.7-corepywrap
- virtueel pakket geboden door python-corepywrap
- python2.7-cracklib
- virtueel pakket geboden door python-cracklib
- python2.7-cram
- virtueel pakket geboden door python-cram
- python2.7-crypto
- virtueel pakket geboden door python-crypto
- python2.7-crypto-dbg
- virtueel pakket geboden door python-crypto-dbg
- python2.7-csound
- virtueel pakket geboden door python-csound
- python2.7-ctypes
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-cups
- virtueel pakket geboden door python-cups
- python2.7-cvxopt
- virtueel pakket geboden door python-cvxopt
- python2.7-daap
- virtueel pakket geboden door python-daap
- python2.7-datalad
- virtueel pakket geboden door python-datalad
- python2.7-dbf
- virtueel pakket geboden door python-dbf
- python2.7-dbg (2.7.16-2+deb10u4) [security]
- Debug Build of the Python Interpreter (version 2.7)
- python2.7-dbus
- virtueel pakket geboden door python-dbus
- python2.7-debianbts
- virtueel pakket geboden door python-debianbts
- python2.7-deltarpm
- virtueel pakket geboden door python-deltarpm
- python2.7-demjson
- virtueel pakket geboden door python-demjson
- python2.7-derpconf
- virtueel pakket geboden door python-derpconf
- python2.7-dev (2.7.16-2+deb10u4) [security]
- Header files and a static library for Python (v2.7)
- python2.7-dexml
- virtueel pakket geboden door python-dexml
- python2.7-dhm
- virtueel pakket geboden door python-dhm
- python2.7-dictclient
- virtueel pakket geboden door python-dictclient
- python2.7-dictdlib
- virtueel pakket geboden door python-dictdlib
- python2.7-django-guardian
- virtueel pakket geboden door python-django-guardian
- python2.7-django-ranged-response
- virtueel pakket geboden door python-django-ranged-response
- python2.7-django-restricted-resource
- virtueel pakket geboden door python-django-restricted-resource
- python2.7-django-shortuuidfield
- virtueel pakket geboden door python-django-shortuuidfield
- python2.7-django-stronghold
- virtueel pakket geboden door python-django-stronghold
- python2.7-djangorestframework
- virtueel pakket geboden door python-djangorestframework
- python2.7-doc (2.7.16-2+deb10u4) [security]
- Documentation for the high-level object-oriented language Python (v2.7)
- python2.7-dpm
- virtueel pakket geboden door python-dpm
- python2.7-dsv
- virtueel pakket geboden door python-dsv
- python2.7-dumbnet
- virtueel pakket geboden door python-dumbnet
- python2.7-editorconfig
- virtueel pakket geboden door python-editorconfig
- python2.7-elementtidy
- virtueel pakket geboden door python-elementtidy
- python2.7-elementtree
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-elib.intl
- virtueel pakket geboden door python-elib.intl
- python2.7-empy
- virtueel pakket geboden door python-empy
- python2.7-exactimage
- virtueel pakket geboden door python-exactimage
- python2.7-examples (2.7.16-2+deb10u4) [security]
- Examples for the Python language (v2.7)
- python2.7-exconsole
- virtueel pakket geboden door python-exconsole
- python2.7-exif
- virtueel pakket geboden door python-exif
- python2.7-eyed3
- virtueel pakket geboden door python-eyed3
- python2.7-fakesleep
- virtueel pakket geboden door python-fakesleep
- python2.7-fastimport
- virtueel pakket geboden door python-fastimport
- python2.7-faulthandler
- virtueel pakket geboden door python-faulthandler
- python2.7-fdsend
- virtueel pakket geboden door python-fdsend
- python2.7-feature-check
- virtueel pakket geboden door python-feature-check
- python2.7-flask-bcrypt
- virtueel pakket geboden door python-flask-bcrypt
- python2.7-flask-httpauth
- virtueel pakket geboden door python-flask-httpauth
- python2.7-flaskext.wtf
- virtueel pakket geboden door python-flaskext.wtf
- python2.7-fluids
- virtueel pakket geboden door python-fluids
- python2.7-fontconfig
- virtueel pakket geboden door python-fontconfig
- python2.7-forgethtml
- virtueel pakket geboden door python-forgethtml
- python2.7-fparser
- virtueel pakket geboden door python-fparser
- python2.7-freenect
- virtueel pakket geboden door python-freenect
- python2.7-freezegun
- virtueel pakket geboden door python-freezegun
- python2.7-fswrap
- virtueel pakket geboden door python-fswrap
- python2.7-ftdi1
- virtueel pakket geboden door python-ftdi1
- python2.7-fuse
- virtueel pakket geboden door python-fuse
- python2.7-fuzzywuzzy
- virtueel pakket geboden door python-fuzzywuzzy
- python2.7-gadfly
- virtueel pakket geboden door python-gadfly
- python2.7-gamin
- virtueel pakket geboden door python-gamin
- python2.7-gasp
- virtueel pakket geboden door python-gasp
- python2.7-gdal
- virtueel pakket geboden door python-gdal
- python2.7-gdbm
- virtueel pakket geboden door python-gdbm
- python2.7-gdchart2
- virtueel pakket geboden door python-gdchart2
- python2.7-getfem++
- virtueel pakket geboden door python-getfem++
- python2.7-gevent
- virtueel pakket geboden door python-gevent
- python2.7-gevent-dbg
- virtueel pakket geboden door python-gevent-dbg
- python2.7-gi
- virtueel pakket geboden door python-gi
- python2.7-gitdb
- virtueel pakket geboden door python-gitdb
- python2.7-gmpy
- virtueel pakket geboden door python-gmpy
- python2.7-gmpy2
- virtueel pakket geboden door python-gmpy2
- python2.7-gnuplotlib
- virtueel pakket geboden door python-gnuplotlib
- python2.7-gnutls
- virtueel pakket geboden door python-gnutls
- python2.7-gobject
- virtueel pakket geboden door python-gobject-2
- python2.7-gobject-2
- virtueel pakket geboden door python-gobject-2
- python2.7-googleapi
- virtueel pakket geboden door python-googleapi
- python2.7-gpg
- virtueel pakket geboden door python-gpg
- python2.7-gpod
- virtueel pakket geboden door python-gpod
- python2.7-gps
- virtueel pakket geboden door python-gps
- python2.7-graypy
- virtueel pakket geboden door python-graypy
- python2.7-greenlet
- virtueel pakket geboden door python-greenlet
- python2.7-greenlet-dbg
- virtueel pakket geboden door python-greenlet-dbg
- python2.7-grib
- virtueel pakket geboden door python-grib
- python2.7-gribapi
- virtueel pakket geboden door python-gribapi
- python2.7-gridfs
- virtueel pakket geboden door python-gridfs
- python2.7-grpcio
- virtueel pakket geboden door python-grpcio
- python2.7-gssapi
- virtueel pakket geboden door python-gssapi
- python2.7-gtkglext1
- virtueel pakket geboden door python-gtkglext1
- python2.7-guidata
- virtueel pakket geboden door python-guidata
- python2.7-gv
- virtueel pakket geboden door python-gv
- python2.7-gvgen
- virtueel pakket geboden door python-gvgen
- python2.7-gwebsockets
- virtueel pakket geboden door python-gwebsockets
- python2.7-gyoto
- virtueel pakket geboden door python-gyoto
- python2.7-hdate
- virtueel pakket geboden door python-hdate
- python2.7-hid
- virtueel pakket geboden door python-hid
- python2.7-hl7
- virtueel pakket geboden door python-hl7
- python2.7-http-parser
- virtueel pakket geboden door python-http-parser
- python2.7-hunspell
- virtueel pakket geboden door python-hunspell
- python2.7-iapws
- virtueel pakket geboden door python-iapws
- python2.7-id3
- virtueel pakket geboden door python-id3
- python2.7-ieee1284
- virtueel pakket geboden door python-ieee1284
- python2.7-ifeffit
- virtueel pakket geboden door python-ifeffit
- python2.7-igraph
- virtueel pakket geboden door python-igraph
- python2.7-imobiledevice
- virtueel pakket geboden door python-imobiledevice
- python2.7-imposm
- virtueel pakket geboden door python-imposm
- python2.7-incremental
- virtueel pakket geboden door python-incremental
- python2.7-indexed-gzip
- virtueel pakket geboden door python-indexed-gzip
- python2.7-iniparse
- virtueel pakket geboden door python-iniparse
- python2.7-inotifyx
- virtueel pakket geboden door python-inotifyx
- python2.7-input-pad
- virtueel pakket geboden door python-input-pad
- python2.7-instagram
- virtueel pakket geboden door python-instagram
- python2.7-ipcalc
- virtueel pakket geboden door python-ipcalc
- python2.7-iptcdata
- virtueel pakket geboden door python-iptcdata
- python2.7-irc
- virtueel pakket geboden door python-irc
- python2.7-iso3166
- virtueel pakket geboden door python-iso3166
- python2.7-iso8601
- virtueel pakket geboden door python-iso8601
- python2.7-itango
- virtueel pakket geboden door python-itango
- python2.7-jabber
- virtueel pakket geboden door python-jabber
- python2.7-jarabe
- virtueel pakket geboden door python-jarabe
- python2.7-jenkinsapi
- virtueel pakket geboden door python-jenkinsapi
- python2.7-jpy
- virtueel pakket geboden door python-jpy
- python2.7-jpype
- virtueel pakket geboden door python-jpype
- python2.7-jsonpickle
- virtueel pakket geboden door python-jsonpickle
- python2.7-jsonpipe
- virtueel pakket geboden door python-jsonpipe
- python2.7-jsonrpc2
- virtueel pakket geboden door python-jsonrpc2
- python2.7-kajiki
- virtueel pakket geboden door python-kajiki
- python2.7-kdtree
- virtueel pakket geboden door python-kdtree
- python2.7-kdtree-dbg
- virtueel pakket geboden door python-kdtree-dbg
- python2.7-kerberos
- virtueel pakket geboden door python-kerberos
- python2.7-keybinder
- virtueel pakket geboden door python-keybinder
- python2.7-kjbuckets
- virtueel pakket geboden door python-kjbuckets
- python2.7-kml
- virtueel pakket geboden door python-kml
- python2.7-krbv
- virtueel pakket geboden door python-krbv
- python2.7-landslide
- virtueel pakket geboden door python-landslide
- python2.7-lasso
- virtueel pakket geboden door python-lasso
- python2.7-ldap
- virtueel pakket geboden door python-ldap
- python2.7-ldap-dbg
- virtueel pakket geboden door python-ldap-dbg
- python2.7-ldaptor
- virtueel pakket geboden door python-ldaptor
- python2.7-ldb
- virtueel pakket geboden door python-ldb
- python2.7-ldns
- virtueel pakket geboden door python-ldns
- python2.7-ldtp
- virtueel pakket geboden door python-ldtp
- python2.7-leveldb
- virtueel pakket geboden door python-leveldb
- python2.7-lfc
- virtueel pakket geboden door python-lfc
- python2.7-libavg
- virtueel pakket geboden door python-libavg
- python2.7-libconcord
- virtueel pakket geboden door python-libconcord
- python2.7-libguess
- virtueel pakket geboden door python-libguess
- python2.7-libhamlib2
- virtueel pakket geboden door python-libhamlib2
- python2.7-liblcm
- virtueel pakket geboden door python-liblcm
- python2.7-libpcap
- virtueel pakket geboden door python-libpcap
- python2.7-libpfm4
- virtueel pakket geboden door python-libpfm4
- python2.7-librdf
- virtueel pakket geboden door python-librdf
- python2.7-librtmp
- virtueel pakket geboden door python-librtmp
- python2.7-libssh2
- virtueel pakket geboden door python-libssh2
- python2.7-libsvm
- virtueel pakket geboden door python-libsvm
- python2.7-libtiff
- virtueel pakket geboden door python-libtiff
- python2.7-libtorrent
- virtueel pakket geboden door python-libtorrent
- python2.7-libtorrent-dbg
- virtueel pakket geboden door python-libtorrent-dbg
- python2.7-libwfut-0.2
- virtueel pakket geboden door python-libwfut-0.2
- python2.7-libxslt1
- virtueel pakket geboden door python-libxslt1
- python2.7-libxslt1-dbg
- virtueel pakket geboden door python-libxslt1-dbg
- python2.7-lightblue
- virtueel pakket geboden door python-lightblue
- python2.7-llfuse
- virtueel pakket geboden door python-llfuse
- python2.7-logging-tree
- virtueel pakket geboden door python-logging-tree
- python2.7-logilab-common
- virtueel pakket geboden door python-logilab-common
- python2.7-logsparser
- virtueel pakket geboden door python-logsparser
- python2.7-louis
- virtueel pakket geboden door python-louis
- python2.7-lxml
- virtueel pakket geboden door python-lxml
- python2.7-lzma
- virtueel pakket geboden door python-lzma
- python2.7-m2crypto
- virtueel pakket geboden door python-m2crypto
- python2.7-macaron
- virtueel pakket geboden door python-macaron
- python2.7-magic
- virtueel pakket geboden door python-magic
- python2.7-mailutils
- virtueel pakket geboden door python-mailutils
- python2.7-mapnik
- virtueel pakket geboden door python-mapnik
- python2.7-mapscript
- virtueel pakket geboden door python-mapscript
- python2.7-marisa
- virtueel pakket geboden door python-marisa
- python2.7-markupsafe
- virtueel pakket geboden door python-markupsafe
- python2.7-mecab
- virtueel pakket geboden door python-mecab
- python2.7-mechanize
- virtueel pakket geboden door python-mechanize
- python2.7-meliae
- virtueel pakket geboden door python-meliae
- python2.7-meliae-dbg
- virtueel pakket geboden door python-meliae-dbg
- python2.7-mididings
- virtueel pakket geboden door python-mididings
- python2.7-minimal (2.7.16-2+deb10u4) [security]
- Minimal subset of the Python language (version 2.7)
- python2.7-minimock
- virtueel pakket geboden door python-minimock
- python2.7-mlt
- virtueel pakket geboden door python-mlt
- python2.7-mne
- virtueel pakket geboden door python-mne
- python2.7-mod-pywebsocket
- virtueel pakket geboden door python-mod-pywebsocket
- python2.7-moinmoin
- virtueel pakket geboden door python-moinmoin
- python2.7-mongoengine
- virtueel pakket geboden door python-mongoengine
- python2.7-mox
- virtueel pakket geboden door python-mox
- python2.7-mrjob
- virtueel pakket geboden door python-mrjob
- python2.7-mygpoclient
- virtueel pakket geboden door python-mygpoclient
- python2.7-mysqldb
- virtueel pakket geboden door python-mysqldb
- python2.7-nemu
- virtueel pakket geboden door python-nemu
- python2.7-neovim
- virtueel pakket geboden door python-neovim
- python2.7-netifaces
- virtueel pakket geboden door python-netifaces
- python2.7-netsnmp
- virtueel pakket geboden door python-netsnmp
- python2.7-nevow
- virtueel pakket geboden door python-nevow
- python2.7-newt
- virtueel pakket geboden door python-newt
- python2.7-nfs-ganesha
- virtueel pakket geboden door python-nfs-ganesha
- python2.7-nifti
- virtueel pakket geboden door python-nifti
- python2.7-nipy
- virtueel pakket geboden door python-nipy
- python2.7-nipy-lib
- virtueel pakket geboden door python-nipy-lib
- python2.7-nipy-lib-dbg
- virtueel pakket geboden door python-nipy-lib-dbg
- python2.7-nipype
- virtueel pakket geboden door python-nipype
- python2.7-nlopt
- virtueel pakket geboden door python-nlopt
- python2.7-nmap
- virtueel pakket geboden door python-nmap
- python2.7-notify
- virtueel pakket geboden door python-notify
- python2.7-nss
- virtueel pakket geboden door python-nss
- python2.7-numpy
- virtueel pakket geboden door python-numpy
- python2.7-numpysane
- virtueel pakket geboden door python-numpysane
- python2.7-nwdiag
- virtueel pakket geboden door python-nwdiag
- python2.7-nwsclient
- virtueel pakket geboden door python-nwsclient
- python2.7-nwsserver
- virtueel pakket geboden door python-nwsserver
- python2.7-oauth
- virtueel pakket geboden door python-oauth
- python2.7-obexftp
- virtueel pakket geboden door python-obexftp
- python2.7-odoorpc
- virtueel pakket geboden door python-odoorpc
- python2.7-ooolib
- virtueel pakket geboden door python-ooolib
- python2.7-opcua
- virtueel pakket geboden door python-opcua
- python2.7-openbabel
- virtueel pakket geboden door python-openbabel
- python2.7-openopt
- virtueel pakket geboden door python-openopt
- python2.7-openscap
- virtueel pakket geboden door python-openscap
- python2.7-openslide
- virtueel pakket geboden door python-openslide
- python2.7-openvdb
- virtueel pakket geboden door python-openvdb
- python2.7-optcomplete
- virtueel pakket geboden door python-optcomplete
- python2.7-ow
- virtueel pakket geboden door python-ow
- python2.7-ownet
- virtueel pakket geboden door python-ownet
- python2.7-pam
- virtueel pakket geboden door python-pam
- python2.7-pandas
- virtueel pakket geboden door python-pandas
- python2.7-pandas-lib
- virtueel pakket geboden door python-pandas-lib
- python2.7-paramiko
- virtueel pakket geboden door python-paramiko
- python2.7-parted
- virtueel pakket geboden door python-parted
- python2.7-passfd
- virtueel pakket geboden door python-passfd
- python2.7-pathlib
- virtueel pakket geboden door python-pathlib
- python2.7-pcapy
- virtueel pakket geboden door python-pcapy
- python2.7-pebl
- virtueel pakket geboden door python-pebl
- python2.7-petsc4py
- virtueel pakket geboden door python-petsc4py
- python2.7-pgmagick
- virtueel pakket geboden door python-pgmagick
- python2.7-phonenumbers
- virtueel pakket geboden door python-phonenumbers
- python2.7-pil
- virtueel pakket geboden door python-pil
- python2.7-pil.imagetk
- virtueel pakket geboden door python-pil.imagetk
- python2.7-pisock
- virtueel pakket geboden door python-pisock
- python2.7-pivy
- virtueel pakket geboden door python-pivy
- python2.7-ply
- virtueel pakket geboden door python-ply
- python2.7-pmock
- virtueel pakket geboden door python-pmock
- python2.7-potr
- virtueel pakket geboden door python-potr
- python2.7-power
- virtueel pakket geboden door python-power
- python2.7-pqueue
- virtueel pakket geboden door python-pqueue
- python2.7-prelude
- virtueel pakket geboden door python-prelude
- python2.7-preludedb
- virtueel pakket geboden door python-preludedb
- python2.7-presage
- virtueel pakket geboden door python-presage
- python2.7-profiler
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-protobuf
- virtueel pakket geboden door python-protobuf
- python2.7-prowlpy
- virtueel pakket geboden door python-prowlpy
- python2.7-ptrace
- virtueel pakket geboden door python-ptrace
- python2.7-pudb
- virtueel pakket geboden door python-pudb
- python2.7-pyalsa
- virtueel pakket geboden door python-pyalsa
- python2.7-pyao
- virtueel pakket geboden door python-pyao
- python2.7-pyaudio
- virtueel pakket geboden door python-pyaudio
- python2.7-pybiggles
- virtueel pakket geboden door python-pybiggles
- python2.7-pycassa
- virtueel pakket geboden door python-pycassa
- python2.7-pychart
- virtueel pakket geboden door python-pychart
- python2.7-pycodcif
- virtueel pakket geboden door python-pycodcif
- python2.7-pycryptopp
- virtueel pakket geboden door python-pycryptopp
- python2.7-pydenticon
- virtueel pakket geboden door python-pydenticon
- python2.7-pydhcplib
- virtueel pakket geboden door python-pydhcplib
- python2.7-pyepl
- virtueel pakket geboden door python-pyepl
- python2.7-pyexiv2
- virtueel pakket geboden door python-pyexiv2
- python2.7-pyftpdlib
- virtueel pakket geboden door python-pyftpdlib
- python2.7-pyglet
- virtueel pakket geboden door python-pyglet
- python2.7-pygresql
- virtueel pakket geboden door python-pygresql
- python2.7-pyhsm
- virtueel pakket geboden door python-pyhsm
- python2.7-pyicu
- virtueel pakket geboden door python-pyicu
- python2.7-pyicu-dbg
- virtueel pakket geboden door python-pyicu-dbg
- python2.7-pyinotify
- virtueel pakket geboden door python-pyinotify
- python2.7-pyisomd5sum
- virtueel pakket geboden door python-pyisomd5sum
- python2.7-pyjavaproperties
- virtueel pakket geboden door python-pyjavaproperties
- python2.7-pykaraoke
- virtueel pakket geboden door python-pykaraoke
- python2.7-pylibacl
- virtueel pakket geboden door python-pylibacl
- python2.7-pylibacl-dbg
- virtueel pakket geboden door python-pylibacl-dbg
- python2.7-pymad
- virtueel pakket geboden door python-pymad
- python2.7-pymad-dbg
- virtueel pakket geboden door python-pymad-dbg
- python2.7-pymetar
- virtueel pakket geboden door python-pymetar
- python2.7-pymodbus
- virtueel pakket geboden door python-pymodbus
- python2.7-pymongo
- virtueel pakket geboden door python-pymongo
- python2.7-pymongo-ext
- virtueel pakket geboden door python-pymongo-ext
- python2.7-pyodbc
- virtueel pakket geboden door python-pyodbc
- python2.7-pyode
- virtueel pakket geboden door python-pyode
- python2.7-pyosd
- virtueel pakket geboden door python-pyosd
- python2.7-pyosmium
- virtueel pakket geboden door python-pyosmium
- python2.7-pyparsing
- virtueel pakket geboden door python-pyparsing
- python2.7-pypcap
- virtueel pakket geboden door python-pypcap
- python2.7-pypdf2
- virtueel pakket geboden door python-pypdf2
- python2.7-pyproj
- virtueel pakket geboden door python-pyproj
- python2.7-pyquery
- virtueel pakket geboden door python-pyquery
- python2.7-pyramid
- virtueel pakket geboden door python-pyramid
- python2.7-pyramid-beaker
- virtueel pakket geboden door python-pyramid-beaker
- python2.7-pyrex
- virtueel pakket geboden door python-pyrex
- python2.7-pyroma
- virtueel pakket geboden door python-pyroma
- python2.7-pyroute2
- virtueel pakket geboden door python-pyroute2
- virtueel pakket geboden door python-pyrss2gen
- python2.7-pysam
- virtueel pakket geboden door python-pysam
- python2.7-pyshp
- virtueel pakket geboden door python-pyshp
- python2.7-pyspatialite
- virtueel pakket geboden door python-pyspatialite
- python2.7-pysqlite1.1
- virtueel pakket geboden door python-pysqlite1.1
- python2.7-pysqlite2
- virtueel pakket geboden door python-pysqlite2
- python2.7-pyst
- virtueel pakket geboden door python-pyst
- python2.7-pystache
- virtueel pakket geboden door python-pystache
- python2.7-pytc
- virtueel pakket geboden door python-pytc
- python2.7-pytc-dbg
- virtueel pakket geboden door python-pytc-dbg
- python2.7-pyte
- virtueel pakket geboden door python-pyte
- python2.7-pytest-cov
- virtueel pakket geboden door python-pytest-cov
- python2.7-pythoncard
- virtueel pakket geboden door python-pythoncard
- python2.7-pyvtk
- virtueel pakket geboden door python-pyvtk
- python2.7-pyx
- virtueel pakket geboden door python-pyx
- python2.7-pyxattr
- virtueel pakket geboden door python-pyxattr
- python2.7-pyxattr-dbg
- virtueel pakket geboden door python-pyxattr-dbg
- python2.7-pyxmpp
- virtueel pakket geboden door python-pyxmpp
- python2.7-pyxnat
- virtueel pakket geboden door python-pyxnat
- python2.7-qgis
- virtueel pakket geboden door python-qgis
- virtueel pakket geboden door python-qpid-extras-qmf
- python2.7-qpid-proton
- virtueel pakket geboden door python-qpid-proton
- python2.7-qrencode
- virtueel pakket geboden door python-qrencode
- python2.7-quark-sphinx-theme
- virtueel pakket geboden door python-quark-sphinx-theme
- python2.7-qwt
- virtueel pakket geboden door python-qwt
- python2.7-rabbyt
- virtueel pakket geboden door python-rabbyt
- python2.7-radix
- virtueel pakket geboden door python-radix
- python2.7-rdflib
- virtueel pakket geboden door python-rdflib
- python2.7-rdkit
- virtueel pakket geboden door python-rdkit
- python2.7-reconfigure
- virtueel pakket geboden door python-reconfigure
- python2.7-redminelib
- virtueel pakket geboden door python-redminelib
- python2.7-remctl
- virtueel pakket geboden door python-remctl
- python2.7-renderpm
- virtueel pakket geboden door python-renderpm
- python2.7-reportlab-accel
- virtueel pakket geboden door python-reportlab-accel
- python2.7-restless
- virtueel pakket geboden door python-restless
- python2.7-rows
- virtueel pakket geboden door python-rows
- python2.7-rpm
- virtueel pakket geboden door python-rpm
- python2.7-rpy2
- virtueel pakket geboden door python-rpy2
- python2.7-rrdtool
- virtueel pakket geboden door python-rrdtool
- python2.7-rtree
- virtueel pakket geboden door python-rtree
- python2.7-rtslib-fb
- virtueel pakket geboden door python-rtslib-fb
- python2.7-sabyenc
- virtueel pakket geboden door python-sabyenc
- python2.7-samba
- virtueel pakket geboden door python-samba
- python2.7-sane
- virtueel pakket geboden door python-sane
- python2.7-sanlock
- virtueel pakket geboden door python-sanlock
- python2.7-sardana
- virtueel pakket geboden door python-sardana
- python2.7-scales
- virtueel pakket geboden door python-scales
- python2.7-schedule
- virtueel pakket geboden door python-schedule
- python2.7-scipy
- virtueel pakket geboden door python-scipy
- python2.7-selinux
- virtueel pakket geboden door python-selinux
- python2.7-sendfile-dbg
- virtueel pakket geboden door python-sendfile-dbg
- python2.7-seqdiag
- virtueel pakket geboden door python-seqdiag
- python2.7-setuptools-git
- virtueel pakket geboden door python-setuptools-git
- python2.7-sfml
- virtueel pakket geboden door python-sfml
- python2.7-shogun
- virtueel pakket geboden door python-shogun
- python2.7-shortuuid
- virtueel pakket geboden door python-shortuuid
- python2.7-sigmask
- virtueel pakket geboden door python-sigmask
- python2.7-signedjson
- virtueel pakket geboden door python-signedjson
- python2.7-silo
- virtueel pakket geboden door python-silo
- python2.7-simplejson
- virtueel pakket geboden door python-simplejson
- python2.7-skimage
- virtueel pakket geboden door python-skimage
- python2.7-skimage-lib
- virtueel pakket geboden door python-skimage-lib
- python2.7-sklearn
- virtueel pakket geboden door python-sklearn
- python2.7-sklearn-lib
- virtueel pakket geboden door python-sklearn-lib
- python2.7-sleekxmpp
- virtueel pakket geboden door python-sleekxmpp
- python2.7-slepc4py
- virtueel pakket geboden door python-slepc4py
- python2.7-smartpm
- virtueel pakket geboden door python-smartpm
- python2.7-smbc
- virtueel pakket geboden door python-smbc
- python2.7-smbpasswd
- virtueel pakket geboden door python-smbpasswd
- python2.7-smbus
- virtueel pakket geboden door python-smbus
- python2.7-smmap
- virtueel pakket geboden door python-smmap
- python2.7-socketpool
- virtueel pakket geboden door python-socketpool
- python2.7-sourcecodegen
- virtueel pakket geboden door python-sourcecodegen
- python2.7-sparse
- virtueel pakket geboden door python-sparse
- python2.7-sphere
- virtueel pakket geboden door python-sphere
- python2.7-sphinxcontrib.actdiag
- virtueel pakket geboden door python-sphinxcontrib.actdiag
- python2.7-sphinxcontrib.blockdiag
- virtueel pakket geboden door python-sphinxcontrib.blockdiag
- python2.7-sphinxcontrib.nwdiag
- virtueel pakket geboden door python-sphinxcontrib.nwdiag
- python2.7-sphinxcontrib.seqdiag
- virtueel pakket geboden door python-sphinxcontrib.seqdiag
- python2.7-spyder
- virtueel pakket geboden door python-spyder
- python2.7-sqlalchemy-ext
- virtueel pakket geboden door python-sqlalchemy-ext
- python2.7-sqlite
- virtueel pakket geboden door python-sqlite
- python2.7-sqlitecachec
- virtueel pakket geboden door python-sqlitecachec
- python2.7-squaremap
- virtueel pakket geboden door python-squaremap
- python2.7-sss
- virtueel pakket geboden door python-sss
- python2.7-statsmodels
- virtueel pakket geboden door python-statsmodels
- python2.7-stetl
- virtueel pakket geboden door python-stetl
- python2.7-stfio
- virtueel pakket geboden door python-stfio
- python2.7-stfl
- virtueel pakket geboden door python-stfl
- python2.7-subversion
- virtueel pakket geboden door python-subversion
- python2.7-subvertpy
- virtueel pakket geboden door python-subvertpy
- python2.7-sugar
- virtueel pakket geboden door python-sugar
- python2.7-sugar3
- virtueel pakket geboden door python-sugar3
- python2.7-swap
- virtueel pakket geboden door python-swap
- python2.7-swiftsc
- virtueel pakket geboden door python-swiftsc
- python2.7-tagpy
- virtueel pakket geboden door python-tagpy
- python2.7-talloc
- virtueel pakket geboden door python-talloc
- python2.7-tango
- virtueel pakket geboden door python-tango
- python2.7-taurus
- virtueel pakket geboden door python-taurus
- python2.7-tdb
- virtueel pakket geboden door python-tdb
- python2.7-telepathy
- virtueel pakket geboden door python-telepathy
- python2.7-templayer
- virtueel pakket geboden door python-templayer
- python2.7-testrepository
- virtueel pakket geboden door python-testrepository
- python2.7-testscenarios
- virtueel pakket geboden door python-testscenarios
- python2.7-thrift
- virtueel pakket geboden door python-thrift
- python2.7-tk
- virtueel pakket geboden door python-tk
- python2.7-tksnack
- virtueel pakket geboden door python-tksnack
- python2.7-tomahawk
- virtueel pakket geboden door python-tomahawk
- python2.7-tracer
- virtueel pakket geboden door python-tracer
- python2.7-transaction
- virtueel pakket geboden door python-transaction
- python2.7-translationstring
- virtueel pakket geboden door python-translationstring
- python2.7-turbojson
- virtueel pakket geboden door python-turbojson
- python2.7-twisted
- virtueel pakket geboden door python-twisted
- python2.7-twisted-bin
- virtueel pakket geboden door python-twisted-bin
- python2.7-twisted-core
- virtueel pakket geboden door python-twisted-core
- python2.7-txzookeeper
- virtueel pakket geboden door python-txzookeeper
- python2.7-u2flib-server
- virtueel pakket geboden door python-u2flib-server
- python2.7-ulmo
- virtueel pakket geboden door python-ulmo
- python2.7-uncertainties
- virtueel pakket geboden door python-uncertainties
- python2.7-unpaddedbase64
- virtueel pakket geboden door python-unpaddedbase64
- python2.7-unshare
- virtueel pakket geboden door python-unshare
- python2.7-urlgrabber
- virtueel pakket geboden door python-urlgrabber
- python2.7-urlobject
- virtueel pakket geboden door python-urlobject
- python2.7-urwid
- virtueel pakket geboden door python-urwid
- python2.7-usagestats
- virtueel pakket geboden door python-usagestats
- python2.7-utidylib
- virtueel pakket geboden door python-utidylib
- python2.7-utmp
- virtueel pakket geboden door python-utmp
- python2.7-venusian
- virtueel pakket geboden door python-venusian
- python2.7-vipscc
- virtueel pakket geboden door python-vipscc
- python2.7-vnlog
- virtueel pakket geboden door python-vnlog
- python2.7-waitress
- virtueel pakket geboden door python-waitress
- python2.7-webcolors
- virtueel pakket geboden door python-webcolors
- python2.7-wheezy.template
- virtueel pakket geboden door python-wheezy.template
- python2.7-wiredtiger
- virtueel pakket geboden door python-wiredtiger
- python2.7-wsgiref
- virtueel pakket geboden door libpython2.7-stdlib
- python2.7-wxgtk-media3.0
- virtueel pakket geboden door python-wxgtk-media3.0
- python2.7-wxgtk-media4.0
- virtueel pakket geboden door python-wxgtk-media4.0
- python2.7-wxgtk-webview3.0
- virtueel pakket geboden door python-wxgtk-webview3.0
- python2.7-wxgtk-webview4.0
- virtueel pakket geboden door python-wxgtk-webview4.0
- python2.7-wxgtk3.0
- virtueel pakket geboden door python-wxgtk3.0
- python2.7-wxgtk4.0
- virtueel pakket geboden door python-wxgtk4.0
- python2.7-xapian
- virtueel pakket geboden door python-xapian
- python2.7-xappy
- virtueel pakket geboden door python-xappy
- python2.7-xattr
- virtueel pakket geboden door python-xattr
- python2.7-xdo
- virtueel pakket geboden door python-xdo
- python2.7-xhtml2pdf
- virtueel pakket geboden door python-xhtml2pdf
- python2.7-xlib
- virtueel pakket geboden door python-xlib
- python2.7-xmmsclient
- virtueel pakket geboden door python-xmmsclient
- python2.7-xopen
- virtueel pakket geboden door python-xopen
- python2.7-yappy
- virtueel pakket geboden door python-yappy
- python2.7-yenc
- virtueel pakket geboden door python-yenc
- python2.7-yubico
- virtueel pakket geboden door python-yubico
- python2.7-zc.buildout
- virtueel pakket geboden door python-zc.buildout
- python2.7-zc.customdoctests
- virtueel pakket geboden door python-zc.customdoctests
- python2.7-zconfig
- virtueel pakket geboden door python-zconfig
- python2.7-zdaemon
- virtueel pakket geboden door python-zdaemon
- python2.7-zinnia
- virtueel pakket geboden door python-zinnia
- python2.7-zodbpickle
- virtueel pakket geboden door python-zodbpickle
- python2.7-zope.interface
- virtueel pakket geboden door python-zope.interface
- python2.7-zope.publisher
- virtueel pakket geboden door python-zope.publisher
- python2.7-zope.testbrowser
- virtueel pakket geboden door python-zope.testbrowser
- python2.7-zope.testrunner
- virtueel pakket geboden door python-zope.testrunner
- python3 (3.7.3-1)
- interactive high-level object-oriented language (default python3 version)
- python3-aalib (0.3.2-3)
- Python 3 interface to AAlib, an ASCII art library
- python3-acme (0.31.0-2)
- ACME protocol library for Python 3
- python3-acora (2.2-1.1)
- fast multi-keyword text search engine (Python 3)
- python3-acoustid (1.1.5-1)
- Acoustid interface implementation and Chromaprint bindings (Python 3)
- python3-actdiag (0.5.4+dfsg-1)
- generate activity-diagram image file from spec-text file (Python3)
- python3-actionlib (1.11.15-1+deb10u1)
- Robot OS actionlib library - Python 3 interface
- python3-actionlib-msgs (1.12.7-1)
- Messages relating to Robot OS actionlib, Python 3 interface
- python3-activipy (0.1-6)
- implementation of ActivityStreams 2.0 for Python 3
- python3-adal (1.2.1-1)
- Azure Active Directory Authentication Library for Python 3.x
- python3-adios (1.13.1-16)
- Python3 interface to the ADIOS IO system
- python3-admesh (0.98.9-1)
- Python bindings for the ADMesh (Python 3)
- python3-aeidon (1.5-1)
- reading, writing and manipulating text-based subtitle files
- python3-affine (2.2.2-1)
- Python 3 Library for handling affine transformations of the plane
- python3-afl (0.7.1-1)
- American Fuzzy Lop (afl) for pure Python 3 code
- python3-agate (1.6.0-3)
- data analysis library optimized for human readability
- python3-agatedbf (0.2.0-2)
- support for dbf files in agate
- python3-agateexcel (0.2.1-3)
- support for Excel files in agate
- python3-agatesql (0.5.2-2)
- support for SQL read/write in agate
- python3-aggdraw (1.3.9+ds-2)
- High quality drawing interface for PIL - Python 3.X
- python3-aioamqp (0.12.0-1)
- AMQP implementation using asyncio (Python3 version)
- python3-aiocoap (0.3-3)
- Python implementation of CoAP
- python3-aiodns (1.1.1-1)
- Asynchronous DNS resolver library for Python 3
- python3-aiofiles (0.4.0-2)
- support for Python asyncio file operations
- python3-aiohttp (3.5.1-1+deb10u1)
- http client/server for asyncio
- python3-aiohttp-cors (0.7.0-1)
- Cross Origin Resource Sharing (CORS) support for aiohttp
- python3-aiohttp-dbg (3.5.1-1+deb10u1)
- http client/server for asyncio - debug version
- python3-aiohttp-jinja2 (0.8.0-1)
- jinja2 template renderer for aiohttp.web
- python3-aiohttp-mako (0.0.1-1)
- mako template renderer for aiohttp.web
- python3-aiomeasures (0.5.14-3)
- collect and send metrics to StatsD for Python
- python3-aioopenssl (0.4.1-2)
- Asyncio TLS Transport using PyOpenSSL
- python3-aiopg (0.15.0-1)
- PostgreSQL integration with asyncio
- python3-aioprocessing (1.0.1-4)
- integrate the Python multiprocessing module with asyncio
- python3-aioredis (1.2.0-1)
- asyncio (PEP 3156) Redis support
- python3-aiosasl (0.4.0-1)
- Protocol-agnostic SASL library for use with asyncio
- python3-aiosmtpd (1.2-3)
- Python3 asyncio based SMTP server
- python3-aiowsgi (0.7-1)
- minimalist WSGI server implementation using async
- python3-aioxmlrpc (0.5-1)
- XML-RPC for asyncio
- python3-aioxmpp (0.10.3-3)
- XMPP/Jabber client library for asyncio
- python3-aioxmpp-doc (0.10.3-3)
- XMPP/Jabber client library for asyncio (documentation)
- python3-airr (1.2.1-2)
- Data Representation Standard library for antibody and TCR sequences
- python3-ajax-select (1.7.0-1)
- Django library for editing fields with autocomplete
- python3-ajpy (0.0.4-2)
- Python module to craft AJP requests
- python3-alabaster (0.7.8-1)
- Configurable sidebar-enabled Sphinx theme (Python 3)
- python3-alembic (1.0.0-3)
- lightweight database migration tool for SQLAlchemy - Python 3.x
- python3-all (3.7.3-1)
- package depending on all supported Python 3 runtime versions
- python3-all-dbg (3.7.3-1)
- package depending on all supported Python 3 debugging packages
- python3-all-dev (3.7.3-1)
- package depending on all supported Python 3 development packages
- python3-alsaaudio (0.8.4-1)
- Alsa bindings for Python 3
- python3-altgraph (0.16.1~repack0-1)
- Python 3 graph (network) package
- python3-amp (0.6.1-1)
- Atomistic Machine-learning Package (python 3)
- python3-amqp (2.4.0-2)
- Low-level AMQP client (Python3 version)
- python3-amqplib (1.0.2-1)
- simple non-threaded Python AMQP client library (Python3 version)
- python3-angles (1.9.11-3)
- Robot OS set of simple math utilities to work with angles (Python 3)
- python3-aniso8601 (4.1.0-1)
- python3 library for parsing dates and time
- python3-anosql (0.2.0-2)
- Manage your raw SQL Queries in an elegant manner
- python3-ansi (0.1.3-1)
- cursor movement and graphics - Python 3
- python3-antlr (2.7.7+dfsg-9.2)
- language tool for constructing recognizers, compilers etc - Python 3.x
- python3-antlr3 (3.5.2-5)
- ANother Tool for Language Recognition - Python 3.x bindings
- python3-anyjson (0.3.3-1)
- Common interface for the best available JSON implementation (Python3 version)
- python3-aodh (7.0.0-5)
- OpenStack Telemetry (Ceilometer) Alarming - Python libraries
- python3-aodhclient (1.1.0-2)
- OpenStack Alarming as a Service - Python 3.x client
- python3-api-hour (0.8.2-1)
- lightweight daemon framework to write AsyncIO based applications
- python3-apipkg (1.5-2)
- namespace control and lazy-import mechanism for Python 3
- python3-aplpy (2.0.3-1)
- Astronomical Plotting Library in Python
- python3-apparmor (2.13.2-10)
- AppArmor Python3 utility library
- python3-appdirs (1.4.3-1)
- determining appropriate platform-specific directories (Python 3)
- python3-apptools (4.4.0-3)
- ETS Application Tools (Python 3)
- python3-apscheduler (3.5.3-1)
- In-process task scheduler with Cron-like capabilities
- python3-apsw (3.24.0-r1-1)
- another Python SQLite 3 wrapper (Python 3 version)
- python3-apsw-dbg (3.24.0-r1-1)
- another Python 3 SQLite 3 wrapper (debug extension)
- python3-apt (1.8.4.3)
- Python 3 interface to libapt-pkg
- python3-apt-dbg (1.8.4.3)
- Python 3 interface to libapt-pkg (debug extension)
- python3-aptly (0.12.10-1)
- Aptly REST API client and useful tooling - Python 3.x
- python3-arcus (3.3.0-2)
- message queue for Cura based on protobuf (Python bindings)
- python3-argcomplete (1.8.1-1)
- bash tab completion for argparse (for Python 3)
- python3-argh (0.26.2-1)
- simple argparse wrapper (Python 3)
- python3-argon2 (18.3.0-1)
- Argon2 password hashing library - Python 3.x Module
- python3-argparse-manpage (1.1-1)
- Automatically build a manpage from argparse
- python3-args (0.1.0-2)
- Command Arguments for Humans (Python 3)
- python3-arpy (1.1.1-3)
- library for accessing "ar" archives
- python3-arrow (0.12.1-2)
- Python3 library to manipulate dates, times, and timestamps
- python3-artifacts (20190113-1)
- knowledge base of forensic artifacts (Python 3)
- python3-asdf (2.3.2-2)
- Python 3 library for the Advanced Scientific Data Format
- python3-ase (3.17.0-2)
- Atomic Simulation Environment (Python 3)
- python3-asgiref (2.3.2-1)
- ASGI in-memory channel layer (Python3 version)
- python3-asn1crypto (0.24.0-1)
- Fast ASN.1 parser and serializer (Python 3)
- python3-asteval (0.9.12-1)
- minimalistic evaluator of Python 3 expression using ast module
- python3-astlib (0.10.2-2)
- General Python3 tools for astronomy
- python3-astor (0.5-1)
- Python 3 AST manipulator
- python3-astral (1.6.1-1)
- Calculations for the position of the sun and moon (Python 3)
- python3-astrodendro (0.2.0+dfsg1-1)
- Astronomical Dendrograms in Python 3
- python3-astroid (2.1.0-2)
- rebuild a new abstract syntax tree from Python's AST (Python3)
- python3-astrometry (0.76+dfsg-3)
- Astrometry plate solver (Python package)
- python3-astroml (0.3-9)
- Python 3 Machine Learning library for astronomy
- python3-astroml-addons (0.2.2-5)
- Python 3 Machine Learning library for astronomy (performance addons)
- python3-astroplan (0.4-4)
- Observation planning package for astronomers (Python 3)
- python3-astropy (3.1.2-2)
- Core functionality for performing astrophysics with Python
- python3-astropy-affiliated (1.5)
- Collection of all astropy affiliated packages
- python3-astropy-healpix (0.4-5)
- HEALPix representation of spherical data - Python 3
- python3-astropy-helpers (3.1.1-1)
- Utilities to install Astropy affiliated packages
- python3-astropy-sphinx-theme (1.0-1)
- Sphinx themes for Astropy and affiliated packages
- python3-astroquery (0.3.9+dfsg-1)
- Python online astronomical database querying (Python 3)
- python3-astroscrappy (1.0.8-1)
- Optimized Cosmic Ray Annihilation in Python
- python3-asttokens (1.1.13-2)
- annotate Python asbtract syntax trees with code references (Python 3)
- python3-async-generator (1.10-1)
- extensions to asynchronous generators for Python3
- python3-async-generator-doc (1.10-1)
- extensions to asynchronous generators for Python3 (documentation)
- python3-async-timeout (3.0.1-1)
- timeout context manager for asyncio programs in Python
- python3-asyncssh (1.12.2-1+deb10u1) [security]
- asyncio-based client and server implementation of SSHv2 protocol
- python3-atomicwrites (1.1.5-2)
- Atomic file writes - Python 3.x
- python3-attr (18.2.0-1)
- Attributes without boilerplate (Python 3)
- python3-aubio (0.4.6-2)
- Python 3 interface for aubio, a library for audio segmentation
- python3-audioread (2.1.5-1)
- Backend-agnostic audio decoding Python 3 package
- python3-audit (1:2.8.4-3)
- Python3 bindings for security auditing
- python3-augeas (0.5.0-1)
- Python3 bindings for Augeas
- (0.10.0-1)
- Python 3 module for generating email authentication headers
- python3-authres (1.1.1-1)
- RFC 7601 Authentication Results Header manipulation for Python3
- python3-autobahn (17.10.1+dfsg1-3+deb10u1)
- WebSocket client and server library, WAMP framework - Python 3.x
- python3-automat (0.6.0-1)
- Self-service finite-state machines for the programmer on the go
- python3-automaton (1.15.0-1)
- friendly state machines - Python 3.x
- python3-autopep8 (1.4.3-1)
- tool that automatically formats Python code to conform to PEP 8
- python3-avro (1.8.2+dfsg-2)
- Apache Avro serialization system (Python 3 library)
- python3-aws-requests-auth (0.4.1-1)
- AWS Signature Version 4 Signing Process (Python 3)
- python3-aws-xray-sdk (0.95-1)
- AWS X-Ray SDK for Python 3
- python3-awsauth (0.1.5-1)
- AWS authentication for Amazon S3 for the python3-requests module
- python3-axolotl (0.1.42-1)
- Python 3 port of libaxolotl-android
- python3-axolotl-curve25519 (0.4.1.post2-1+b1)
- Python 3 wrapper for curve25519 library with ed25519 signatures
- python3-azure (20181112+git-2)
- Microsoft Azure SDK for Python 3.x
- python3-azure-devtools (1.1.1-1)
- Microsoft Azure Development Tools for Python 3.x
- python3-azure-storage (20181109+git-1)
- Microsoft Azure Storage Library for Python 3.x
- python3-babel (2.6.0+dfsg.1-1+deb10u1)
- tools for internationalizing Python applications - Python 3.x
- python3-babelfish (0.5.4-1)
- library to work with countries and languages (Python 3)
- python3-babeltrace (1.5.6-2+deb10u1)
- Babeltrace Python 3 bindings
- python3-backports.tempfile (1.0-3)
- backports of new features in Python 3 tempfile module
- python3-backports.weakref (1.0-2)
- backports of new features in Python 3 weakref module
- python3-backup2swift (0.8-1)
- backup data to OpenStack Swift - python 3.x
- python3-bandit (1.5.1-1)
- Security oriented static analyzer for Python code - Python 3.x
- python3-barbican (1:7.0.0-1+deb10u1) [security]
- OpenStack Key Management Service - Python files
- python3-barbicanclient (4.7.2-1)
- OpenStack Key Management API client - Python 3.x
- python3-barectf (2.3.0-5)
- C99 code generator to write native CTF
- python3-base58 (1.0.3-1)
- base58 encode/decode for Python
- python3-bashate (0.6.0-1)
- bash script style guide checker - Python 3.x
- python3-bcbio (1.1.2-3)
- library for analysing high-throughput sequencing data
- python3-bcdoc (0.16.0-1)
- ReST document generation tools for botocore (Python 3)
- python3-bcolz (1.2.1+ds2-2)
- high performant compressed data container based on NumPy (Python 3)
- python3-bcrypt (3.1.6-1)
- password hashing library for Python 3
- python3-bdist-nsi (0.1.5-1)
- Create NSIS windows installers for Python modules (Python 3)
- python3-beaker (1.10.0-1)
- cache and session library for Python 3
- python3-beancount (2.2.0-3)
- Double-entry accounting from text files - Python module
- python3-behave (1.2.5-2)
- behaviour-driven development for Python 3
- python3-bernhard (0.2.6-1)
- client for the Riemann event stream processor - Python 3.X
- python3-betamax (0.8.1-1)
- VCR imitation designed only for python-requests - Python 3.X
- python3-bibtexparser (1.1.0+ds-1)
- Python 3 library to parse bibtex files
- python3-billiard (3.6.0.0-1)
- Multiprocessing Pool Extensions for Python (Python3 version)
- python3-binaryornot (0.4.4+dfsg-2)
- check if a file is binary or text (Python 3 module)
- python3-binoculars (0.0.4-1)
- Surface X-ray diffraction 2D detector data reduction - Python3
- python3-binwalk (2.1.2~git20180830+dfsg1-1+deb10u1) [security]
- Python3 library for analyzing binary blobs and executable code
- python3-bioblend (0.7.0-2)
- CloudMan and Galaxy API library (Python 3)
- python3-biom-format (2.1.7+dfsg-2)
- Biological Observation Matrix (BIOM) format (Python 3)
- python3-biomaj3 (3.1.6-1)
- BioMAJ workflow management library
- python3-biomaj3-cli (3.1.10-1)
- BioMAJ client
- python3-biomaj3-core (3.0.15-1)
- BioMAJ core library - Python 3.X
- python3-biomaj3-daemon (3.0.17-1)
- BioMAJ daemon library
- python3-biomaj3-download (3.0.19-1)
- BioMAJ download management library
- python3-biomaj3-process (3.0.11-1)
- BioMAJ process management library
- python3-biomaj3-user (3.0.6-2)
- BioMAJ user management library
- python3-biomaj3-zipkin (0.2.2-1)
- BioMAJ zipkin log tracing library
- python3-biopython (1.73+dfsg-1)
- Python library for bioinformatics (implemented in Python 3)
- python3-biopython-sql (1.73+dfsg-1)
- Biopython support for the BioSQL database schema (Python 3)
- python3-biosig (1.9.3-2)
- Python3 bindings for BioSig library
- python3-biotools (1.2.12-3)
- Python3 bioinformatics utilities for high-throughput genomic sequencing
- python3-bip32utils (0.0~git20170118.dd9c541-1)
- Python implementation of Bitcoin hierarchical deterministic wallet
- python3-biplist (1.0.3-1)
- Python 3 library for reading/writing Mac OS X binary plists
- python3-bitarray (0.8.1-1+b4)
- Python3 module for efficient boolean array handling
- python3-bitbucket-api (0.5.0-2)
- library to interact with bitbucket API (Python 3)
- python3-bitcoin (1.1.42-1)
- library for cryptocurrency transactions — Python 3
- python3-bitcoinlib (0.10.1-1)
- Python module with an easy interface to the Bitcoin protocol
- python3-bitstring (3.1.5-1)
- Python module for manipulation of binary data (Python 3)
- python3-bitstruct (3.7.0-1)
- Python bit pack/unpack package
- python3-blazarclient (2.0.0-2)
- client for OpenStack Reservation Service
- python3-bleach (3.1.2-0+deb10u2)
- whitelist-based HTML-sanitizing library (Python 3)
- python3-blends (0.7.2)
- Python 3 module for Debian Pure Blends support
- python3-blessed (1.15.0-1)
- Thin, practical wrapper around terminal capabilities in Python3
- python3-blessings (1.6-2)
- simple but powerful module to manage terminal color and styling (Python 3)
- python3-blinker (1.4+dfsg1-0.2)
- fast, simple object-to-object and broadcast signaling library
- python3-blist (1.3.6-5)
- List-like type for Python 3 with better asymptotic performance
- python3-blockdev (2.20-7+deb10u1)
- Python 3 gobject-introspection bindings for libblockdev
- python3-blockdiag (1.5.3+dfsg-5.2)
- generate block-diagram image file from spec-text file for Python 3
- python3-bloom (0.7.2-1)
- Bloom is a release automation tool from Robot OS (Python 3)
- python3-blosc (1.7.0+ds1-1)
- Python 3 bindings for the Blosc meta-compressor
- python3-bluetooth
- virtueel pakket geboden door python3-bluez
- python3-bluez (0.22+really0.22-1)
- Python 3 wrappers around BlueZ for rapid bluetooth development
- python3-boltons (18.0.1-1)
- set of pure-Python utilities (Python 3)
- python3-bond (1.8.3-1)
- Messages related to Robot OS bond_core - Python 3
- python3-bondpy (1.8.3-1+b1)
- Python 3 implementation of bond
- python3-booleanoperations (0.8.2-1)
- Python library for Boolean operations on paths
- python3-bootstrapform (3.4-2)
- generate twitter-bootstrap form output for django form - Python 3.x
- python3-botan (2.9.0-2)
- multiplatform crypto library (2.x version), Python3 module
- python3-boto (2.44.0-1.1)
- Python interface to Amazon's Web Services - Python 3.x
- python3-boto3 (1.9.86-1)
- Python interface to Amazon's Web Services - Python 3.x
- python3-botocore (1.12.103+repack-1)
- Low-level, data-driven core of boto 3 (Python 3)
- python3-bottle (0.12.15-2+deb10u2)
- fast and simple WSGI-framework for Python3
- python3-bottle-beaker (0.1.3-2)
- Bottle plugin beaker, WSGI middleware for sessions and caching - Python 3.X
- python3-bottle-cork (0.12.0-3)
- Authentication/Authorization library for Bottle
- python3-bottle-sqlite (0.1.3-2)
- SQLite3 integration for Bottle - Python 3.x
- python3-bottleneck (1.2.1+ds1-1)
- Fast NumPy array functions written in C (Python 3)
- python3-bottleneck-dbg (1.2.1+ds1-1)
- debug extensions for bottleneck (Python 3)
- python3-bpfcc (0.8.0-4)
- Python 3 wrappers for BPF Compiler Collection (BCC)
- python3-braceexpand (0.1.2-2)
- Bash-style brace expansion for Python
- python3-braintree (3.50.0-1)
- Braintree Python library (Python 3)
- python3-breathe (4.11.1-1)
- Sphinx autodox support for languages with doxygen support (Python 3)
- python3-breezy (3.0.0~bzr7290-2)
- distributed version control system - Python 3 library
- python3-breezy.tests (3.0.0~bzr7290-2)
- distributed version control system - Python 3 testsuite
- python3-brial (1.2.4-2)
- polynomials over Boolean Rings, Python 3 module
- python3-brlapi (5.6-10+deb10u1)
- Braille display access via BRLTTY - Python3 bindings
- python3-brotli (1.0.7-2+deb10u1)
- lossless compression algorithm and format (Python 3 version)
- python3-bs4 (4.7.1-1)
- error-tolerant HTML parser for Python 3
- python3-bsddb3 (6.2.6-3)
- Python interface for Berkeley DB (Python 3.x)
- python3-bsddb3-dbg (6.2.6-3)
- Python interface for Berkeley DB (debug extension, Python 3.x)
- python3-bson (3.7.1-1.1)
- Python3 implementation of BSON for MongoDB
- python3-bson-ext (3.7.1-1.1)
- C-coded extension to the python3-bson package
- python3-bst-external (0.9.0-1)
- external plugins for BuildStream toolset
- python3-btchip (0.1.24-1)
- Python library to communicate with BTChip dongle (Python 3)
- python3-btrees (4.3.1-1+b2)
- scalable persistent object containers for Python 3
- python3-btrfs (11-2)
- python module to inspect btrfs filesystems
- python3-btrfsutil (4.20.1-2)
- Checksumming Copy on Write Filesystem utilities (python3 bindings)
- python3-bugzilla (2.2.0-1)
- Python library for interacting with Bugzilla (Python 3)
- python3-buildbot (2.0.1-2)
- transitional package for buildbot
- python3-buildbot-doc (2.0.1-2)
- transitional package for buildbot-doc
- python3-buildbot-worker (2.0.1-2)
- yet another transitional package for buildbot-worker
- python3-buildstream (1.2.4-1)
- Python library for BuildStream toolset
- python3-bumps (0.7.11-2)
- data fitting and Bayesian uncertainty modeling for inverse problems (Python 3)
- python3-burrito (0.9.1-3)
- Python 3 framework for wrapping and controlling command-line applications
- python3-bx (0.8.2-1)
- library to manage genomic data and its alignment
- python3-bx-tools (0.8.2-1)
- command line interface to python3-bx
- python3-bytesize (1.4-1)
- Python 3 bindings for libbytesize
- python3-bz2file (0.98-2)
- Python3 library for reading and writing bzip2-compressed files
- python3-cachecontrol (0.11.7-1)
- caching algorithms in httplib2 for use with requests
- python3-cached-property (1.5.1-3)
- Provides cached-property for decorating methods in classes (Python 3)
- python3-cachetools (3.1.0-2)
- extensible memoizing collections and decorators for Python 3
- python3-caffe-cpu (1.0.0+git20180821.99bd997-2+b1)
- Python3 interface of Caffe (CPU_ONLY)
- python3-caffe-cuda (1.0.0+git20180821.99bd997-2+b1) [contrib]
- Python3 interface of Caffe (CUDA)
- python3-cairo (1.16.2-1+b1)
- Python3 bindings for the Cairo vector graphics library
- python3-cairo-dbg (1.16.2-1+b1)
- Python3 bindings for the Cairo vector graphics library (debug extension)
- python3-cairo-dev (1.16.2-1)
- Python3 cairo bindings: development files
- python3-cairo-doc (1.16.2-1)
- Python 3 cairo bindings: documentation files
- python3-cairocffi (0.7.2-2.2)
- cffi-based cairo bindings for Python (Python3)
- python3-cairosvg (1.0.20-1)
- SVG to PDF/PS/PNG converter based on Cairo (Python3 library)
- python3-caldav (0.5.0-0.1)
- CalDAV (RFC4791) client library for Python 3
- python3-camera-calibration-parsers (1.11.13-3+b1)
- Robot OS camera_calibration_parsers Python 3 package
- python3-can (3.0.0+github-1)
- Controller Area Network (CAN) interface module
- python3-canmatrix (0.6-3)
- Handle CAN (Controller Area Network) database formats
- python3-canonicaljson (1.1.4-2)
- canonical JSON implementation for Python 3
- python3-cap-ng (0.7.9-2)
- Python3 bindings for libcap-ng
- python3-capstone (4.0.1+really+3.0.5-1)
- lightweight multi-architecture disassembly framework - Python bindings
- python3-cartopy (0.17.0+dfsg-3)
- Cartographic library for Python 3
- python3-casacore (3.0.0-1)
- Python bindings to the casacore library
- python3-case (1.5.3+dfsg-2)
- Python unittest Utilities (Python3 version)
- python3-castellan (0.19.0-1)
- generic key manager interface for OpenStack - Python 3.x
- python3-catkin (0.7.14-10)
- Python 3 modules for the ROS build system
- python3-catkin-lint (1.6.0-1)
- Check Robot OS catkin packages for common errors (Python 3)
- python3-catkin-pkg (0.4.10-1)
- Low-level build system macros for Robot OS -- Python 3 module
- python3-cbor (1.0.0-1+b1)
- Python3 Implementation of RFC 7049. Concise Binary Object Representation (CBOR)
- python3-cccolutils (1.4-2)
- Python Kerberos Credential Cache Collection Utilities (Python 3)
- python3-ccdproc (1.3.0-5)
- Basic data reductions of astronomy CCD images
- python3-cclib (1.6-1)
- Parsers and algorithms for computational chemistry (Python3 module)
- python3-cdiff (1.0-1)
- Colored, incremental diff with side by side and auto pager support (Python 3)
- python3-cdo (1.4.0-1)
- Python3 module for Climate Data Operators
- python3-ceilometer (1:11.0.1-5)
- OpenStack efficient metering counters system - Python libraries
- python3-ceilometerclient (2.9.0-2)
- Client library for Openstack Ceilometer API server - Python 3.x
- python3-ceilometermiddleware (1.3.0-1)
- OpenStack Telemetry middleware for generating metrics - Python 3.x
- python3-celery (4.2.1-3)
- async task/job queue based on message passing (Python3 version)
- python3-cement (2.10.0-1)
- CLI Application Framework (Python3 version)
- python3-ceph (12.2.11+dfsg1-2.1+deb10u1) [security]
- Meta-package for Python 3 modules for the Ceph libraries
- python3-cephfs (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python 3 libraries for the Ceph libcephfs library
- python3-cerberus (1.2-2)
- Lightweight, extensible data validation library for Python
- python3-cerealizer (0.8.1-2)
- secure pickle-like module for Python 3
- python3-certbot (0.31.0-1+deb10u1)
- main library for certbot
- python3-certbot-apache (0.31.0-1)
- Apache plugin for Certbot
- python3-certbot-dns-cloudflare (0.23.0-2)
- Cloudflare DNS plugin for Certbot
- python3-certbot-dns-digitalocean (0.23.0-2)
- DigitalOcean DNS plugin for Certbot
- python3-certbot-dns-dnsimple (0.31.0-1)
- DNSimple DNS plugin for Certbot
- python3-certbot-dns-gehirn (0.31.0-1)
- Gehirn DNS plugin for Certbot
- python3-certbot-dns-google (0.23.0-2)
- Google DNS plugin for Certbot
- python3-certbot-dns-linode (0.31.0-1)
- Linode DNS plugin for Certbot
- python3-certbot-dns-ovh (0.31.0-1)
- OVH DNS plugin for Certbot
- python3-certbot-dns-rfc2136 (0.24.0-2)
- RFC 2136 DNS plugin for Certbot
- python3-certbot-dns-route53 (0.28.0-1)
- Route53 DNS plugin for Certbot
- python3-certbot-dns-sakuracloud (0.31.0-1)
- SakuraCloud DNS plugin for Certbot
- python3-certbot-nginx (0.31.0-1)
- Nginx plugin for Certbot
- python3-certifi (2018.8.24-1)
- root certificates for validating SSL certs and verifying TLS hosts (python3)
- python3-cffi (1.12.2-1)
- Foreign Function Interface for Python 3 calling C code
- python3-cffi-backend (1.12.2-1)
- Foreign Function Interface for Python 3 calling C code - runtime
- python3-cffi-backend-api-9729
- virtueel pakket geboden door python3-cffi-backend
- python3-cffi-backend-api-max (= 10495)
- virtueel pakket geboden door python3-cffi-backend
- python3-cffi-backend-api-min (= 9729)
- virtueel pakket geboden door python3-cffi-backend
- python3-cffi-backend-dbg (1.12.2-1)
- Foreign Function Interface for Python 3 calling C code (Debug version)
- python3-cfgrib (0.9.5.1-1)
- Python 3 module supporting the CF convention in GRIB files
- python3-cftime (1.0.3.4-1)
- Time-handling functionality from netcdf4-python (Python 3)
- python3-chameleon (2.24-1)
- XML-based template compiler
- python3-changelog (0.4.2-1)
- Sphinx extension to generate changelog files (Python 3)
- python3-channels-redis (2.3.3-1)
- Redis channel layer backend for Django Channels (Python3 version)
- python3-characteristic (14.3.0-2)
- helper for implementing attribute-related object protocols (Python 3)
- python3-chardet (3.0.4-3)
- universal character encoding detector for Python3
- python3-chargebee (1.6.3-4)
- Python library for integrating with Chargebee (Python 3/API v1)
- python3-chargebee2 (2.6.2-1)
- Python library for integrating with Chargebee (Python 3/API v2)
- python3-chartkick (0.5.0-1)
- create beautiful JavaScript charts with minimal code (Python 3)
- python3-cheetah (3.1.0-3)
- text-based template engine and Python code generator (Python 3)
- python3-chemps2 (1.8.9-1+b2)
- Python 3 interface for libchemps2-3
- python3-cheroot (6.5.4+ds-2)
- Pure-Python HTTP server
- python3-cherrypy3 (8.9.1-2)
- Python web development framework - Python 3 module
- python3-chm (0.8.4.1-2)
- Python binding for CHMLIB - Python 3
- python3-chm-dbg (0.8.4.1-2)
- Python binding for CHMLIB - Python 3 debug extension
- python3-cinder (2:13.0.7-1+deb10u2) [security]
- OpenStack block storage system - Python libraries
- python3-cinderclient (1:4.0.1-2)
- Python bindings to the OpenStack Volume API - Python 3.x
- python3-circuits (3.1.0+ds1-1)
- event-driven framework with a component architecture (Python3 version)
- python3-citeproc (0.3.0-3)
- Citation Style Language (CSL) processor for Python3
- python3-ck (1.9.4-1)
- Python3 light-weight knowledge manager
- python3-clang-11 (1:11.0.1-2~deb10u1)
- Clang Python Bindings
- python3-clang-13 (1:13.0.1-6~deb10u4)
- Clang Python Bindings
- python3-cliapp (1.20180812.1-2)
- Python framework for Unix command line programs
- python3-click (7.0-1)
- Wrapper around optparse for command line utilities - Python 3.x
- python3-click-log (0.2.1-1)
- Logging integration for Click - Python 3.x
- python3-click-plugins (1.0.4-1)
- Click extension to register external CLI commands (Python 3)
- python3-click-threading (0.4.4-1)
- Utilities for multithreading in click - Python 3.x
- python3-cliff (2.13.0-1)
- command line interface formulation framework - Python 3.x
- python3-cligj (0.5.0-1)
- Python 3 library for processing GeoJSON commands
- python3-clint (0.5.1-1)
- Python Command-line Application Tools
- python3-cloud-sptheme (1.9.4-1)
- Cloud Sphinx theme and related extensions (python3 version)
- python3-cloudflare (2.1.0-1)
- Python module to interface with Cloudflare's v4 API
- python3-cloudkitty (8.0.0-4+deb10u1)
- OpenStack Rating as a Service - Python library
- python3-cloudkitty-dashboard (8.0.0-3)
- OpenStack data processing cluster as a service - dashboard plugin
- python3-cloudkittyclient (1.2.0-4)
- API client for Rating as a Service project - Python 3.x
- python3-cloudpickle (0.8.0-1)
- Extended pickling support for Python 3 objects
- python3-clustershell (1.8.1-1)
- clustershell python3 libraries
- python3-cmarkgfm (0.4.2-1)
- GitHub-flavored Markdown renderer Python bindings
- python3-cmd2 (0.8.5-2)
- enhanced Python cmd module - Python 3.x
- python3-cmor (3.4.0-2)
- Python3 interface to CMOR
- python3-coards (1.0.5-3)
- COARDS-compliant time parser (Python 3)
- python3-cobra (0.14.1-1)
- constraint-based modeling of biological networks with Python 3
- python3-coda (2.20-3)
- Python3 interface for CODA science formats library
- python3-codicefiscale (0.9+ds0-1)
- Generate and validate Italian "codice fiscale" (Python 3.x)
- python3-colorama (0.3.7-1)
- Cross-platform colored terminal text in Python - Python 3.x
- python3-colorclass (2.2.0-2)
- ANSI color text library for Python
- python3-coloredlogs (7.3-1)
- colored terminal output for Python 3's logging module
- python3-colorlog (4.0.1-1)
- formatter to use with the logging module of Python 3
- python3-colormap (1.0.2-1)
- ease manipulation of matplotlib colormaps and color codecs (Python 3)
- python3-colormath (3.0.0-1)
- Abstracts common color math operations (Python 3 version)
- python3-colorspacious (1.1.2-1)
- library for doing colorspace conversions - Python 3.x
- python3-colour (0.1.5-1)
- converts and manipulates various color representation - Python 2.X
- python3-comedilib (0.11.0-1)
- Python3 wrapper for Comedilib
- python3-commando (1.0.0-0.2)
- wrapper for argparse to define declaratively (Python 3)
- python3-commonmark-bkrs (0.5.4+ds-2)
- Python parser for the CommonMark Markdown spec -- Python 3
- python3-compreffor (0.4.6.post1-1)
- CFF table subroutinizer for FontTools
- python3-confget (2.2.0-4+deb10u1)
- read variables from INI-style configuration files - Python 3.x library
- python3-configargparse (0.13.0-1)
- replacement for argparse with config files and environment variables (Python 3)
- python3-configobj (5.0.6-3)
- simple but powerful config file reader and writer for Python 3
- python3-configshell-fb (1.1.24-1)
- Python library for building configuration shells - Python 3
- python3-confluent-kafka (0.11.6-1)
- Python client to interact with Kafka - Python 3.x
- python3-congress (8.0.0+dfsg1-1)
- OpenStack Policy as a Service - Python libraries
- python3-congressclient (1.11.0-2)
- client for the open policy framework for the cloud - Python 3.x
- python3-constantly (15.1.0-1)
- Symbolic constants in Python
- python3-construct (2.8.16-0.2)
- powerful declarative parser (and builder) for binary data
- python3-construct.legacy (2.5.3-2)
- legacy fork of declarative binary data parser/builder (Python 3)
- python3-consul (0.7.1-1)
- Python client for Consul.io - Python 3.X
- python3-contextlib2 (0.5.5-1)
- Backport and enhancements for the contextlib module - Python 3.x
- python3-cookiecutter (1.6.0-3)
- create projects from project templates (Python 3 module)
- python3-cookies (2.2.1-2)
- Python 3 RFC 6265-compliant cookie parser and renderer
- python3-coreapi (2.3.3-3)
- Python3 client library for Core API
- python3-coreschema (0.0.4-2)
- Python3 utilities to describe an abstract data schema to coreapi
- python3-cotyledon (1.6.8-3)
- framework for defining long-running services - Python 3.x
- python3-cov-core (1.15.0-2)
- plugin core for use by pytest-cov, nose-cov and nose2-cov
- python3-coverage (4.5.2+dfsg.1-1)
- code coverage tool for Python 3
- python3-coverage-test-runner (1.13.1-2)
- fail Python program unit tests unless they test everything
- python3-cpl (0.7.4-2)
- Control pipeline recipes from the ESO (Python3)
- python3-cpuinfo (4.0.0-1)
- Python module for getting CPU info (Python 3)
- python3-cracklib (2.9.6-2)
- Python3 bindings for password checker library cracklib2
- python3-cram (0.7-3)
- functional testing framework for command line applications - Python 3.x
- python3-crank (0.7.2-4)
- dispatch mechanism for use across frameworks - Python 3.x
- python3-crcelk (1.3-1)
- Implementation of the CRC algorithm (Python 3)
- python3-crcmod (1.7+dfsg-1+b1)
- CRC Generator - Python 3.x
- python3-croniter (0.3.24-2)
- provides iteration for datetime object with cron like format - Python 3.x
- python3-crontab (1.9.3-2)
- Python3 module for reading and writing crontab files
- python3-crypto (2.6.1-9+b1)
- cryptographic algorithms and protocols for Python 3
- python3-crypto-dbg (2.6.1-9+b1)
- cryptographic algorithms and protocols for Python 3 (debug extension)
- python3-cryptography (2.6.1-3+deb10u4) [security]
- Python library exposing cryptographic recipes and primitives (Python 3)
- python3-cryptography-vectors (2.6.1-1)
- Test vectors for python-cryptography (Python 3)
- python3-cs (2.3.1-1)
- simple, yet powerful CloudStack API client (Python 3 module)
- python3-csb (1.2.5+dfsg-3)
- Python framework for structural bioinformatics (Python3 version)
- python3-cson (0.7-1)
- python parser for the Coffeescript Object Notation (CSON)
- python3-css-parser (1.0.4-1)
- CSS related utilities (parsing, serialization, etc) for Python 3
- python3-csscompressor (0.9.4-2)
- Python port of YUI CSS Compressor - Python 3.x
- python3-cssmin (0.2.0-7)
- YUI CSS compression algorithm (Python 3 version)
- python3-cssselect (1.0.3-1)
- cssselect parses CSS3 Selectors and translates them to XPath 1.0
- python3-cssselect2 (0.2.1-1)
- implementation of CSS3 Selectors (Python3 version)
- python3-cssutils (1.0.2-2)
- Python3 CSS Cascading Style Sheets parser and builder
- python3-csvkit (1.0.2-1)
- public modules for csvkit (Python 3)
- python3-ctdopts (1.2-3)
- Gives your Python tools a CTD-compatible interface
- python3-cu2qu (1.6.5-1)
- Python library for cubic-to-quadratic bezier curve conversion
- python3-cups (1.9.73-2+b1)
- Python3 bindings for CUPS
- python3-cupshelpers (1.5.11-4)
- Python utility modules around the CUPS printing system
- python3-cursive (0.2.1-2)
- OpenStack specific validation of digital signatures - Python 3
- python3-curtsies (0.2.12-1)
- library for terminal interaction (Python 3)
- python3-cutadapt (1.18-1)
- Clean biological sequences from high-throughput sequencing reads (Python 3)
- python3-cv-bridge (1.13.0+ds-2+b12)
- cv_bridge ROS package - Python 3 bindings
- python3-cvxopt (1.1.9+dfsg-3+b1)
- Python3 package for convex optimization
- python3-cxx-dev (7.0.3-2)
- Set of facilities to extend Python3 with C++
- python3-cycler (0.10.0-1)
- composable kwarg iterator (Python 3)
- python3-cymem (2.0.2-1)
- cython memory pool for RAII-style memory management
- python3-cymruwhois (1.6-3.1)
- Python library for interfacing with the whois.cymru.com service (Python 3)
- python3-cypari2 (1.3.1-2)
- Python interface to PARI -- Python 3
- python3-cysignals
- virtueel pakket geboden door python3-cysignals-pari, python3-cysignals-bare
- python3-cysignals-bare (1.8.1+ds-2)
- interrupt and signal handling for Cython -- Python 3 - bare
- python3-cysignals-pari (1.8.1+ds-2)
- interrupt and signal handling for Cython -- Python 3 - PARI/GP
- python3-cytoolz (0.9.0.1-2)
- Toolz in Cython: High performance functional utilities
- python3-cyvcf2 (0.10.4-1)
- VCF parser based on htslib (Python 3)
- python3-d2to1 (0.2.12-1)
- Python3 support for distutils2-like setup.cfg files as package metadata
- python3-daemon (2.2.3-1)
- library for making a Unix daemon process — Python 3
- python3-daemonize (2.4.7-2)
- enable your code to run as a daemon process - Python 3.x
- python3-daiquiri (1.5.0-1)
- Python library to easily setup basic logging functionality
- python3-daphne (2.2.5-1)
- Django Channels HTTP/WebSocket server (Python3 version)
- python3-darkslide
- virtueel pakket geboden door darkslide
- python3-darts.lib.utils.lru (0.5-4)
- Simple dictionary with LRU behaviour in Python3
- python3-dask (1.0.0+dfsg-2)
- Minimal task scheduling abstraction for Python 3
- python3-dask-sphinx-theme (1.1.0-1)
- Dask theme for Sphinx
- python3-datalad (0.11.2-2)
- data files management and distribution platform
- python3-dateparser (0.7.1-1)
- python parser for human readable dates
- python3-dateutil (2.7.3-3)
- powerful extensions to the standard Python 3 datetime module
- python3-datrie (0.7.1-2)
- Super-fast, efficiently stored Trie for Python 3
- python3-dbf (0.96.005-1)
- Python module for reading and writing dbf files (Python 3)
- python3-dbfread (2.0.7-2)
- read DBF Files with Python
- python3-dbg (3.7.3-1)
- debug build of the Python 3 Interpreter (version 3.7)
- python3-dbus (1.2.8-3)
- simple interprocess messaging system (Python 3 interface)
- python3-dbus-dbg (1.2.8-3)
- debug build of the D-Bus Python 3 interface
- python3-dbus-tests (1.2.8-3)
- simple interprocess messaging system (Python 3 interface - tests)
- python3-dbus.mainloop.pyqt5 (5.11.3+dfsg-1+b3)
- D-Bus Qt main loop support for Python 3
- python3-dbus.mainloop.pyqt5-dbg (5.11.3+dfsg-1+b3)
- D-Bus Qt main loop support for Python 3 (debug extension)
- python3-dbus.mainloop.qt (4.12.1+dfsg-2+b1)
- D-Bus Support for PyQt4 with Python 3
- python3-dbus.mainloop.qt-dbg (4.12.1+dfsg-2+b1)
- D-Bus Support for PyQt4 (debug extensions for Python 3)
- python3-dbusmock (0.18.2-1)
- mock D-Bus objects for tests (Python 3)
- python3-dcos (0.2.0-2)
- DCOS Common Modules - Python 3.x
- python3-ddt (1.1.1-1)
- Data-Driven/Decorated Tests - Python 3.x
- python3-deap (1.0.2.post2-6)
- Distributed Evolutionary Algorithms in Python3
- python3-debconf (1.5.71+deb10u1)
- interact with debconf from Python 3
- python3-debian (0.1.35)
- Python 3 modules to work with Debian-related data formats
- python3-debianbts (2.8.2)
- Python interface to Debian's Bug Tracking System
- python3-debiancontributors (0.7.8-1)
- Manage submissions to contributors.debian.org (Python3)
- python3-debtcollector (1.20.0-2)
- collection of patterns to collect technical debt - Python 3.x
- python3-decorator (4.3.0-1.1)
- simplify usage of Python decorators by programmers
- python3-decouple (3.1-4)
- Helps you to organize your Django|Flask settings
- python3-deepdiff (3.3.0-1)
- Deep Difference and search of any Python object/data
- python3-defcon (0.6.0-1)
- UFO based objects for use in font editing applications
- python3-defer (1.0.6-2)
- Small framework for asynchronous programming (Python 3)
- python3-defusedxml (0.5.0-2)
- XML bomb protection for Python stdlib modules (for Python 3)
- python3-deltarpm (3.6+dfsg-1+b7)
- Python3 bindings for deltarpm
- python3-demjson (2.2.4-2)
- encoder, decoder, and lint/validator for JSON in Python (python3)
- python3-dendropy (4.4.0-1)
- DendroPy Phylogenetic Computing Library (Python 3)
- python3-depinfo (1.4.0-1)
- retrieve and print Python 3 package dependencies
- python3-deprecation (2.0.6-1)
- Library to handle automated deprecations - Python 3.x
- python3-descartes (1.1.0-2)
- Matplotlib extension to work with geometric objects (Python3)
- python3-designate (1:7.0.0-2)
- OpenStack DNS as a Service - Python libs
- python3-designate-dashboard (7.0.0-2)
- OpenStack DNS as a Service - dashboard plugin
- python3-designateclient (2.10.0-2)
- client library for the OpenStack Designate API - Python 3.x
- python3-dev (3.7.3-1)
- header files and a static library for Python (default)
- python3-devpi-common (3.2.2-1)
- PyPI server and packaging/testing/release tool - Common modules
- python3-dexml (0.5.1-1)
- Dead-simple Object-XML mapper for Python3
- python3-dfdatetime (20190116-1)
- Digital Forensics date and time library for Python 3
- python3-dfvfs (20190128-1)
- Digital Forensics Virtual File System
- python3-dfwinreg (20190122-1)
- Digital Forensics Windows Registry library for Python 3
- python3-diagnostic-msgs (1.12.7-1)
- Messages relating to Robot OS diagnostic, Python 3 interface
- python3-dialog (3.4.0-1)
- Python module for making simple terminal-based user interfaces
- python3-diaspy (0.6.0-1)
- unofficial interface to the Diaspora social network (Python 3)
- python3-dib-utils (0.0.6-2)
- Standalone tools related to diskimage-builder - Python 3.x
- python3-dicoclient (2.7-2)
- RFC 2229 compliant dictionary client module and shell
- python3-dicom (1.2.1-1)
- transitional package for python3-pydicom
- python3-dicom
- virtueel pakket geboden door python3-pydicom
- python3-dicteval (0.0.6-1)
- Library to evaluate expressions in dict/json objects
- python3-dictobj (0.4-3)
- Python3 dictionary where keys can be accessed as instance attributes
- python3-dicttoxml (1.7.4-1)
- Python 3 module for converting dict into a XML string
- python3-diff-match-patch (20121119-4)
- robust algorithms for synchronizing plain text (Python 3 module)
- python3-digitalocean (1.13.2-1)
- Python bindings for the DigitalOcean API (Python 3)
- python3-dijitso (2018.1.1~git1-1)
- distributed just-in-time building of shared libraries (Python 3)
- python3-dill (0.2.9-1)
- Serialize all of Python 3 (almost)
- python3-dirspec (13.10-1)
- Python User Folders Specification Library
- python3-diskimage-builder (2.16.0-1)
- image building tools for Openstack - Python 3.x
- python3-distlib (0.2.8-1)
- low-level components of python distutils2/packaging
- python3-distorm3 (3.4.1-3)
- powerful disassembler library for x86/AMD64 binary streams (Python3 bindings)
- python3-distributed (1.25.0+ds.1-1)
- Dask Distributed computing for Python 3
- python3-distro (1.3.0-1)
- Linux OS platform information API
- python3-distro-info (0.21+deb10u1) [security]
- information about distributions' releases (Python 3 module)
- python3-distutils (3.7.3-1)
- distutils package for Python 3.x
- (2.42)
- enhancements to the Python3 build system
- python3-dj-database-url (0.5.0-2)
- Django utility to utilize a DB env var (Python 3)
- python3-dj-static (0.0.6-6)
- Serve production static files with Django (Python 3)
- python3-django (1:1.11.29-1+deb10u11) [security]
- High-level Python web development framework (Python 3 version)
- python3-django-adminsortable (2.0.10-2)
- drag-and-drop ordering for objects in Django Admin (Python 3)
- python3-django-allauth (0.38.0+ds-1)
- Django app for local and social authentication (Python 3 version)
- python3-django-anymail (5.0-1)
- Django email backend for multiple ESPs (Python 3)
- python3-django-appconf (1.0.2-3)
- helper class handling configuration defaults of apps - Python 3.x
- python3-django-assets (0.12-2)
- integrate webassets into Django applications (Python3 version)
- python3-django-auth-ldap (1.7.0-1)
- Django LDAP authentication backend (Python3 version)
- python3-django-auto-one-to-one (3.1.1-1)
- Django module to connect child model instances to parent class
- python3-django-axes (4.4.0-1)
- Keep track of failed login attempts in Django-powered sites
- python3-django-axes-doc (4.4.0-1)
- Keep track of failed login attempts in Django - documentation
- python3-django-babel (0.6.2-1)
- Utilities for using Babel in Django - Python 3.x
- python3-django-background-tasks (1.1.11-1)
- databased-backed work queue for Django
- python3-django-bitfield (1.9.3-1)
- Django module implementing BitFields (Python3 version)
- python3-django-braces (1.9.0-1)
- reusable, generic mixins for Django class based views (Python3 version)
- python3-django-captcha (0.5.6-1)
- Django Simple Captcha Django application
- python3-django-cas-server (0.9.0-1)
- CAS server library for Django
- python3-django-cas-server-doc (0.9.0-1)
- CAS server library for Django (documentation)
- python3-django-casclient (1.2.0-2.2)
- CAS client library for Django, K-State's version (Python 3)
- python3-django-celery-beat (1.1.1-1)
- Database-backed Periodic Tasks (Documentation)
- python3-django-celery-haystack (0.10-3)
- utilize Celery for automatic haystack index updates (Python3 version)
- python3-django-celery-results (1.0.4-1)
- Celery result backends for Django (Python3 version)
- python3-django-channels (2.1.7-1)
- Developer-friendly asynchrony for Django (Python3 version)
- python3-django-classy-tags (0.8.0-1)
- Class based template tags for Django projects (Python3 version)
- python3-django-compat (1.0.15-2)
- Forward and backwards compatibility layer for Django 1.4.x to 1.9.x
- python3-django-compressor (2.2-4)
- Compresses linked, inline JS or CSS into single cached files - Python 3.x
- python3-django-contact-form (1.4.2-2)
- extensible contact-form application for Django (Python 3)
- (2.2.0-1)
- Django application for handling CORS.
- python3-django-countries (5.3.2-1)
- provides a country field for Django models (Python3 version)
- python3-django-crispy-forms (1.7.2-1)
- app for Django providing elegant form rendering (Python3 version)
- python3-django-csp (3.5-1)
- Content Security Policy for Django (Python3 version)
- python3-django-dbconn-retry (0.1.5-1)
- reconnect on a failed database (Python3 version)
- python3-django-debug-toolbar (1:1.9.1-1)
- Embedded debugging toolbar for Django projects (Python 3 version)
- python3-django-dirtyfields (1.3.1-1)
- package for tracking dirty fields on a Django model instance (Python 3)
- python3-django-downloadview (1.9-1)
- efficient static file serving with Django (Python3 version)
- python3-django-environ (0.4.4-1)
- Simplified environment variables for Django
- python3-django-etcd-settings (0.1.13+dfsg-2)
- config manager for Django apps based on ETCD (Python3 version)
- python3-django-extensions (2.1.4-1)
- Useful extensions for Django projects (Python 3 version)
- (0.12.0-1)
- Complementary class-based generic views for Django (Python3 version)
- python3-django-filters (1.1.0-1)
- filter Django QuerySets based on user selections (Python3 version)
- python3-django-formtools (2.0-1)
- set of high-level abstractions for Django forms - Python 3.x
- python3-django-fsm (2.6.0-1)
- Django friendly finite state machine support (Python3 version)
- python3-django-fsm-admin (1.2.1-1)
- django-fsm state transitions for Django admin (Python3 version)
- python3-django-gravatar2 (1.4.2-3)
- Python3 library that provides essential Gravatar support
- python3-django-guardian (1.4.9-2)
- per object permissions of django for Python3
- python3-django-haystack (2.8.1-2)
- modular search for Django (Python3 version)
- python3-django-hijack (2.1.7-1)
- Allows superusers to login as and work on behalf of other users
- python3-django-horizon (3:14.0.2-3+deb10u3) [security]
- Django module providing web interaction with OpenStack
- python3-django-housekeeping (1.1-1)
- Pluggable housekeeping framework for Django sites in Python 3
- python3-django-hvad (1.8.0-1)
- Painless translations in Django
- python3-django-hyperkitty (1.2.2-1+deb10u1)
- Web user interface to access GNU Mailman3 archives
- python3-django-imagekit (4.0.2-2)
- Automated image processing for Django (Python3 version)
- python3-django-impersonate (1.4-1)
- Django module for superusers to impersonate accounts (Python 3)
- python3-django-ipware (2.1.0-1)
- Django app to retrieve client's IP address
- python3-django-jinja (2.4.1-1)
- Jinja2 templating language integrated in Django (Python 3 version)
- python3-django-js-reverse (0.7.3-1)
- Javascript url handling for Django that doesn't hurt
- python3-django-jsonfield (1.0.1-2)
- JSON field for Django models (Python 3)
- python3-django-ldapdb (1.3.0-1)
- Python3 LDAP database backend for Django
- python3-django-macaddress (1.5.0-1)
- MAC address model and form fields for Django apps
- python3-django-mailman3 (1.2.0-3)
- Django library to help interaction with Mailman3 (Python 3 version)
- python3-django-maintenancemode (0.11.2-3)
- django module that sets a site down for maintenance (Python 3)
- python3-django-markupfield (1.5.0-1)
- custom Django field for easy use of markup in text fields (Python3 version)
- python3-django-memoize (2.1.0+dfsg-1)
- implementation of memoization technique for Django (Python 3)
- python3-django-model-utils (3.1.1-1)
- Django model mixins and utilities — Python 3
- python3-django-modeltranslation (0.12.2-1)
- Translate dynamic content of Django models (Python 3)
- python3-django-navtag (2.1.3-1)
- Django template tag to handle navigation (Python3 version)
- python3-django-netfields (0.9.0-1)
- Django PostgreSQL netfields implementation (Python3 version)
- python3-django-nose (1.4.6-1)
- Django test runner that uses python-nose (Python3 version)
- python3-django-notification (1.2.0-2)
- user notification management for Django (Python 3 version)
- python3-django-oauth-toolkit (1.1.2-2)
- OAuth2 capabilities for Django projects (Python3 version)
- python3-django-openstack-auth
- virtueel pakket geboden door python3-django-horizon
- python3-django-ordered-model (2.1.0-1)
- Allows Django models to be ordered (Python3 version)
- python3-django-organizations (1.1.1-1)
- Django groups and multi-user account management module (Python 3)
- python3-django-otp (0.4.3-1)
- pluggable framework for two-factor authentication (Python3 version)
- python3-django-overextends (0.4.0-1)
- reusable app providing circular template inheritance - Python 3.x
- python3-django-paintstore (0.2-2)
- Integrates jQuery ColorPicker in Django admin (Python 3 version)
- python3-django-picklefield (1.1.0-1)
- Pickled object field for Django (Python3 version)
- python3-django-pipeline (1.6.14-1)
- Asset packaging library for Django (Python3 version)
- python3-django-polymorphic (2.0.3-1)
- Seamless Polymorphic Inheritance for Django Models (Python3 version)
- python3-django-postorius (1.2.4-1+deb10u1)
- Web user interface to access GNU Mailman3
- python3-django-pyscss (2.0.2-8)
- makes it easier to use PySCSS in Django - Python 3.x
- python3-django-python3-ldap (0.11.2-1)
- Django LDAP user authentication backend (Python3 version)
- python3-django-q (1.0.1-1)
- Django multiprocessing distributed task queue (Python 3 version)
- python3-django-ranged-response (0.2.0-1)
- Django file response to stream to browsers properly (Python 3)
- python3-django-recurrence (1.8.2-1)
- Django utility wrapping dateutil.rrule (Python3 version)
- python3-django-redis (4.10.0-1)
- Redis cache backend for Django (Python 3)
- python3-django-redis-admin (1.4.0-1)
- Django admin panel add-on to view/delete Redis keys (Python 3)
- python3-django-redis-sessions (0.6.1-1)
- Redis database backend for your Django sessions (Python 3)
- python3-django-registration (2.2-2)
- User-registration application for Django (Python 3)
- python3-django-rest-hooks (1.5.0-1)
- Add webhook subscriptions to Django apps (Python3 version)
- python3-django-restricted-resource (2016.8-2)
- Django Base model for ownership and access control (Python 3)
- python3-django-reversion (3.0.3-1)
- Provides comprehensive version control facilities for Django (Python3 version)
- python3-django-rules (2.0.1-1)
- object-level permissions for Django (Python3 version)
- python3-django-saml2 (0.17.2-1)
- Django application that integrates PySAML2 (Python3 version)
- python3-django-sass-processor (0.5.4-1)
- compile files from markup languages such as SASS/SCSS
- python3-django-sekizai (0.10.0-4)
- template blocks for Django projects (Python3 version)
- python3-django-session-security (2.6.5+dfsg-1)
- Python3 Django module to log a user out after X minutes
- python3-django-setuptest (0.2.1-2)
- simple test suite enabling Django app testing via setup.py (Python3 version)
- python3-django-shortuuidfield (0.1.3-2)
- Short UUIDField for Django for Python 3
- python3-django-simple-history (2.7.0-1)
- simple version control from Django model objects (Python 3)
- python3-django-sitetree (1.12.0+dfsg-1)
- site tree, menu and breadcrumbs navigation for Django (Python3 version)
- python3-django-stronghold (0.3.0+debian-1)
- Python3 Django app to make all views default login_required
- python3-django-tables2 (1.21.2-1)
- Table/data-grid framework for Django (Python 3)
- python3-django-tagging (1:0.4.5-1)
- Generic tagging application for Django projects (Python 3)
- python3-django-taggit (0.24.0-1)
- simple tagging for Django (Python 3)
- python3-django-tastypie (0.13.3-1)
- webservice API framework for Django (Python 3)
- python3-django-testproject (0.1.3-2)
- Django test project support (Python 3 version)
- python3-django-testscenarios (0.10-1)
- Django unit test scenarios support (Python 3)
- python3-django-timezone-field (3.0-1)
- database and form fields for pytz objects (Python3 version)
- python3-django-treebeard (4.3+dfsg-1)
- Efficient implementations of tree data structures for Django (Python3 version)
- python3-django-uwsgi (0.2.2-1)
- uWSGI related tools for Django (Python3 version)
- python3-django-webpack-loader (0.6.0-1)
- Transparently use webpack with Django (Python3 version)
- python3-django-websocket-redis (0.4.7-1)
- Websockets for Django applications using Redis (Python3 version)
- python3-django-wkhtmltopdf (3.2.0-1)
- Django module with views for HTML to PDF conversions (Python 3)
- python3-django-x509 (0.4.1-1)
- x509 PKI certificates management for Django (Python3 version)
- python3-django-xmlrpc (0.1.8-1)
- XMLRPC library for Django
- python3-djangorestframework (3.9.0-1+deb10u1)
- Web APIs for Django, made easy for Python3
- python3-djangorestframework-extensions (0.4.0-1.1)
- custom extensions for Django REST framework (Python3 version)
- python3-djangorestframework-filters (0.10.2.post0-1)
- Filters for Django REST Framework (Python3 version)
- python3-djangorestframework-generators (0.2.8-2)
- Generate DRF Serializers, Views, and URLs (Python3 version)
- python3-djangorestframework-gis (0.14-1)
- Geographic add-ons for Django REST Framework (Python3 version)
- python3-djangorestframework-haystack (1.8.4-1)
- Haystack for Django REST Framework (Python3 version)
- python3-djoser (1.4.0-1)
- REST implementation of Django authentication system (Python3 version)
- python3-djvu (0.8.2-2)
- Python3 support for the DjVu image format
- python3-djvu-dbg (0.8.2-2)
- Python3 support for the DjVu image format (debug extension)
- python3-dkim (0.9.6-0+deb10u1)
- Python 3 module for DKIM and ARC signing and verification
- python3-dmidecode (3.12.2-9)
- Python extension module for dmidecode - Python 3
- python3-dmidecode-dbg (3.12.2-9)
- Python extension module for dmidecode (debug) - Python 3
- python3-dns (3.2.0-2)
- DNS client module for Python 3
- python3-dnslib (0.9.7+hg20170303-1)
- Module to encode/decode DNS wire-format packets (Python 3)
- python3-dnspython (1.16.0-1+deb10u1)
- DNS toolkit for Python 3
- python3-dnsq (1.1.2-1)
- Python DNS query tool
- python3-doc (3.7.3-1)
- documentation for the high-level object-oriented language Python 3
- python3-doc8 (0.8.0-1)
- Style checker for Sphinx (or other) RST documentation - Python 3.x
- python3-docker (3.4.1-4)
- Python 3 wrapper to access docker.io's control socket
- python3-dockerpty (0.4.1-1)
- Pseudo-tty handler for docker Python client (Python 3.x)
- python3-dockerpycreds (0.3.0-1)
- Python3 bindings for the docker credentials store API
- python3-docopt (0.6.2-2)
- command-line interface description language (Python3)
- python3-docutils (0.14+dfsg-4)
- text processing system for reStructuredText (implemented in Python 3)
- python3-dogpile.cache (0.6.2-6)
- caching front-end based on the Dogpile lock - Python 3.x
- python3-dogpile.core (0.6.2-6)
- transitional dummy package for python3-dogpile.core
- python3-doit (0.31.1-2)
- Automation tool (Python3) to execute any kind of task in a build-tools fashion
- python3-dolfin (2018.1.0.post1-16)
- Python interface for DOLFIN (Python 3)
- python3-dominate (2.3.1-1)
- Python 3 library for creating and manipulating HTML documents
- python3-dotenv (0.9.1-1)
- Get and set values in the .env file in local and production servers
- python3-doubleratchet (0.6.0-1)
- Python 3 implementation of the Double Ratchet algorithm
- python3-dpkt (1.9.2-1)
- Python 3 packet creation / parsing module for basic TCP/IP protocols
- python3-dpm (1.10.0-2+b3)
- Disk Pool Manager (DPM) python3 bindings
- python3-dput (1.25+deb10u2)
- next generation Debian package upload tool (Python 3 library)
- python3-dracclient (1.3.1-1)
- library for managing machines with Dell iDRAC cards - Python 3.x
- python3-drizzle (1.12-2)
- Dithered image combination for Python
- python3-drms (0.5.6-1)
- Access HMI, AIA and MDI data with Python
- python3-dropbox (9.3.0-1)
- Official Dropbox API Client (Python3 version)
- python3-drslib (0.3.1.p3-1)
- Library for processing the CMIP5 Data Reference Syntax (Python3)
- python3-dsv (1.4.1-7)
- Python module for delimiter-separated-value files (Python 3)
- python3-dtcwt (0.12.0-1)
- Dual-Tree Complex Wavelet Transform library for Python 3
- python3-dtfabric (20181128-1)
- Tooling for data type and structure management - Python 3.x
- python3-duecredit (0.6.4-1)
- Publications (and donations) tracer
- python3-dugong (3.7.4+dfsg-1)
- HTTP 1.1 client module for Python
- python3-dulwich (0.19.11-2)
- Python Git library - Python3 module
- python3-dynamic-reconfigure (1.6.0-1)
- Robot OS dynamic-reconfigure library - Python 3 bindings
- python3-easydev (0.9.37-1)
- common utilities to ease the development of Python packages (Python 3)
- python3-easygui (0.96-3)
- module for very simple, very easy GUI programming in Python3
- python3-easyprocess (0.2.5-1)
- easy to use python subprocess interface - Python 3.X
- python3-easywebdav (1.2.0-4)
- straight-forward WebDAV client module (Python 3 interface)
- python3-ebooklib (0.15~ds0-1)
- Python 3 E-book library for handling EPUB2/EPUB3/Kindle formats
- python3-eccodes (2.12.0-1)
- Python module for the ECMWF GRIB API library
- python3-ecdsa (0.13-3+deb10u1)
- ECDSA cryptographic signature library (Python 3)
- python3-ecflow (4.12.0-1)
- Python3 libraries for ecFlow workflow tools
- python3-editor (1.0.3-1)
- programmatically open an editor, capture the result - Python 3.x
- python3-editorconfig (0.12.1-1)
- library for working with EditorConfig — Python 3
- python3-edlib (1.2.4-1)
- library for sequence alignment using edit distance (Python3 module)
- python3-efilter (1.5-2)
- EFILTER query language (Python 3)
- python3-elasticsearch (5.4.0-1)
- Python client for Elasticsearch (Python3 version)
- python3-elasticsearch-curator (5.2.0-1)
- Python 3 library for managing Elasticsearch time-series indices
- python3-emcee (2.2.1-1)
- Affine-invariant ensemble MCMC sampling for Python 3
- python3-empy (3.3.2-2)
- templating system for Python (Python 3)
- python3-enchant (2.0.0-1)
- spellchecking library for Python 3
- python3-enet (0.0~vcs.2017.05.26.git-2.1+b1)
- Python3 wrapper for the ENet library
- python3-engineio (3.0.0+dfsg-1)
- python3 implementation of the Engine.IO realtime server
- python3-enigma (0.1-3)
- historically accurate Enigma Machine library
- python3-entrypoints (0.3-1)
- Discover and load entry points from installed packages (Python 3)
- python3-envparse (0.2.0-1)
- environment variable parsing (Python 3)
- python3-envs (1.2.6-1)
- Easy access to environment variables in Python
- python3-enzyme (0.4.1-1.1)
- video metadata parser (Python 3)
- python3-epc (0.0.5-2)
- RPC stack for Emacs Lisp (Python3 version)
- python3-ephem (3.7.6.0-7+b1)
- Compute positions of the planets and stars with Python 3
- python3-epr (0.9.5-2)
- Python ENVISAT Product Reader API (Python 3)
- python3-epr-dbg (0.9.5-2)
- Python ENVISAT Product Reader API (debug extension for Python 3)
- python3-esmre (0.3.1-3+b4)
- Regular expression acceleration in Python3
- python3-espeak (0.5-1+b6 [amd64, armhf, i386], 0.5-1+b4 [arm64])
- Python bindings for eSpeak
- python3-et-xmlfile (1.0.1-2)
- low memory library for creating large XML files (Python 3)
- python3-etcd (0.4.5-1)
- Python Etcd client library - Python3 module
- python3-etcd3gw (0.2.1-1)
- client for etcd3 grpc-gateway v3 API - Python 3.x
- python3-ethtool (0.14-1)
- Python bindings for the ethtool kernel interface - Python 3.x
- python3-evdev (1.1.2+dfsg-1+b10)
- Python 3 bindings for the Linux input subsystem
- python3-evemu (2.7.0-1+deb10u1)
- Linux Input Event Device Emulation Library - Python3 bindings
- python3-eventlet (0.20.0-6)
- concurrent networking library - Python 3.x
- python3-evtx (0.6.1-1+deb10u1)
- parser for recent Windows Event Log files -- Python 3 version
- python3-ewmh (0.1.6-1)
- Python interface to EWMH-compliant window managers (Python 3)
- python3-exabgp (4.0.8-1)
- BGP swiss army knife of networking - Python 3 module
- python3-exam (0.10.5-2)
- Python module to help write better tests
- python3-examples (3.7.3-1)
- examples for the Python language (default version)
- python3-execnet (1.5.0-4)
- rapid multi-Python deployment (Python 3)
- python3-exif (2.1.2-1)
- Python library to extract Exif data from TIFF and JPEG files
- python3-exotel (0.1.5-1)
- Python library for exotel APIs (Python 3)
- python3-expeyes (4.4.4+dfsg-4)
- Python3 library for expeyes
- python3-expiringdict (1.1.2-1)
- Python3 caching libarry
- (1.0.0-3)
- extensions to the Python standard library (Python 3.x)
- python3-eyed3 (0.8.8-1)
- Python module for id3-tags manipulation (Python 3 version)
- python3-f2py
- virtueel pakket geboden door python3-numpy
- python3-fabio (0.8.0+dfsg-1)
- I/O library for images produced by 2D X-ray detector - Python3
- python3-fabio-dbg (0.8.0+dfsg-1)
- I/O library for images produced by 2D X-ray detector - Python3 debug
- python3-fabulous (0.3.0+dfsg1-6)
- Python module to make your terminal output fabulous
- python3-factory-boy (2.11.1-2)
- test fixtures replacement based on factory_girl for Ruby - Python 3.x
- python3-fake-factory (0.7.7-2.1)
- Faker is a Python library that generates fake data (Python 3)
- python3-fakeredis (1.0.3-1)
- Fake version of a redis-py - Python 3.x
- python3-fakesleep (0.1-1)
- Fake version of time.sleep() for use in tests
- python3-falcon (1.0.0-2+b3)
- supersonic micro-framework for building cloud APIs - Python 3.x
- python3-fann2 (1:1.1.2+ds-1)
- Python 3 bindings for FANN
- python3-fast-histogram (0.7-1)
- Fast 1D and 2D histogram functions in Python
- python3-fast5 (0.6.5-2+b1)
- library for reading Oxford Nanopore Fast5 files -- Python 3
- python3-fastcluster (1.1.25-2)
- Fast hierarchical clustering routines for Python 3
- python3-fasteners (0.12.0-3)
- provides useful locks - Python 3.x
- python3-fastimport (0.9.8-2)
- Fastimport file format parser and generator library
- python3-fastkml (0.11-2)
- fast KML processing (Python 3)
- python3-fava (1.9-4)
- Web interface for the double-entry accounting tool Beancount
- python3-fdb (2.0.0-1)
- Python3 DB-API driver for Firebird
- python3-feature-check (0.2.2-3+deb10u1)
- query a program for supported features - Python 3.x library
- python3-fedora (0.10.0-1)
- Python modules for interacting with Fedora Services (Python 3)
- python3-feedgenerator (1.9-1)
- Syndication feed generation library (Python 3 version)
- python3-feedparser (5.2.1-1)
- Universal Feed Parser for Python 3
- python3-ferret (7.4.4-1)
- Python3 wrapper for the Ferret visualization and analysis environment,
- python3-ffc (2018.1.0-5)
- compiler for finite element variational forms (Python 3)
- python3-fftw3
- virtueel pakket geboden door python3-pyfftw
- python3-fiat (2018.1.0-5)
- tabulation of finite element function spaces (Python 3)
- python3-fido2 (0.5.0-1)
- Python library for implementing FIDO 2.0
- python3-fife (0.4.2-1)
- FIFE is a multi-platform isometric game engine
- python3-file-encryptor (0.2.9-2)
- Python 3 module to convergently encrypt and decrypt files
- python3-filelock (3.0.10-1)
- platform independent file locking module (Python 3)
- python3-fiona (1.8.4-1+b1)
- Python 3 API for reading/writing vector geospatial data
- python3-first (2.0.0-2)
- simple function that returns the first true value from an iterable
- python3-fisx (1.1.6-1)
- Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3)
- python3-fisx-dbg (1.1.6-1)
- Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3 Debug)
- python3-fitbit (0.3.0-4)
- FitBit REST API Client Implementation - Python 3
- python3-fitsio (0.9.12+dfsg-1)
- Python 3 library to read from and write to FITS files
- python3-fiu (0.98-2)
- userspace fault injection framework (Python 3 bindings)
- python3-fixtures (3.0.0-2)
- PyUnit extension for defining test fixtures outside of test cases - Python 3.x
- python3-flake8 (3.6.0-1)
- code checker using pycodestyle and pyflakes - Python 3.x
- python3-flake8-docstrings (1.1.0-1)
- flake8 extension to check docstrings
- python3-flake8-polyfill (1.0.2-1)
- polyfill package for Flake8 plugins
- python3-flaky (3.3.0-1)
- Plugin for nose or py.test that automatically reruns flaky tests (Python 3)
- python3-flask (1.0.2-3+deb10u1) [security]
- micro web framework based on Werkzeug and Jinja2 - Python 3.x
- python3-flask-api (1.1+dfsg-1)
- Browsable web APIs for the Flask micro web framework
- python3-flask-assets (0.12-3)
- Python 3 flask extension that provides webassets integration
- python3-flask-autoindex (0.6.2-1)
- automatically generated index pages for Flask applications (Python 3)
- python3-flask-babel (0.11.2-2)
- internationalization and localization support for Flask (Python 3)
- python3-flask-babelex (0.9.3-2)
- Adds i18n/l10n support to Flask applications
- python3-flask-bcrypt (0.7.1-1)
- Python 3 flask extension that provides bcrypt hashing utilities
- python3-flask-cache (0.13.1-2)
- Cache support for flask applications
- python3-flask-compress (1.4.0-2)
- Compress responses in a Flask app with gzip (Python 3)
- python3-flask-cors (3.0.7-1+deb10u1)
- Flask extension for handling CORS (Python 3)
- python3-flask-flatpages (0.6-1)
- Provides flat static pages to a Python 3 Flask application
- python3-flask-gravatar (0.4.2-1)
- Extension for Flask to make usage of Gravatar service
- python3-flask-htmlmin (1.3.2-1)
- Minimize render templates html
- python3-flask-httpauth (3.2.4-3)
- Basic and Digest HTTP authentication for Flask (Python 3)
- python3-flask-ldapconn (0.7.2-1)
- LDAP connection and ORM for Flask Applications (Python 3)
- python3-flask-login (0.4.1-2)
- user session management for Flask -- Python 3 module
- python3-flask-mail (0.9.1+dfsg1-1)
- Flask extension for sending email
- python3-flask-migrate (2.1.1-2)
- SQLAlchemy migrations for Flask using Alembic and Python 3
- python3-flask-mongoengine (0.9.3-2)
- Extension that provides integration with MongoEngine (Python 3)
- python3-flask-oauthlib (0.9.5-2)
- OAuthlib support for Flask
- python3-flask-openid (1.2.5+dfsg-2)
- OpenID support for Flask applications (Python 3)
- python3-flask-paranoid (0.2.0-3)
- Simple user session protection
- python3-flask-peewee (0.6.7-1)
- Peewee integration for flask (Python 3)
- python3-flask-principal (0.4.0-1)
- identity management for Flask
- python3-flask-rdf (0.2.1-1+deb10u1)
- Flask decorator to output RDF using content negotiation (Python 3)
- python3-flask-restful (0.3.7-1)
- REST API framework for Flask applications (Python3 Module)
- python3-flask-script (2.0.6-1)
- set of utilities for use with the Flask framework and Python 3
- python3-flask-security (1.7.5-2+deb10u1) [security]
- Simple security for Flask apps (Python 3)
- python3-flask-silk (0.2-13)
- FamFamFam Silk icon set for Flask applications (Python 3)
- python3-flask-sqlalchemy (2.1-3)
- adds SQLAlchemy support to your Python 3 Flask application
- python3-flask-testing (0.7.1-1)
- unit testing utilities for the Flask micro web framework
- python3-flaskext.multistatic (1.0-1)
- Simple flask plugin for overriding static files (Python 3)
- python3-flaskext.wtf (0.14.2-3)
- Simple integration of Flask and WTForms (Python 3)
- python3-flatlatex (0.8-1)
- Python3 LaTeX math converter to unicode text - library
- python3-flexmock (0.10.3-1)
- Mock/Stub/Spy library for Python 3
- python3-flickrapi (2.1.2-5)
- Flickr API wrapper for Python 3
- python3-flor (1.1.1-1)
- efficient Bloom filter library (Python3 version)
- python3-flufl.bounce (3.0-1)
- email bounce detectors (Python 3)
- python3-flufl.enum (4.1.1-1)
- yet another Python enumeration package (Python 3)
- python3-flufl.i18n (2.0.1-1)
- high level API for Python internationalization (Python 3)
- python3-flufl.lock (3.2-1)
- NFS-safe file-based lock with timeouts (Python 3)
- python3-flufl.password (1.3-2)
- password hashing and verification
- python3-flufl.testing (0.7-1)
- small collection of Python test helpers
- python3-fluids (0.1.73-1)
- Python 3 fluid dynamics and engineering design library
- python3-fontconfig (0.5.1-1+b6 [amd64, armhf, i386], 0.5.1-1+b4 [arm64])
- python bindings for the Fontconfig library for Python3
- python3-fontconfig-dbg (0.5.1-1+b6 [amd64, armhf, i386], 0.5.1-1+b4 [arm64])
- python bindings for the Fontconfig library for Python3 (debug build)
- python3-fontmake (1.8.0-1)
- Python library for compiling fonts from UFO or Glyphs to OTF/TTF
- python3-fontmath (0.4.9-1)
- Objects for performing math operations on font data
- python3-fontpens (0.2.4-1)
- Classes implementing Pen protocol for manipulating UFO glyphs
- python3-fonttools (3.35.1-1)
- Converts OpenType and TrueType fonts to and from XML (Python 3 Library)
- python3-forge (1.3.0-4)
- mocking and testing modules (Python 3 version)
- python3-formencode (1.3.0-3)
- validation and form generation Python 3 package
- python3-fparser (0.0.8-2)
- Python3 Parser for the Fortran language
- python3-fpylll (0.4.1+ds1-5)
- Python wrapper for LLL-reduction of Euclidean lattices -- Python 3
- python3-freecontact (1.1-4)
- fast protein contact predictor - binding for Python3
- python3-freezegun (0.3.11-0.1)
- Python3 library to mock the datetime module in unit testing
- python3-frozen-flask (0.11-3)
- Freezes a Flask application into a set of static files
- python3-frozendict (1.2-1)
- immutable dictionary - Python 3.x
- python3-fs (2.2.1-1)
- Python filesystem abstraction
- python3-fsapfs (20190210-1)
- APFS access library -- Python 3 bindings
- python3-fswrap (1.0.1-0.2)
- unified object oriented interface to file system objects (Python 3)
- python3-ftdi1 (1.4-1+b2)
- Python module to control and program the FTDI USB controllers
- python3-fudge (1.1.0-1)
- Python 3 module for using fake objects for tests
- python3-funcparserlib (0.3.6-7)
- Recursive descent parsing library for python3
- python3-funcsigs (1.0.2-4)
- function signatures from PEP362 - Python 3.x
- python3-furl (2.0.0-1)
- Small Python library for URLs parsing and manipulating
- python3-fuse (2:0.3.1-1)
- Python bindings for FUSE (Filesystems in USErspace) (Python 3 package)
- python3-fusepy (3.0.1-1)
- simple Python interface to FUSE (Python 3)
- python3-future (0.16.0-1)
- Clean single-source support for Python 3 and 2 - Python 3.x
- python3-futurist (1.6.0-2)
- useful additions to futures, from the future - Python 3.x
- python3-fuzzywuzzy (0.17.0-1)
- Python 3 module for fuzzy string matching
- python3-fysom (2.1.5-2)
- pYthOn Finite State Machine (Python3 package)
- python3-gabbi (1.40.0-4)
- declarative HTTP testing library - Python 3.x
- python3-galpy (1.4.0-2)
- Python 3 package for Galactic Dynamics
- python3-gammapy (0.10-1)
- Python package for gamma-ray astronomy
- python3-gammu (2.12-1)
- Python module to communicate with mobile phones (Python 3)
- python3-ganeti-rapi (2.16.0-5)
- cluster virtualization manager - RAPI client library (Python 3)
- python3-gau2grid (1.3.1-2)
- Computing gaussians on a grid (python module)
- python3-gbulb (0.6.1-0.1)
- PEP 3156 event loop based on GLib (Python 3)
- python3-gccjit (0.4-8)
- Python3 bindings for libgccjit
- python3-gccjit-dbg (0.4-8)
- Python3 bindings for libgccjit (debug build)
- python3-gdal (2.4.0+dfsg-1+deb10u1) [security]
- Python 3 bindings to the Geospatial Data Abstraction Library
- python3-gdbm (3.7.3-1)
- GNU dbm database support for Python 3.x
- python3-gdbm-dbg (3.7.3-1)
- GNU dbm database support for Python 3.x (debug extension)
- python3-gdcm (2.8.8-9)
- Grassroots DICOM Python bindings
- python3-gdl (0.9.9-10)
- Python interface for the GNU Data Language
- python3-gdspy (1.3.1-3)
- Python library for GDSII handling (Python 3)
- python3-gear (0.5.8-4)
- Pure Python Async Gear Protocol Library - Python 3.x
- python3-gencpp (0.6.0-4)
- C++ Robot OS message and service generators - Python 3 version
- python3-genlisp (0.4.16-4)
- Common-Lisp Robot OS message and service generators - Python 3 version
- python3-genmsg (0.5.11-2)
- Python 3 library for generating Robot OS message and service data
- python3-genpy (0.6.7-3)
- Python 3 Robot OS message and service generators
- python3-genshi (0.7.1-5)
- Python XML-based template engine - Python 3.x
- python3-genty (1.3.0-1)
- Allows you to run a test with multiple data sets (Python 3)
- python3-geoalchemy2 (0.5.0-1)
- SQLAlchemy extension for spatial databases using PostGIS
- python3-geographiclib (1.49-4)
- Python 3 implementation of GeographicLib
- python3-geoip (1.3.2-2)
- Python3 bindings for the GeoIP IP-to-country resolver library
- python3-geoip2 (2.9.0+dfsg1-1)
- Python geoip2 API for web services and databases - Python 3.x
- python3-geojson (2.4.1-1)
- Python 3 bindings and utilities for GeoJSON
- python3-geolinks (0.2.0-3)
- Python 3 Library for using geospatial links (catalogue interoperablity)
- python3-geomet (0.2.0.post2-2)
- convert GeoJSON to/from WKT/WKB (Python)
- python3-geometry-msgs (1.12.7-1)
- Messages relating to Robot OS geometry, Python 3 interface
- python3-geopandas (0.4.0-3)
- Python3 tools for geographic data
- python3-geopy (1.18.1-1)
- geocoding toolbox for Python3
- python3-geotiepoints (1.1.7-1)
- Interpolation of geographic tiepoints in Python
- python3-germinate (2.31)
- expand dependencies in seed packages (Python 3 interface)
- python3-gerritlib (0.8.0-1)
- client library for accessing Gerrit with Python3
- python3-getdns (1.0.0~b1-1+b4)
- modern asynchronous DNS API (python 3 bindings)
- python3-gevent (1.3.7-1)
- gevent is a coroutine-based Python networking library
- python3-gevent-dbg (1.3.7-1)
- gevent is a coroutine-based Python networking library - debugging symbols
- python3-gfapy (1.0.0+dfsg-3)
- flexible and extensible software library for handling sequence graphs
- python3-gffutils (0.9-1)
- Work with GFF and GTF files in a flexible database framework
- python3-gflags (1.5.1-5)
- implementation of the Google command line flags module - Python 3.x
- python3-ghdiff (0.4-2)
- Generator of Github-style HTML for unified diffs
- python3-gi (3.30.4-1)
- Python 3 bindings for gobject-introspection libraries
- python3-gi-cairo (3.30.4-1)
- Python 3 Cairo bindings for the GObject library
- python3-gi-dbg (3.30.4-1)
- Python 3 bindings for gobject-introspection libraries (debug extension)
- python3-gimmik (2.1-1)
- generator of matrix multiplication kernels (Python 3)
- python3-ginga (2.7.2-2)
- Astronomical image toolkit for Python
- python3-git (2.1.11-1+deb10u2) [security]
- Python library to interact with Git repositories - Python 3.x
- python3-git-os-job (1.0.1-2)
- Show the OpenStack job logs for a commit - Python 3.x
- python3-gitdb (2.0.5-1)
- pure-Python git object database (Python 3)
- python3-github (1.40-1)
- Access the full Github API v3 from Python3
- python3-gitlab (1:1.6.0-3)
- Python 3 GitLab API client library
- python3-glad (0.1.27-1)
- GL/GLES/EGL/GLX/WGL Loader-Generator (Python 3)
- python3-glance (2:17.0.0-5+deb10u1) [security]
- OpenStack Image Registry and Delivery Service - Python library
- python3-glance-store (0.26.1-4)
- OpenStack Image Service store library - Python 3.x
- python3-glanceclient (1:2.13.1-1)
- Client library for Openstack glance server - Python 3.x
- python3-glareclient (0.5.3-2)
- client library for the OpenStack GLare Artifact REpository API - Python 3.x
- python3-gleetex (2.3.1-3)
- Module to embed LaTeX equations in HTML files
- python3-glob2 (0.5-2)
- Enhanced glob module for Python
- python3-glue (0.14.1+dfsg-1)
- Python 3 library for data interaction
- python3-glymur (0.8.17-1)
- Python tools for accessing JPEG2000 files
- python3-glyphslib (3.1.4-1)
- Library for converting between Glyphs files (.glyphs) and UFOs
- python3-gmplot (1.2.0-1)
- matplotlib-like interface to plotting data with Google Maps (python3)
- python3-gmpy2 (2.1.0~a4-1)
- interfaces GMP to Python 3 for fast, unbound-precision computations
- python3-gmsh (4.1.5+really4.1.3+ds1-1)
- Three-dimensional finite element mesh generator Python 3 wrapper
- python3-gnocchi (4.3.1-3)
- Metric as a Service - Python 3.x
- python3-gnocchiclient (7.0.2-2)
- bindings to the OpenStack Gnocchi API - Python 3.x
- python3-gnucap (0.0.2-1.1)
- Python 3 bindings for the GNU Circuit Analysis Package
- python3-gnucash (1:3.4-1+deb10u1)
- Gnucash interface for Python
- python3-gnupg (0.4.4-1)
- Python wrapper for the GNU Privacy Guard (Python 3.x)
- python3-gnuplotlib (0.28-1)
- Gnuplot-based plotter for numpy
- python3-goocalendar (0.4-2)
- Calendar widget for GTK+ using PyGoocanvas (Python 3)
- python3-google-auth (1.5.1-1)
- Google Authentication Library - Python 3.x
- python3-google-compute-engine (20190124-3)
- Python library for Google Compute Engine interaction (Python 3)
- python3-google-i18n-address (2.3.2-3)
- Internationalization address metadata (python3 bindings)
- python3-googleapi (1.5.5-1)
- Google APIs Client Library - Python 3.x
- python3-gpapi (0.4.3-1)
- Unofficial python API for Google Play
- python3-gpg (1.12.0-6)
- Python interface to the GPGME GnuPG encryption library (Python 3)
- python3-gphoto2 (1.9.0-1)
- Python interface to libgphoto2 (Python 3)
- python3-gphoto2
- virtueel pakket geboden door python3-gphoto2cffi
- python3-gphoto2cffi (0.4.3~a1-1)
- GPhoto2 bindings with simpler API
- python3-gpiozero (1.4.1-1.1)
- simple interface to everyday GPIO components used with Raspberry Pi (Python 3)
- python3-gpxpy (1.3.4-1)
- GPX file parser and GPS track manipulation library (Python 3)
- python3-gpyfft (0.7.0-1+b1)
- Wrapper for the OpenCL FFT library clFFT (Python 3)
- python3-gpyfft-dbg (0.7.0-1+b1)
- Wrapper for the OpenCL FFT library clFFT (Python 3 debug)
- python3-grapefruit (0.1~a3+dfsg-6)
- Python module to manipulate color information easily (Python 3)
- python3-graphite2 (1.3.13-7)
- Python bindings for libgraphite2
- python3-graphviz (0.8.4-2)
- Simple Python 3 interface for Graphviz
- python3-graypy (0.2.14-1)
- Python logging handler that sends messages in GELF (Python 3)
- python3-greenlet (0.4.15-2)
- Lightweight in-process concurrent programming (python3)
- python3-greenlet-dbg (0.4.15-2)
- Lightweight in-process concurrent programming - debugging symbols (python3)
- python3-grib (2.0.4-1)
- Python 3 module for reading and writing GRIB files
- python3-gribapi (1.28.0-2)
- Python3 module for the ECMWF GRIB API library
- python3-gridfs (3.7.1-1.1)
- Python3 implementation of GridFS for MongoDB
- python3-grpc-tools (1.14.1-1)
- Protobuf code generator for gRPC (Python 3)
- python3-grpcio (1.16.1-1)
- GRPC system (Python 3)
- python3-gssapi (1.4.1-1+b1)
- Python 3 interface to GSSAPI
- python3-gst-1.0 (1.14.4-1+b1)
- GStreamer GObject Introspection overrides for Python (Python 3)
- python3-gst-1.0-dbg (1.14.4-1+b1)
- GStreamer GObject Introspection overrides for Python (Python 3, debug extensions)
- python3-gsw (3.3.0-1)
- Python 3 implementation of the Thermodynamic Equation of Seawater
- python3-gtkspellcheck (4.0.5-1)
- Python 3 spellchecking library for GTK+ based on Enchant
- python3-gtts (1.2.0-1)
- Wrapper and CLI utility for the Google TTS (Text-to-Speech) API (Python 3)
- python3-gtts-token (1.1.1-1)
- Calculates a token to run the Google Translate text to speech (Python 3)
- python3-gudhi (2.3.0+dfsg-2+b1)
- Python 3 interface to the GUDHI library
- python3-guess-language (0.5.2-5)
- library to detect the natural language of a text (Python 3 version)
- python3-guestfs (1:1.40.2-2)
- guest disk image management system - Python 3 bindings
- python3-guidata (1.7.6-1)
- dataset manipulation GUI generator - Python 3
- python3-guiqwt (3.0.3-3)
- efficient 2D data-plotting library - Python 3
- python3-guizero (0.6.0+dfsg1-1)
- module to allow quick and easy GUI creation (Python 3)
- python3-guizero-doc (0.6.0+dfsg1-1)
- module to allow quick and easy GUI creation (documentation)
- python3-gumbo (0.10.1+dfsg-2.3)
- pure-C HTML5 parser Python 3 bindings
- python3-gunicorn (19.9.0-1)
- Event-based HTTP/WSGI server (Python 3 libraries)
- python3-guzzle-sphinx-theme (0.7.11-4)
- Sphinx theme used by Guzzle
- python3-gv (2.40.1-6+deb10u1)
- Python3 bindings for graphviz
- python3-gwcs (0.10.0-2)
- Tools for managing the WCS of astronomical data (Python 3)
- python3-gyoto (1.3.1-1)
- General relativistic geodesic integration for the Python 3 language
- python3-h11 (0.8.1-1)
- Pure-Python, bring-your-own-I/O implementation of HTTP/1.1 (Python 3)
- python3-h2 (3.0.1-4)
- Pure-Python3 HTTP/2 State-Machine based protocol implementation in Python
- python3-h5py (2.8.0-3)
- general-purpose Python interface to hdf5 (Python 3)
- python3-h5py-dbg (2.8.0-3)
- debug extensions for h5py (Python 3)
- python3-hacking (1.1.0-2)
- Flake8 OpenStack Hacking Guidelines Enforcement plugins - Python 3.x
- python3-hamcrest (1.8.0-1.1)
- Hamcrest framework for matcher objects (Python 3)
- python3-haproxy-log-analysis (2.0~b0-1)
- generate aggregate statistics from HAProxy HTTP logs (Python 3)
- python3-harmony (0.5.0-1)
- program and library for creating and managing Discord accounts
- python3-harp (1.5+data-3)
- Python3 interface for the HARP data harmonisation toolset
- python3-hashids (1.2.0-2)
- Python implementation of hashids (Python 3 version)
- python3-hdf4 (0.9.2-1)
- Python-HDF4: Python interface to the NCSA HDF4 library
- python3-hdmedians (0.13~git20171027.8e0e9e3-1)
- high-dimensional medians in Python3
- python3-healpy (1.12.8-8)
- HEALPix representation of spherical data - Python3 interface
- python3-heapdict (1.0.0-1)
- heap with decrease-key and increase-key operations for Python 3
- python3-heat (1:11.0.0-6)
- OpenStack orchestration service - Python files
- python3-heat-dashboard (1.4.0-2)
- OpenStack orchestration service - dashboard plugin
- python3-heatclient (1.16.1-2)
- client library and CLI for OpenStack Heat - Python 3.x
- python3-hgapi (1.7.3+git20170127.dd8fb7b-1)
- module providing a pure-Python API to Mercurial (Python 3)
- python3-hglib (2.6.1-1)
- Python3 library for interfacing with Mercurial's command server
- python3-hid (0.7.99.post21-1+b1)
- cython3 interface to hidapi
- python3-hidapi (0.2.2-1)
- Python bindings for the HID API
- python3-hinawa-utils (0.1.0-1)
- Utilities to control Audio and Music units on FireWire (IEEE1394)
- python3-hips (0.2-2)
- Python package for Hierarchical Progressive Surveys
- python3-hiredis (0.3.1-1)
- redis protocol reader for Python using hiredis
- python3-hivex (1.3.18-1+deb10u1)
- Python 3 bindings for hivex
- python3-hkdf (0.0.3-3)
- HMAC-based Extract-and-Expand Key Derivation Function (HKDF)
- python3-hl7 (0.3.4-3)
- Python3 library for parsing HL7 messages
- python3-holidays (0.9.9-1)
- Python library for generating sets of holidays
- python3-hpack (3.0.0-3)
- Pure-Python3 HTTP/2 header encoding (HPACK)
- python3-hpilo (4.3-1)
- HP iLO XML interface access from Python (Python 3)
- python3-hplefthandclient (1.0.1-2)
- HP LeftHand/StoreVirtual HTTP REST Client - Python 3.x
- python3-html2text (2018.1.9-1)
- Python module for converting HTML to Markdown text (Python3 version)
- python3-html5-parser (0.4.5-1)
- fast, standards compliant, C based, HTML 5 parser for python
- python3-html5lib (1.0.1-1)
- HTML parser/tokenizer based on the WHATWG HTML5 specification
- python3-htmlmin (0.1.12-1)
- HTML Minifier
- python3-htseq (0.11.2-1)
- Python3 high-throughput genome sequencing read analysis utilities
- python3-httmock (1.3.0-1)
- Mocking library for python3-requests
- python3-http-parser (0.8.3-3)
- http request/response parser (Python 3)
- python3-httpbin (0.5.0+dfsg-2)
- HTTP request and response service (Python 3 package)
- python3-httplib2 (0.11.3-2)
- comprehensive HTTP client library written for Python3
- python3-httpretty (0.9.5-3)
- HTTP client mock - Python 3.x
- python3-httpsig (1.3.0-1)
- sign HTTP requests (IETF HTTP Signatures) - Python 3 version of the package
- python3-httptools (0.0.11-1)
- framework independent HTTP protocol utils (Python3 version)
- python3-humanfriendly (4.18-1)
- Python3 library to make user friendly text interfaces
- python3-humanize (0.5.1-3)
- Python Humanize library (Python 3)
- python3-hunspell (0.5.5-1)
- Python 3 binding for Hunspell
- python3-hupper (1.5-1)
- Integrated process monitor for developing servers. (Python 3)
- python3-hurry.filesize (0.9-2)
- human readable file sizes or anything sized in bytes - Python 3.x
- python3-hy (0.12.1-2)
- Lisp (s-expression) based frontend to Python 3
- python3-hydroffice.bag (0.2.15-2)
- manage Bathymetric Attributed Grid (BAG) data files (Python 3)
- python3-hyperframe (5.1.0-1)
- Pure-Python3 HTTP/2 framing code
- python3-hyperlink (17.3.1-2)
- Immutable, Pythonic, correct URLs.
- python3-hypothesis (3.71.11-1)
- advanced Quickcheck style testing library for Python 3
- python3-iapws (1.3-2)
- Python3 implementation of the international APWS-IF97 steam tables
- python3-icalendar (4.0.3-2)
- parser/generator of iCalendar files for use with Python3
- python3-icecream (1.3.1-1)
- Debugging tool for Python scripts
- python3-icu (2.2-2)
- Python 3 extension wrapping the ICU C++ API
- python3-icu-dbg (2.2-2)
- Python 3 extension wrapping the ICU C++ API (debug extension)
- python3-idna (2.6-1+deb10u1) [security]
- Python IDNA2008 (RFC 5891) handling (Python 3)
- python3-ifaddr (0.1.6-1)
- Pure Python implementation for detecting IP addresses
- python3-igraph (0.7.1.post6-7)
- High performance graph data structures and algorithms (Python 3)
- python3-ijson (2.3-2)
- event-driven JSON parser (Python 3 version)
- python3-ilorest (2.3.1+20180725+ds-1)
- RESTful API for HPE iLO and iLO Chassis Manager based HPE servers (Python3)
- python3-image-geometry (1.13.0+ds-2)
- image_geometry Robot OS package - Python 3 bindings
- python3-imageio (2.4.1-2)
- library for reading and writing image data (Python 3)
- python3-imagesize (1.0.0-1)
- Python 3 module for getting image size from png/jpeg/jpeg2000/gif file
- python3-imaplib2 (2.57-5)
- Threaded Python IMAP4 client (Python 3)
- python3-imdbpy (6.6-1)
- Python package to access the IMDb's movie database (Python 3)
- python3-imexam (0.8.0-3)
- Simple interactive astronomical image examination and plotting
- python3-img2pdf (0.3.3-1)
- Lossless conversion of raster images to PDF (library)
- python3-importmagic (0.1.7-2)
- automagically add, remove and manage Python 3 imports
- python3-incremental (16.10.1-3)
- Library for versioning Python projects.
- python3-indexed-gzip (0.8.6-1+b1)
- fast random access of gzip files in Python
- python3-inflect (2.1.0-1)
- Generate plurals, singular nouns, ordinals, indefinite articles (Python 3)
- python3-inflection (0.3.1-1)
- Port of Ruby on Rails' inflector (Python 3)
- python3-influxdb (5.2.0-1)
- Client for InfluxDB - Python 3.x
- python3-inifile (0.4-1)
- Small INI library for Python 3
- python3-iniparse (0.4-2.2)
- access and modify configuration data in INI files (Python 3)
- python3-instagram (1.3.2+git20160108~dfeebe9-4)
- Python 3 client for the Instagram REST and Search APIs
- python3-intelhex (2.1-2)
- Python support for Intel HEX (Python3)
- python3-interactive-markers (1.11.4-1)
- Robot OS interactive_markers - Python 3 package
- python3-internetarchive (1.8.1-1+deb10u1)
- python interface to archive.org
- python3-intervaltree (3.0.2-1)
- mutable, self-balancing interval tree (Python 3)
- python3-intervaltree-bio (1.0.1-3)
- Interval tree convenience classes for genomic data -- Python 3 library
- python3-invocations (0.6.2-2)
- Reusable Invoke tasks - Python 3.x
- python3-invoke (0.11.1+dfsg1-1)
- Pythonic task execution - Python 3.x
- python3-iowait (0.1-1.1)
- Platform-independent module for I/O completion events
- python3-ipdb (0.11-1)
- IPython-based pdb replacement (Python 3 version)
- python3-ipfix (0.9.7-1)
- IPFIX implementation for Python 3
- python3-iptables (0.13.0-1+b1)
- Python bindings for iptables (Python 3 interface)
- python3-ipy (1:0.83-1)
- Python3 module for handling IPv4 and IPv6 addresses and networks
- python3-ipykernel (4.9.0-1)
- IPython kernel for Jupyter (Python 3)
- python3-ipython (5.8.0-1+deb10u1)
- Enhanced interactive Python shell (Python 3 version)
- python3-ipython-genutils (0.2.0-1)
- IPython vestigial utilities for Python 3
- python3-ipywidgets (6.0.0-4)
- Interactive widgets for the Jupyter notebook (Python 3)
- python3-irc (8.5.3+dfsg-4)
- Internet Relay Chat (IRC) protocol client library — Python 3
- python3-ironic (1:11.1.0-6)
- bare metal hypervisor API for OpenStack - Python lib
- python3-ironic-inspector (8.0.0-3)
- discovering hardware properties for OpenStack Ironic - Python 2.7
- python3-ironic-inspector-client (3.3.0-2)
- client for Ironic Inspector - Python 3.x
- python3-ironic-lib (2.14.0-2)
- common library used by various Ironic projects - Python 3.x
- python3-ironic-neutron-agent (1.2.0-3)
- OpenStack virtual network service - Ironic agent Python 3.x library
- python3-ironic-ui (3.3.0-4)
- bare metal hypervisor API for OpenStack - Dashboard plugin
- python3-ironicclient (2.5.0-2)
- Client for OpenStack bare metal Service - Python 3.x
- python3-isbnlib (3.9.3-1)
- ISBN processing library
- python3-isc-dhcp-leases (0.9.1-1)
- Python module for reading dhcp leases files (Python 3 interface)
- python3-iso3166 (0.8.git20170319-1)
- Standalone ISO 3166-1 country definitions (Python 3)
- python3-iso8601 (0.1.11-1)
- Python module to parse ISO 8601 dates - Python 3.x
- python3-isodate (0.6.0-1)
- ISO 8601 date/time/duration parser and formatter (Python 3 module)
- python3-isort (4.3.4+ds1-1.1)
- library for sorting Python imports (Python 3)
- python3-isospec (1.9.1-5)
- Isotopic fine structure calculator for Python 3
- python3-isoweek (1.3.3-2)
- Python module to provide the class Week (Python 3)
- python3-itango (0.1.7-1)
- Interactive Tango client - Python 3
- python3-itsdangerous (0.24+dfsg1-2)
- Various helpers to pass trusted data to untrusted environment - Python 3.x
- python3-itypes (1.1.0-3)
- Python3 basic immutable containers types library
- python3-ixion (0.14.1-4)
- general purpose formula parser & interpreter library -- python module
- python3-jack-client (0.4.5-1)
- JACK Audio Connection Kit (JACK) Client for Python 3
- python3-jaraco.itertools (2.0.1-3)
- Tools for working with iterables (Python 3)
- python3-jdcal (1.0-1.2)
- Julian dates from proleptic Gregorian and Julian calendars
- python3-jedi (0.13.2-1)
- autocompletion tool for Python 3
- python3-jellyfish (0.5.6-3+b2)
- Library for approximate and phonetic matching of strings (Python 3)
- python3-jenkins (0.4.16-1)
- bindings for the Jenkins Remote API - Python 3.x
- python3-jenkins-job-builder (2.9.1-1)
- Configure Jenkins using YAML files - Python 3.x
- python3-jieba (0.39-4)
- Jieba Chinese text segmenter (Python 3)
- python3-jinja2 (2.10-2+deb10u1) [security]
- small but fast and easy to use stand-alone template engine
- python3-jinja2-time (0.2.0-1)
- Jinja2 extension for dates and times - Python 3.x
- python3-jira (2.0.0-1)
- JIRA Python library (Python 3)
- python3-jmespath (0.9.4-1)
- JSON Matching Expressions (Python 3)
- python3-joblib (0.13.0-2+deb10u2) [security]
- tools to provide lightweight pipelining in Python
- python3-josepy (1.1.0-2)
- JOSE implementation for Python 3.x
- python3-jpy (0.9.0-2)
- Bi-directional Python-Java bridge (Python3)
- python3-jpype (0.6.3+dfsg-1+b1)
- Binding the worlds of Java and Python (for Python 3)
- python3-jsbeautifier (1.6.4-7)
- JavaScript unobfuscator and beautifier (python3)
- python3-jsmin (2.2.2-1)
- JavaScript minifier written in Python - Python 3.x
- python3-json-pointer (1.10-1)
- resolve JSON pointers - Python 3.x
- python3-json-tricks (3.11.0-1)
- Python module with extra features for JSON files
- python3-jsondiff (1.1.1-2)
- diff JSON and JSON-like structures in Python 3
- python3-jsonext (0.4.1-1)
- serialisation to/from JSON for extra types — Python 3
- python3-jsonhyperschema-codec (1.0.3-1)
- Python3 JSON Hyper-Schema codec for Core API
- python3-jsonpatch (1.21-1)
- library to apply JSON patches - Python 3.x
- python3-jsonpath-rw (1.4.0-3)
- extended implementation of JSONPath for Python 3.x
- python3-jsonpath-rw-ext (1.1.3-1)
- Extensions for JSONPath RW - Python 3.x
- python3-jsonpickle (0.9.5-1)
- Python library for serializing object graphs into JSON (Python 3)
- python3-jsonrpc (1.12.1-1)
- Python implementation of JSON-RPC 1.0 and 2.0 (Python 3)
- python3-jsonrpclib-pelix (0.3.1-1)
- Implementation of the JSON-RPC v2.0 specification (Python 3)
- python3-jsonschema (2.6.0-4)
- An(other) implementation of JSON Schema (Draft 3 and 4) - Python 3.x
- python3-junit.xml (1.8-1)
- creates JUnit XML test result docs readable by tools such as Jenkins (py3k)
- python3-junitxml (0.6-1.3)
- PyUnit extension for reporting in JUnit compatible XML
- python3-junos-eznc (2.1.7-2)
- Junos 'EZ' automation for non-programmers (Python 3)
- python3-jupyter-client (5.2.3-1)
- Jupyter protocol client APIs (Python 3)
- python3-jupyter-console (5.2.0-1)
- Jupyter terminal client (Python 3)
- python3-jupyter-core (4.4.0-2+deb10u1) [security]
- Core common functionality of Jupyter projects for Python 3
- python3-jupyter-sphinx-theme (0.0.6+ds1-6)
- Jupyter Sphinx Theme -- Python 3
- python3-jwt (1.7.0-2)
- Python 3 implementation of JSON Web Token
- python3-k8sclient (0.3.0-1)
- Kubernetes API Python client code - Python 3.x
- python3-kafka (1.4.3-1)
- Pure Python client for Apache Kafka - Python 3.x
- python3-kaitaistruct (0.8-1)
- Kaitai Struct declarative parser generator for binary data (python3)
- python3-kajiki (0.7.2-1)
- Really fast well-formed xml templates - Python 3.x
- python3-kanboard (1.0.1-1)
- minimalist kanboard Python client (Python 3)
- python3-kaptan (0.5.10-1)
- Python 3 configuration manager in various formats
- python3-karborclient (1.1.0-2)
- Karbor API client - Python 3.x
- python3-kazoo (2.5.0-1)
- higher level API to Apache Zookeeper (Python 3)
- python3-kdcproxy (0.4-1)
- Kerberos KDC HTTP proxy WSGI module for python3
- python3-kdtree (0.7.1+git20101123-4+b4)
- container for kd-tree sorting for Python 3
- python3-kdtree-dbg (0.7.1+git20101123-4+b4)
- container for kd-tree sorting for Python 3 (debug extension)
- python3-keepalive (0.5-1)
- urllib keepalive support for Python 3
- python3-keras (2.2.4-1)
- deep learning framework running on Theano or TensorFlow
- python3-keras-applications (1.0.6-1)
- popular models and pre-trained weights for the Keras deep learning framework
- python3-keras-preprocessing (1.0.5-1)
- data preprocessing module for the Keras deep learning framework
- python3-kerberos (1.1.14-2)
- GSSAPI interface module - Python 3.x
- python3-keyman-config (11.0.103-2)
- Keyman for Linux configuration
- python3-keyring (17.1.1-1)
- store and access your passwords safely - Python 3 version of the package
- python3-keyrings.alt (3.1.1-1)
- alternate backend implementations for python3-keyring
- python3-keystone (2:14.2.0-0+deb10u2) [security]
- OpenStack identity service - library
- python3-keystoneauth1 (3.10.0-2+deb10u1)
- authentication library for OpenStack Identity - Python 3.x
- python3-keystoneclient (1:3.17.0-2)
- client library for the OpenStack Keystone API - Python 3.x
- python3-keystonemiddleware (5.2.0-2)
- Middleware for OpenStack Identity (Keystone) - Python 3.x
- python3-keyutils (0.6-1)
- Python3 bindings for keyutils
- python3-kitchen (1.2.5-4)
- Python library of containers, text and i18n helpers (Python 3)
- python3-kiwisolver (1.0.1-2+b1)
- fast implementation of the Cassowary constraint solver - Python 3.X
- python3-kiwisolver-dbg (1.0.1-2+b1)
- fast implementation of the Cassowary constraint solver - Python 3.X debug
- python3-klaus (1.3.0-2)
- simple easy-to-set-up Git web viewer
- python3-kmip
- virtueel pakket geboden door python3-pykmip
- python3-kolabformat (1.1.6-4)
- Python 3 bindings for Kolab Format 3.0 XML parsing
- python3-kombu (4.2.1-3)
- AMQP Messaging Framework for Python (Python3 version)
- python3-kopano (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - Python bindings
- python3-kubernetes (7.0.0~a1-1)
- Kubernetes Python client - Python 3.x
- python3-kyotocabinet (1.22-2+b8 [amd64, armhf, i386], 1.22-2+b5 [arm64])
- Straightforward implementation of DBM - Python 3 bindings
- python3-kyotocabinet-dbg (1.22-2+b8 [amd64, armhf, i386], 1.22-2+b5 [arm64])
- Straightforward implementation of DBM - Python 3 debuggin symbols
- python3-kytos-sphinx-theme (0.0.1+dfsg-1)
- Theme used by kytos with sphinx -- Python
- python3-l20n (4.0.0~a1-3)
- Mozilla Web localization framework (Python 3)
- python3-langdetect (1.0.7-3)
- Python library for language-detection (Python 3)
- python3-lasagne (0.1+git20181019.a61b76f-1)
- deep learning library build on the top of Theano (Python3 modules)
- python3-laser-geometry (1.6.4-6)
- Robot OS laser geometry package - Python 3 bindings
- python3-lasso (2.6.0-2+deb10u1)
- Library for Liberty Alliance and SAML protocols - Python bindings
- python3-latexcodec (1.0.5-1)
- LaTeX lexer and codec library for Python3
- python3-launchpadlib (1.10.6-2)
- Launchpad web services client library (Python 3)
- python3-lazr.config (2.2-1)
- ini-file format handling supporting schemas and inheritance
- python3-lazr.delegates (2.0.3-1)
- easily write objects that delegate behavior
- python3-lazr.restfulclient (0.14.2-1)
- client for lazr.restful-based web services (Python 3)
- python3-lazr.smtptest (2.0.3-1)
- framework for testing SMTP-based applications and libraries
- python3-lazr.uri (1.0.3-3)
- library for parsing, manipulating, and generating URIs
- python3-lazy-object-proxy (1.3.1-1+b1)
- Python 3 fast and thorough lazy object proxy
- python3-ldap (3.1.0-2)
- LDAP interface module for Python3
- python3-ldap-dbg (3.1.0-2)
- LDAP interface module for Python3 (debug extension)
- python3-ldap3 (2.4.1-1)
- Pure Python LDAP client library
- python3-ldappool (2.3.1-1)
- connection pool for python-ldap - Python 3.x
- python3-ldif3 (3.2.2-1)
- generate and parse LDIF data (Python3 version)
- python3-ldns (1.7.0-4)
- Python3 bindings for the ldns library for DNS programming
- python3-leather (0.3.3-1)
- charting library for Python
- python3-lensfun (0.3.2-4)
- Lens Correction library - Python3 module
- python3-lepl (5.1.3-2)
- recursive descent parser library (Python 3)
- python3-lesscpy (0.13.0+ds-1)
- LessCss Compiler for Python 3.x
- python3-leveldb (0~svn68-3+b3)
- Python wrapper for LevelDB (Python 3)
- python3-levenshtein (0.12.0-3)
- extension for computing string similarities and edit distances (Python 3)
- python3-levenshtein-dbg (0.12.0-3)
- extension for computing string similarities and edit distances(py3k debug ext)
- python3-lexicon (3.0.8-2)
- Manipulate DNS records on various DNS providers (Python 3)
- python3-lfc (1.10.0-2+b3)
- LCG File Catalog (LFC) python3 bindings
- python3-lib2to3 (3.7.3-1)
- Interactive high-level object-oriented language (2to3, version 3.6)
- python3-lib389 (1.4.0.21-1+deb10u1) [security]
- Python3 module for accessing and configuring the 389 Directory Server
- python3-libapparmor (2.13.2-10)
- AppArmor library Python3 bindings
- python3-libarchive-c (2.8-0.3)
- Python3 interface to libarchive
- python3-libbde (20190102-1)
- BitLocker Drive Encryption access library -- Python 3 bindings
- python3-libcloud (2.4.0-1)
- unified Python interface into the cloud (Python3 version)
- python3-libconcord (1.3-1)
- Harmony remote configuration tool - Python 3 bindings
- python3-libdiscid (1.0-3+b1)
- libdiscid binding for Python 3
- python3-libdiscid-dbg (1.0-3+b1)
- libdiscid binding for Python 3 (debug extension)
- python3-libesedb (20181229-3)
- Extensible Storage Engine DB access library -- Python 3 bindings
- python3-libevdev (0.5-1)
- Python 3 wrapper for libevdev
- python3-libevt (20181227-1)
- Windows Event Log (EVT) format access library -- Python 3 bindings
- python3-libevtx (20181227-1)
- Windows XML Event Log format access library -- Python 3 bindings
- python3-libewf (20140804-1)
- support for Expert Witness Compression format -- Python 3 bindings
- python3-libfsntfs (20190104-1)
- NTFS access library -- Python 3 bindings
- python3-libfvde (20190104-1)
- FileVault Drive Encryption access library -- Python 3 bindings
- python3-libfwnt (20181227-1)
- Windows NT data type library -- Python 3 bindings
- python3-libfwsi (20181227-1)
- Windows Shell Item format access library -- Python 3 bindings
- python3-libgpiod (1.2-3)
- Python bindings for libgpiod (Python 3)
- python3-libguess (1.1-1)
- high-speed character set detection library (Python 3 wrapper)
- python3-libhamlib2 (3.3-5)
- Run-time Python3 library to control radio transceivers and receivers
- python3-libhfst (3.15.0-1.1~deb10u1)
- Helsinki Finite-State Transducer Technology (Python3 module)
- python3-libiio (0.16-1)
- Python bindings for libiio
- python3-libipa-hbac (1.16.3-3.2+deb10u2) [security]
- Python3 bindings for the FreeIPA HBAC Evaluator library
- python3-liblinear (2.1.0+dfsg-4)
- Python 3 bindings for LIBLINEAR
- python3-liblnk (20181227-1)
- Windows Shortcut File format access library -- Python 3 bindings
- python3-liblo (0.10.0-3+b3)
- Python 3 bindings for liblo, the lightweight OSC library
- python3-libmsiecf (20181227-1)
- Microsoft Internet Explorer Cache File access library -- Python 3 bindings
- python3-libnacl (1.6.1-4)
- Python 3 bindings for libsodium based on ctypes
- python3-libnatpmp (20150609-7)
- portable and fully compliant implementation of NAT-PMP (Python 3 bindings)
- python3-libnmap (0.7.0-1)
- Python 3 NMAP library
- python3-libolecf (20181231-1)
- OLE2 Compound File format access library -- Python 3 bindings
- python3-libproxy (0.4.15-5+deb10u1)
- automatic proxy configuration management library (Python 3)
- python3-libqcow (20181227-1)
- QEMU Copy-On-Write image format access library -- Python 3 bindings
- python3-libravatar (1.7-5)
- Libravatar module for Python 3
- python3-librecaptcha (0.4.0-1)
- program and library for solving reCAPTCHA challenges
- python3-libregf (20181231-1)
- Windows NT Registry File (REGF) format access library -- Python 3 bindings
- python3-librouteros (2.2.0-1)
- Python implementation of RouterOS API
- python3-librtmp (0.3.0-1+b3)
- librtmp binding for Python 3
- python3-librtmp
- virtueel pakket geboden door python3-librtmp
- python3-librtmp-dbg (0.3.0-1+b3)
- librtmp binding for Python 3 - Debugging symbols
- python3-libsass (0.17.0-1)
- SASS for Python 3: a straightforward binding of libsass for Python
- python3-libscca (20181227-1)
- Windows Prefetch File access library -- Python 3 bindings
- python3-libsigscan (20190103-1)
- binary signature scanning library -- Python 3 bindings
- python3-libsmbios (2.4.1-1)
- Provide access to (SM)BIOS information -- Python libraries
- python3-libsmdev (20181227-1)
- storage media device access library -- Python 3 bindings
- python3-libsmraw (20181227-1)
- split RAW image format access library -- Python 3 bindings
- python3-libsss-nss-idmap (1.16.3-3.2+deb10u2) [security]
- Python3 bindings for the SID lookups library
- python3-libtcod (1.7.0+dfsg-2)
- Python 3 bindings for the libtcod library
- python3-libtiff (0.4.2-5)
- wrapper to the libtiff library to Python using ctypes (Python 3 version)
- python3-libtmux (0.8.0-1)
- Python scripting library and ORM for tmux (python3)
- python3-libtorrent (1.1.11-2)
- Python bindings for libtorrent-rasterbar (Python 3)
- python3-libtorrent-dbg (1.1.11-2)
- Python bindings for libtorrent-rasterbar (debug symbols) (Python 3)
- python3-libtrace (1.6+git20180219-1+b1)
- Python 3 bindings for the libtrace API
- python3-libusb1 (1.7-1)
- Python wrapper for libusb1 (Python 3)
- python3-libvhdi (20181227-1)
- Virtual Hard Disk image format access library -- Python 3 bindings
- python3-libvirt (5.0.0-1)
- libvirt Python 3 bindings
- python3-libvmdk (20181227-1)
- VMWare Virtual Disk format access library -- Python 3 bindings
- python3-libvoikko (4.2-1)
- Python bindings for libvoikko
- python3-libvshadow (20190127-1)
- Volume Shadow Snapshot format access library -- Python 3 bindings
- python3-libvslvm (20181227-1)
- Linux LVM volume system format access library -- Python 3 bindings
- python3-libxml2 (2.9.4+dfsg1-7+deb10u6) [security]
- Python3 bindings for the GNOME XML library
- python3-libxml2-dbg (2.9.4+dfsg1-7+deb10u6) [security]
- Python3 bindings for the GNOME XML library (debug extension)
- python3-line-profiler (2.1-2)
- line-by-line profiling for Python
- python3-linecache2 (1.0.0-3)
- backports of the linecache module - Python 3.x
- python3-link-grammar (5.5.1-6)
- Carnegie Mellon University's link grammar parser (Python 3)
- python3-linux-procfs (0.6.1-1)
- Linux /proc abstraction classes in Python - Python 3.x
- python3-livereload (2.6.0-1)
- automatic browser refresher (Python 3)
- python3-lldb-11 (1:11.0.1-2~deb10u1)
- Next generation, high-performance debugger, python3 lib
- python3-lldb-13 (1:13.0.1-6~deb10u4)
- Next generation, high-performance debugger, python3 lib
- python3-lldb-x.y
- virtueel pakket geboden door python3-lldb-11, python3-lldb-13
- python3-llfuse (1.3.6+dfsg-1)
- Python 3 bindings for the low-level FUSE API
- python3-llfuse-dbg (1.3.6+dfsg-1)
- Python 3 bindings for the low-level FUSE API (debugging symbols)
- python3-llvmlite (0.27.0-2)
- LLVM Python 3 binding for writing JIT compilers
- python3-lmfit (0.9.11+dfsg-2)
- Least-Squares Minimization with Constraints (Python 3)
- python3-locket (0.2.0-1)
- File-based locks for Python 3 for Linux and Windows
- python3-lockfile (1:0.12.2-2)
- file locking library for Python — Python 3 library
- python3-logbook (1.4.3-1)
- logging system for Python that replaces the standard library's module (Python3)
- python3-logfury (0.1.2-2)
- Responsible, logging of method calls for Python libs - Python 3.x
- python3-logging-tree (1.4-1)
- introspect and display the logging tree in Python3
- python3-logilab-common (1.4.2-1)
- useful miscellaneous modules used by Logilab projects (Python3)
- python3-logilab-constraint (0.6.0-1)
- constraints satisfaction solver in Python - Python 3
- python3-logutils (0.3.3-5)
- handlers for the Python standard library's logging package - Python 3.x
- python3-logzero (1.5.0-1)
- robust and effective logging for Python (Python 3)
- python3-londiste (3.3.0-2)
- Database replication for PostgreSQL based on PgQ
- python3-louis (3.8.0-2)
- Python bindings for liblouis
- python3-lti (0.9.4-1)
- Learning Tools Interoperability implementation (Python3 version)
- python3-lttng (2.10.6-1)
- LTTng control and utility Python bindings
- python3-lttnganalyses (0.6.1-2)
- LTTng 2.0 trace analysis tools (Python 3)
- python3-lttngust (2.10.3-1)
- LTTng 2.0 Userspace Tracer (Python 3 UST agent)
- python3-lupa (1.6+dfsg-3)
- Python wrapper around LuaJIT or Lua (Python3 version)
- python3-lxc (1:3.0.3-1)
- Linux Containers userspace tools (Python3 bindings)
- python3-lxml (4.3.2-1+deb10u4)
- pythonic binding for the libxml2 and libxslt libraries
- python3-lxml-dbg (4.3.2-1+deb10u4)
- pythonic binding for the libxml2 and libxslt libraries (debug extension)
- python3-ly (0.9.5-2)
- Tool and library for manipulating LilyPond files (Python 3)
- python3-ly-doc
- virtueel pakket geboden door python-ly-doc
- python3-lz4 (1.1.0+dfsg-1)
- Python interface to the lz4 compression library (Python 3)
- python3-lz4tools (1.3.1.1-1)
- Tools for working with LZ4 compression algorithm.
- python3-lzo (1.12-2)
- Python bindings for the LZO data compression library (Python 3)
- python3-lzstring (1.0.4-1)
- LZ-based compression algorithm for Python (Python 3 version)
- python3-m3u8 (0.3.7-1)
- Python m3u8 parser - Python 3.x
- python3-macaroonbakery (1.2.1-1)
- Higher-level macaroon operations for Python 3
- python3-macholib (1.11+repack0-1)
- module for Mach-O header analysis and editing (Python 3 interface)
- python3-magcode-core (1.5.4-1)
- MAG Code python3 core module of common utility code.
- python3-magic (2:0.4.15-2)
- python3 interface to the libmagic file type identification library
- python3-magic-wormhole
- virtueel pakket geboden door magic-wormhole
- python3-magic-wormhole-mailbox-server (0.3.1-2)
- Magic Wormhole Mailbox Server
- python3-magics++ (3.3.1-1)
- Python3 support for Magics++
- python3-magnum (7.1.0-1)
- OpenStack containers as a service - Python library
- python3-magnum-ui (5.0.1-2)
- OpenStack Magnum - dashboard plugin
- python3-magnumclient (2.10.0-3)
- client library for Magnum API - Python 3.x
- python3-mailer (0.8.1-3)
- Python module that simplifies sending email (Python 3)
- python3-mailman-hyperkitty (1.1.0-9)
- Mailman3 plugin to archive emails with HyperKitty
- python3-mailmanclient (3.2.2-1)
- Python bindings for Mailman3 REST API (Python 3 version)
- python3-mako (1.0.7+ds1-1+deb10u1) [security]
- fast and lightweight templating for the Python 3 platform
- python3-mallard.ducktype (0.4-2)
- Parser for Ducktype, a lightweight documentation syntax
- python3-mando (0.6.4-4)
- command line argument parser for python3
- python3-manila (1:7.0.0-1+deb10u1)
- OpenStack shared file system as a service - Python libs
- python3-manila-ui (2.16.0-2)
- OpenStack shared file system as a service - Dashboard plugin
- python3-manilaclient (1.24.1-2)
- OpenStack shared file system as a service - Python 3.x client
- python3-manuel (1.10.1-1)
- Python3 library for testable documents and documented tests
- python3-map-msgs (1.13.0-8)
- Python 3 code for map-related ROS Messages
- python3-mapbox-vector-tile (0.5.0+ds-6)
- Mapbox Vector Tile library for Python 3
- python3-mapi (8.7.0-3+deb10u1) [security]
- Complete and feature rich groupware solution - Python MAPI bindings
- python3-mapnik (1:0.0~20180723-588fc9062-2)
- Python 3 interface to the mapnik library
- python3-mapproxy (1.11.0-3+deb10u1)
- open source proxy for geospatial data - Python 3 module
- python3-marathon (0.9.0-2)
- Marathon Client Library - Python 3.x
- python3-marisa (0.2.5-2+b1)
- Python3 bindings for MARISA
- python3-markdown (3.0.1-3)
- text-to-HTML conversion library/tool (Python 3 version)
- python3-markdown2 (2.3.7-2+deb10u1)
- Fast and complete implementation of Markdown in Python3
- python3-markups (3.0.0-1)
- Wrapper around various text markups, implemented in Python 3
- python3-markupsafe (1.1.0-1)
- HTML/XHTML/XML string library for Python 3
- python3-markupsafe-dbg (1.1.0-1)
- HTML/XHTML/XML string library for Python 3 - debug version
- python3-marshmallow (3.0.0b14-1)
- Lightweight library for converting complex datatypes
- python3-marshmallow-doc (3.0.0b14-1)
- Library for converting complex datatypes - documentation
- python3-mastodon (1.3.1-1)
- Library for the Mastodon API (Python 3)
- python3-mathgl (2.4.2.1-5)
- library for scientific graphs (Python v.3 module)
- python3-matplotlib (3.0.2-2)
- Python based plotting system in a style similar to Matlab (Python 3)
- python3-matplotlib-dbg (3.0.2-2)
- Python based plotting system (debug extension, Python 3)
- python3-matplotlib-venn (0.11.5-5)
- Python 3 plotting area-proportional two- and three-way Venn diagrams
- python3-matrix-synapse-ldap3
- virtueel pakket geboden door matrix-synapse-ldap3
- python3-maxminddb (1.4.1-1)
- Python 3 module for reading the MaxMind DB format
- python3-mbed-host-tests (1.4.4-1)
- module and utilities to flash, reset and test Mbed Enabled devices
- python3-mbed-host-tests-doc (1.4.4-1)
- module and utilities to flash, reset and test Mbed Enabled devices (docs)
- python3-mbed-ls (1.6.2+dfsg-1)
- module listing mbed-enabled devices connected to host (Python 3)
- python3-mbed-ls-doc (1.6.2+dfsg-1)
- mbed-ls library documentation
- python3-mccabe (0.6.1-2)
- Python code complexity checker (Python 3)
- python3-mdx-math (0.6-1)
- math extension for Python-Markdown
- python3-measurement (2.0.1-1)
- unit-aware measurement objects (Python3 version)
- python3-mecavideo (6.5.1-1)
- pedagogic tool to analyze video records for mechanics
- python3-mechanicalsoup (0.10.0-2)
- library for automating interaction with websites (Python 3)
- python3-med (4.0.0+repack-7)
- python3 API for MED-fichier
- python3-mediainfodll (18.12-2)
- library for reading metadata from media files -- shared library
- python3-meld3 (1.0.2-2)
- HTML/XML templating system for Python (Python 3)
- python3-memcache (1.59-1)
- pure Python memcached client - Python 3.x
- python3-memoize (1.0.2-2)
- Simple Python cache and memoizing module (Python3)
- python3-memory-profiler (0.52-1)
- memory consumption analysis for Python programs (Python 3)
- python3-meshio (2.3.3-1)
- library for reading and writing mesh data (Python 3)
- python3-message-filters (1.14.3+ds1-5+deb10u3)
- Python 3 library for Robot OS message-filters
- python3-metaconfig (0.1.4a1-5)
- Python ConfigParser bootstrapping library (Python3)
- python3-mia (0.1.9-2+b1)
- Python-3 bindings for the MIA image processing library
- python3-microversion-parse (0.2.1-2)
- OpenStack microversion header parsing library - Python 3.x
- python3-midiutil (1.2.1-2)
- Python 3 library to write multi-track MIDI files
- python3-mido (1.2.9-1)
- Python 3 module for working with MIDI messages and ports
- python3-migrate (0.11.0-5)
- Database schema migration for SQLAlchemy - Python 3.x
- python3-milksnake (0.1.5-1)
- setuptools/wheel/cffi extension to embed a binary data in wheels
- python3-milter (1.0.3-3)
- Python 3 extension for Sendmail Milter Protocol
- python3-mimeparse (0.1.4-3.1)
- Parse mime-types and quality parameters - python 3.x
- python3-mimerender (0.6.0-1)
- RESTful HTTP Content Negotiation for web frameworks (Python 3)
- python3-minecraftpi (0.22-1)
- Raspberry PI Minecraft API python client library
- python3-minidb (2.0.2-3)
- simple SQLite3-based store for Python objects
- python3-minieigen (0.50.3+dfsg1-8)
- Wrapper of parts of the Eigen library (Python 3)
- python3-minimal (3.7.3-1)
- minimal subset of the Python language (default python3 version)
- python3-miniupnpc (2.1-1+b1)
- UPnP IGD client lightweight library Python 3 bindings
- python3-misaka (1.0.2-5+b3)
- binding for Sundown, a markdown parsing library - Python 3.x
- python3-mistral (7.0.0-2)
- OpenStack Workflow Service - Python libraries
- python3-mistral-dashboard (7.0.1-2)
- OpenStack Workflow Service - dashboard plugin
- python3-mistral-lib (1.0.0-1)
- Mistral shared routings and utilities - Python 3.x
- python3-mistralclient (1:3.7.0-2)
- OpenStack Workflow as a Service client - Python 3.x
- python3-mistune (0.8.4-1)
- Markdown parser for Python 3
- python3-mmllib (0.3.0.post1-1)
- library for handling Music Macro Language (Python 3)
- python3-mnemonic (0.18-1)
- Implementation of Bitcoin BIP-0039 (Python 3)
- python3-mock (2.0.0-4)
- Mocking and Testing Library (Python3 version)
- python3-mockldap (0.3.0-2)
- simple mock implementation of python-ldap (Python3 version)
- python3-mockupdb (1.7.0-2)
- MongoDB Wire Protocol server library - Python 3.x
- python3-model-mommy (1.6.0-1)
- smart object creation facility for Django (Python 3 version)
- python3-moksha.common (1.2.5-3)
- Common components for the Moksha framework (Python 3)
- python3-molotov (1.6-4)
- tool to write load tests in Python
- python3-monajat (4.1-2)
- Islamic supplications backend
- python3-monasca-statsd (1.7.0-1)
- monasca statsd client - Python 3.x
- python3-monascaclient (1.12.1-2)
- client bindings for the Monasca API - Python 3.x
- python3-moneyed (0.8.0-1)
- Currency and Money classes for use in Python 3 code
- python3-mongoengine (0.15.3-1)
- Python 3 Document-Object Mapper for working with MongoDB
- python3-monotonic (1.1-2)
- implementation of time.monotonic() - Python 3.x
- python3-montage-wrapper (0.9.9-2)
- Python-3 wrapper for the Montage mosaicking toolkit
- python3-montagepy (6.0+dfsg-6)
- Python toolkit for assembling FITS images into mosaics
- python3-more-itertools (4.2.0-1)
- library with routines for operating on iterables, beyond itertools (Python 3)
- python3-morph (0.1.3-1)
- collection of routines to help identify and morph objects - Python 3.x
- python3-morris (1.2-1)
- announcement (signal/event) system for Python 3
- python3-morse-simulator (1.4-5)
- Multi-OpenRobot Simulation Engine
- python3-motor (2.0.0-2)
- full-featured, non-blocking MongoDB driver - Python 3.x
- python3-move-base-msgs (1.13.0-8)
- Python 3 code for move-base-related ROS Messages,
- python3-mox3 (0.24.0-1)
- Mock object framework - Python 3.x
- python3-mpd (1.0.0-3)
- Python MPD client library (Python 3)
- python3-mpegdash (0.1.5-2)
- Python MPEG-DASH parser - Python 3.x
- python3-mpi4py (2.0.0-3+b3)
- bindings of the Message Passing Interface (MPI) standard
- python3-mpl-scatter-density (0.5-1)
- Fast scatter density plots for Matplotlib
- python3-mplexporter (0.0.1+20140921-3)
- general matplotlib exporter
- python3-mpltoolkits.basemap (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections (Python 3)
- python3-mpltoolkits.basemap-dbg (1.2.0+dfsg-1)
- matplotlib toolkit to plot on map projections (Python 3 debug)
- python3-mpmath (1.1.0-1)
- library for arbitrary-precision floating-point arithmetic (Python3)
- python3-mrtparse (1.6-1)
- read and analyze the MRT format data (Python 3.x module)
- python3-msgpack (0.5.6-1+b1)
- Python 3 implementation of MessagePack format
- python3-msgpack-numpy (0.4.4-1)
- serialize numpy arrays using msgpack
- python3-mshr (2018.1.0+dfsg1-7+b4)
- Python 3 interface for mshr
- python3-msrest (0.5.5-1)
- AutoRest swagger generator Python 3.x client runtime
- python3-msrestazure (0.5.1-1)
- Runtime library for AutoRest generated Python 3.x clients
- python3-multi-key-dict (2.0.3-1)
- Multi key dictionary implementation - Python 3.x
- python3-multicorn (1.3.4-4+deb10u1) [security]
- multicorn utility module for postgresql-X.Y-python3-multicorn
- python3-multidict (4.5.2-1)
- multidict implementation (Python library)
- python3-multidict-dbg (4.5.2-1)
- multidict implementation (Python library, debug extension)
- python3-multipletau (0.3.3+ds-1)
- multiple-tau algorithm for Python3/NumPy
- python3-munch (2.3.2-1)
- dot-accessible dictionary similar to JavaScript objects - Python 3.x
- python3-munkres (1.1.2-1)
- munkres algorithm for the Assignment Problem - Python 3 library
- python3-murano (1:6.0.0-2)
- cloud-ready application catalog - Python 3.x server code
- python3-murano-dashboard (1:6.0.0-3)
- cloud-ready application catalog - dashboard plugin
- python3-murano-pkg-check (0.3.0-3)
- murano package validator tool - Python 3.x
- python3-muranoclient (1.1.1-2)
- cloud-ready application catalog - Python 3.x client module
- python3-murmurhash (1.0.1-2)
- Cython bindings for MurmurHash2
- python3-musicbrainzngs (0.6-3)
- Python 3 bindings for the Musicbrainz NGS webservice interface
- python3-musicpd (0.4.3-1)
- Python MPD client library
- python3-mutagen (1.40.0-2)
- audio metadata editing library (Python 3)
- python3-mutatormath (2.1.2-1)
- Library for piecewise linear interpolations in n-dimensions
- python3-mwclient (0.8.7-1)
- MediaWiki API client in Python
- python3-mwparserfromhell (0.5.2-2)
- Outrageously powerful parser for MediaWiki wikicode (Python 3)
- python3-mygpoclient (1.8-2)
- Client library for the gpodder.net web service
- python3-myhdl (0.10-2)
- Hardware description language for Python (Python 3)
- python3-mypy (0.670-2)
- public modules for mypy (Python 3)
- python3-mypy-extensions (0.4.1-1)
- Experimental type system extensions for mypy typechecker (Python 3)
- python3-mysqldb (1.3.10-2)
- Python interface to MySQL
- python3-mysqldb-dbg (1.3.10-2)
- Python interface to MySQL (debug extension)
- python3-nacl (1.3.0-2)
- Python bindings to libsodium (Python 3)
- python3-nagiosplugin (1.2.4-1)
- Python class library for writing Nagios (Icinga) plugins (Python 3)
- python3-nameparser (1.0.2-1)
- Python 3 module for parsing names into individual components
- python3-natsort (6.0.0-1)
- Natural sorting for Python (Python3)
- python3-nav-msgs (1.12.7-1)
- Messages relating to Robot OS nav, Python 3 interface
- python3-nb2plots (0.6-1)
- Converting between ipython notebooks and sphinx docs (Python 3)
- python3-nbconvert (5.4-2+deb10u1) [security]
- Jupyter notebook conversion (Python 3)
- python3-nbformat (4.4.0-1)
- Jupyter notebook format (Python 3)
- python3-nbsphinx (0.4.2+ds-1)
- Jupyter Notebook Tools for Sphinx -- Python 3
- python3-nbxmpp (0.6.10-1)
- Non blocking Jabber/XMPP Python 3 library
- python3-ncclient (0.6.0-2)
- Python library for NETCONF clients (Python 3)
- python3-ndcube (1.1.1-1)
- Package for multi-dimensional coordinate-aware arrays (Python 3)
- python3-ndcube-doc (1.1.1-1)
- Package for multi-dimensional coordinate-aware arrays (documentation)
- python3-ndg-httpsclient (0.5.1-1)
- enhanced HTTPS support for httplib and urllib2 using PyOpenSSL for Python3
- python3-neovim (0.3.0-1)
- Python3 library for scripting Neovim processes through its msgpack-rpc API
- python3-netaddr (0.7.19-1)
- manipulation of various common network address notations (Python 3)
- python3-netcdf4 (1.4.2-1+b1)
- Python 3 interface to the netCDF4 (network Common Data Form) library
- python3-netdisco (2.3.0-1)
- Library to discover local devices and services (Python 3)
- python3-netfilter (0.6.4-1)
- Python module for manipulating netfilter rules (Python 3)
- python3-netgen (6.2.1804+dfsg1-3)
- Automatic 3d tetrahedral mesh generator Python 3 bindings
- python3-netifaces (0.10.4-1+b1)
- portable network interface information - Python 3.x
- python3-netifaces-dbg (0.10.4-1+b1)
- portable network interface information - Python 3.x debug extension
- python3-netmiko (1.4.3-1)
- multi-vendor library for SSH connections to network devices - Python 3.X
- python3-networking-arista (2018.1.0+2018.08.21.git.3adf6272bd-1)
- OpenStack virtual network service - Arista plugin
- python3-networking-bagpipe (9.0.0-2)
- OpenStack virtual network service - BGP-based VPN - Python 3.x
- python3-networking-bgpvpn (9.0.0-1)
- OpenStack virtual network service - BGP-MPLS VPN Extension - Python 3.x
- python3-networking-l2gw (1:13.0.0-2)
- OpenStack virtual network service - L2 gateway extension
- python3-networking-mlnx (1:13.1.0-2)
- OpenStack virtual network service - Mellanox plugin Python 2.7 files
- python3-networking-ovn (5.0.0-1)
- OpenStack virtual network service - OVN driver
- python3-networking-sfc (7.0.0-1)
- OpenStack virtual network service - SFC extension
- python3-networkmanager (2.1-1)
- Python 3 interface to the NetworkManager D-Bus interface
- python3-networkx (2.2-1)
- tool to create, manipulate and study complex networks (Python3)
- python3-neuron (7.6.3-1)
- Neuron simulation environment - Python bindings
- python3-neutron (2:13.0.7+git.2021.09.27.bace3d1890-0+deb10u1)
- OpenStack virtual network service - Python library
- python3-neutron-dynamic-routing (2:13.0.0-1)
- OpenStack Neutron Dynamic Routing - Python library
- python3-neutron-fwaas (1:13.0.1-7)
- OpenStack Firewall as a Service Neutron plugin
- python3-neutron-fwaas-dashboard (1.5.0-3)
- OpenStack Firewall as a Service - dashboard plugin
- python3-neutron-lbaas (1:13.0.0-1)
- Loadbalancer-as-a-Service driver for OpenStack Neutron - Python library
- python3-neutron-lib (1.18.0-2)
- Neutron shared routines and utilities - Python 3.x
- python3-neutron-taas (3.0.0+2018.08.05.git.84846d52fd-1)
- OpenStack virtual network service - Tap-as-a-Service extension
- python3-neutron-tempest-plugin (0.2.0-1)
- OpenStack Integration Test Suite - Neutron plugin
- python3-neutron-vpnaas (2:13.0.1-3)
- OpenStack virtual network service - Python library
- python3-neutron-vpnaas-dashboard (1.4.0-2)
- OpenStack VPN as a Service - dashboard plugin
- python3-neutronclient (1:6.9.1-1)
- client API library for Neutron - Python 3.x
- python3-newt (0.52.20-8)
- NEWT module for Python3
- python3-ngs (2.9.3-1)
- Next Generation Sequencing language Bindings (Python3 bindings)
- python3-nibabel (2.3.2-1)
- Python3 bindings to various neuroimaging data formats
- python3-nine (1.0.0-1)
- Python 2 / 3 compatibility, like six, but favouring Python 3
- python3-nltk (3.4-1)
- Python3 libraries for natural language processing
- python3-nmap (0.6.1-1)
- Python3 interface to the Nmap port scanner
- python3-nmea2 (1.12.0-1)
- Python library for the NMEA 0183 protocol
- python3-nodelet (1.9.16-1)
- Robot OS nodelet library - service files - Python 3
- python3-nodelet-topic-tools (1.9.16-1)
- Robot OS nodelet_topic_tools library - Python 3 service files
- python3-nose (1.3.7-4)
- test discovery and running for Python3 unittest
- python3-nose-exclude (0.5.0-1)
- exclude specific directories from nosetests runs - Python 3.x
- python3-nose-parameterized (0.3.4-4)
- Decorator for parameterized testing with Nose - Python 3.x
- python3-nose-random (1.0.0-1)
- nose plugin to facilitate randomized unit testing with Python 3
- python3-nose-timer (0.3.0-2)
- timer plugin for nosetests - Python 3.x
- python3-nose-yanc (0.3.3-3)
- Color output plugin for nose
- python3-nose2 (0.8.0-1)
- Next generation of nicer testing for Python3
- python3-nose2-cov (1.0a4-2)
- nose2 plugin for coverage reporting
- python3-nosehtmloutput (0.0.5-1)
- plugin to produce test results in html - Python 3.x
- python3-nosexcover (1.0.11-1.1)
- Add Cobertura-style XML coverage report to nose (Python3 version)
- python3-notebook (5.7.8-1)
- Jupyter interactive notebook (Python 3)
- python3-notify2 (0.3-3)
- desktop notifications API for Python 3
- python3-notmuch (0.28.4-1)
- Python 3 interface to the notmuch mail search and index library
- python3-nova (2:18.1.0-6+deb10u2) [security]
- OpenStack Compute - libraries
- python3-novaclient (2:11.0.0-2)
- client library for OpenStack Compute API - 3.x
- python3-novnc (1:1.0.0-1)
- HTML5 VNC client - Python 3.x libraries
- python3-nss (1.0.0-1+b2)
- Python3 bindings for Network Security Services (NSS)
- python3-ntlm-auth (1.1.0-1)
- NTLM low-level Python library
- python3-ntp (1.1.3+dfsg1-2+deb10u1)
- Python 3 NTP Helper Classes
- python3-ntplib (0.3.3-1)
- Python NTP library (Python 3.x)
- python3-nudatus (0.0.4-1)
- module to remove comments from Python 3 scripts
- python3-num2words (0.5.6-1)
- Convert numbers to words in multiple languages (Python 3)
- python3-numba (0.42.0-1)
- native machine code compiler for Python 3
- python3-numexpr (2.6.9-1)
- Fast numerical array expression evaluator for Python 3 and NumPy
- python3-numexpr-dbg (2.6.9-1)
- Fast numerical array expression evaluator for Python 3 and NumPy (debug ext)
- python3-numpy (1:1.16.2-1)
- Fast array facility to the Python 3 language
- python3-numpy-abi9
- virtueel pakket geboden door python3-numpy
- python3-numpy-api13
- virtueel pakket geboden door python3-numpy
- python3-numpy-dbg (1:1.16.2-1)
- Fast array facility to the Python 3 language (debug extension)
- python3-numpy-dev
- virtueel pakket geboden door python3-numpy
- python3-numpy-stl
- virtueel pakket geboden door python3-stl
- python3-numpydoc (0.7.0-1)
- Sphinx extension to support docstrings in Numpy format -- Python3
- python3-numpysane (0.17-1)
- more-reasonable core functionality for numpy
- python3-nut (2.7.4-8)
- network UPS tools - Python3 bindings for NUT server
- python3-nwdiag (1.0.4+dfsg-1)
- generate network-diagram image file for Python3
- python3-oauth (1.0.1-5)
- Python 3 library implementing of the OAuth protocol
- python3-oauth2client (4.1.2-3)
- OAuth 2.0 client library - Python 3.x
- python3-oauthlib (2.1.0-1)
- generic, spec-compliant implementation of OAuth for Python3
- python3-objgraph (3.4.0-2)
- Module for exploring Python object reference graphs (Python3 version)
- python3-obsub (0.2-3)
- Test fixtures providing fake versions of various system resources
- python3-octavia (3.0.0-3+deb10u1)
- OpenStack Load Balancer as a Service - Python libraries
- python3-octavia-dashboard (2.0.0-3)
- OpenStack Load Balance as a service - dashboard plugin
- python3-octaviaclient (1.6.0-2)
- Octavia client for OpenStack Load Balancing - Python 3.x
- python3-odb-api (0.18.1-5)
- Python Observational Data processing API for meteorology
- python3-odf (1.4.0-1)
- Python3 API to manipulate OpenDocument files
- python3-odil (0.10.0-3)
- C++11 library for the DICOM standard (Python 3 wrappers)
- python3-odoorpc (0.5.1-1)
- pilot Odoo servers through RPC (Python 3)
- python3-offtrac (0.1.0-2)
- Python-based xmlrpc client library for trac instances (Python 3)
- python3-ofxhome (0.3.3-2)
- ofxhome.com financial institution lookup REST client (Python 3)
- python3-ofxparse (0.19-1)
- parser for Open Financial Exchange (.ofx) format files
- python3-olefile (0.46-1)
- Python module to read/write MS OLE2 files
- python3-omemo (0.10.3-1)
- Python 3 implementation of the OMEMO protocol
- python3-omemo-backend-signal (0.2.3-1)
- Python 3 backend for python-omemo with libsignal compatibility
- python3-ontospy (0~20190225~dfsg1-1)
- query, inspect and visualize RDF/OWL ontologies - library
- python3-opcua (0.98.6-2)
- Pure Python OPC-UA Client and Server (Python 3)
- python3-openalpr (2.3.0-1.1)
- Python 3 binding for OpenALPR library
- python3-opencv (3.2.0+dfsg-6)
- Python 3 bindings for the computer vision library
- python3-opencv-apps (1.12.0-2)
- opencv_apps Robot OS package - Python 3 bindings
- python3-opengl (3.1.0+dfsg-2)
- Python bindings to OpenGL (Python 3)
- python3-openid (3.1.0-1)
- Python3 OpenID package for modern servers and consumers
- python3-openid-cla (1.2-1)
- OpenID CLA extension for python-openid (Python 3)
- python3-openid-teams (1.2-1)
- OpenID teams extension for python-openid (Python 3)
- python3-openimageio (2.0.5~dfsg0-1+deb10u2) [security]
- Library for reading and writing images - Python bindings
- python3-openpyxl (2.4.9-1)
- Python 3 module to read/write OpenXML xlsx/xlsm files
- python3-openrazer (2.4.0+dfsg-1)
- OpenRazer peripheral drivers (Python 3)
- python3-openshot (0.2.2+dfsg1-1+b1)
- OpenShot video library bindings for Python 3
- python3-openslide (1.1.1-4)
- Python 3 wrapper for reading whole slide image files
- python3-openssl (19.0.0-1)
- Python 3 wrapper around the OpenSSL library
- python3-openstack.nose-plugin (0.11-3)
- nosetests output to mimic the output of openstack's run_tests.py - Python 3.x
- python3-openstackclient (3.16.2-1)
- OpenStack Command-line Client - Python 3.x
- python3-openstackdocstheme (1.20.0-3)
- extension support for Sphin OpenStack doc - Python 3.x
- python3-openstacksdk (0.17.2-2)
- SDK for building applications to work with OpenStack - Python 3.x
- python3-openvswitch (2.10.7+ds1-0+deb10u5) [security]
- Python 3 bindings for Open vSwitch
- python3-optlang (1.4.4-1)
- sympy based mathematical programming language (Python 3)
- python3-orcus (0.14.1-6)
- library for processing spreadsheet documents - python bindings
- python3-orderedattrdict (1.5-1)
- Python OrderedDict with attribute-style access (Python3)
- python3-orderedmultidict (1.0-3)
- Ordered multivalue dictionary that retains method parity with Python's dict
- python3-os-api-ref (1.5.0+dfsg1-4)
- Sphinx Extensions to support API reference sites in OpenStack - Python 3.x
- python3-os-brick (2.5.5-1)
- Library for managing local volume attaches - Python 3.x
- python3-os-client-config (1.31.2-2)
- OpenStack client configuration library - Python 3.x
- python3-os-service-types (1.3.0-2)
- lib for consuming OpenStack sevice-types-authority data - Python 3.x
- python3-os-testr (1.0.0-4)
- Utility wrappers for testrepository for OpenStack projects - Python 3.x
- python3-os-traits (0.9.0-2)
- standardized trait strings - Python 3.x
- python3-os-vif (1.11.1-1)
- Integration library between network and compute - Python 3.x
- python3-os-win (4.0.0-3)
- Windows / Hyper-V library for OpenStack projects - Python 3.x
- python3-os-xenapi (0.3.3-3)
- XenAPI library for OpenStack projects - Python 3.x
- python3-osc-lib (1.11.1-2)
- OpenStackClient Library - Python 3.x
- python3-osc-placement (1.3.0-2)
- OpenStackClient plugin for the Placement service - Python 3.x
- python3-oslo.cache (1.30.3-1)
- cache storage for Openstack projects - Python 3.x
- python3-oslo.concurrency (3.27.0-3)
- oslo.concurrency library - Python 3.x
- python3-oslo.config (1:6.4.1-1)
- Common code for Openstack Projects (configuration API) - Python 3.x
- python3-oslo.context (2.21.0-2)
- WSGI context helpers for OpenStack - Python 3.x
- python3-oslo.db (4.40.0-3)
- database connectivity to the different backends and helper utils - Python 3.x
- python3-oslo.i18n (3.21.0-2)
- Oslo Internationalization Utilities - Python 3.x
- python3-oslo.log (3.39.0-2)
- OpenStack logging configuration library - Python 3.x
- python3-oslo.messaging (8.1.4-1+deb10u1)
- oslo messaging library - Python 3.x
- python3-oslo.middleware (3.36.0-2)
- various WSGI middleware components for OpenStack - Python 3.x
- python3-oslo.policy (1.38.1-2)
- RBAC policy enforcement library for OpenStack - Python 3.x
- python3-oslo.privsep (1.29.0-2)
- OpenStack library for privilege separation - Python 3.x
- python3-oslo.reports (1.28.0-2)
- reports serialized in various data types - Python 3.x
- python3-oslo.rootwrap (5.14.1-2)
- allows fine filtering of shell commands to run as root - Python 3.x
- python3-oslo.serialization (2.27.0-2)
- utilities for serialization , especially JSON - Python 3.x
- python3-oslo.service (1.31.8-1)
- library for running OpenStack services - Python 3.x
- python3-oslo.utils (3.36.5-0+deb10u3) [security]
- set of utility functions for OpenStack - Python 3.x
- python3-oslo.versionedobjects (1.33.3-2)
- deals with DB schema versions and code expectations - Python 3.x
- python3-oslo.vmware (2.31.0-2)
- VMware library for OpenStack projects - Python 3.x
- python3-oslosphinx (4.18.0-2)
- theme and extension support for openstack - Python 3.x
- python3-oslotest (1:3.6.0-2)
- OpenStack test framework - Python 3.x
- python3-osmalchemy (0.1.+3-1)
- OpenStreetMap to SQLAlchemy bridge
- python3-osmapi (1.2.2-2)
- Python 3 interface to OpenStreetMap API
- python3-osprofiler (2.3.0-3)
- OpenStack Profiler Library - Python 3.x
- python3-otb (6.6.1+dfsg-1+b1)
- ORFEO Toolbox Python API for applications (Python 3)
- python3-outcome (1.0.0-1)
- capture the outcome of Python function calls
- python3-overpass (0.6.1-1)
- wrapper for the OpenStreetMap Overpass API (Python 3)
- python3-overpy (0.4-1)
- wrapper to access the OpenStreetMap Overpass API (Python 3)
- python3-ovsdbapp (0.12.2-1)
- library for creating OVSDB applications - Python 3.x
- python3-owslib (0.17.1-1+deb10u1) [security]
- Client library for Open Geospatial (OGC) web services (Python 3)
- python3-packaging (19.0-1)
- core utilities for python3 packages
- python3-pacparser (1.3.6-1.1+b4)
- Python 3 module to parse proxy auto-config files
- python3-padme (1.1.1-2)
- mostly transparent proxy class for Python 3
- python3-pafy (0.5.2-2)
- Download videos and retrieve metadata from YouTube
- (3.3-1)
- terminal/console pager module in pure Python - Python 3.x
- python3-paho-mqtt (1.4.0-1)
- MQTT client class (Python 3)
- python3-pallets-sphinx-themes (1.1.2-1)
- Sphinx themes for Pallets and related projects
- python3-pampy (1.8.4-1)
- Python module for simple PAM authentications (Python 3)
- python3-pandas (0.23.3+dfsg-3)
- data structures for "relational" or "labeled" data - Python 3
- python3-pandas-lib (0.23.3+dfsg-3)
- low-level implementations and bindings for pandas - Python 3
- python3-pandocfilters (1.4.2-1)
- python3 bindings for Pandoc's filters
- python3-panko (5.0.0-5)
- Event storage dispatcher for Ceilometer - Python library
- python3-pankoclient (0.5.0-2)
- Client library for OpenStack panko server - Python 3.x
- python3-panoramisk (1.0-1.1)
- asyncio based library to play with asterisk (Python 3 library)
- python3-panwid (0.2.5-1)
- Useful widgets for urwid
- python3-parallax (1.0.4-2)
- Execute commands and copy files over SSH (Python 3)
- python3-parallel (0.2.2-3)
- pyparallel - module encapsulating access for the parallel port
- python3-parameterized (0.6.1-2)
- parameterized testing for Python 3
- python3-paramiko (2.4.2-0.1+deb10u1) [security]
- Make ssh v2 connections (Python 3)
- python3-parse (1.6.6-0.1)
- Parse provides the reverse function for format(), Python3 package
- python3-parse-type (0.3.4-2)
- BDD plugin for py.test.
- python3-parsedatetime (2.4-2)
- Python 3 module to parse human-readable date/time expressions
- python3-parsel (1.5.0-2)
- Python 3 library to extract HTML/XML data using XPath/CSS selectors
- python3-parso (0.3.1-1)
- Python parser that supports error recovery - Python 3.X
- python3-partd (0.3.9-1)
- Appendable key-value storage for Python 3
- python3-parted (3.11.2-10)
- Python 3 interface for libparted
- python3-passlib (1.7.1-1)
- comprehensive password hashing framework
- python3-paste (3.0.6+dfsg-1)
- tools for using a Web Server Gateway Interface stack - Python 3.x
- python3-pastedeploy (2.0.1-1)
- load, configure, and compose WSGI applications and servers - Python 3.x
- python3-pastescript (2.0.2-2)
- create file layouts for Python3 packages and serve webapps
- python3-path (11.5.0-3)
- module wrapper for os.path for Python 3
- python3-path-and-address (2.0.1-1)
- Functions for server CLI applications used by humans (Python 3)
- python3-pathspec (0.5.9-1)
- utility library for gitignore style pattern matching of file paths (Python 3)
- python3-pathtools (0.1.2-2)
- Path utilities for Python - Python 3.x
- python3-patsy (0.5.0+git13-g54dcf7b-1)
- statistical models in Python using symbolic formulas
- python3-paypal (1.2.5-2)
- PayPal API implementation (Python 3)
- python3-pbconsensuscore (1.1.1+dfsg-1)
- algorithms for PacBio multiple sequence consensus -- Python 3
- python3-pbkdf2 (1.3+20110613.git2a0fb15~ds0-3)
- Python RSA PKCS#5 v2.0 module (Python 3)
- python3-pbr (4.2.0-5)
- inject useful and sensible default behaviors into setuptools - Python 3.x
- python3-pcl (0.3.0~rc1+dfsg-7)
- Python 3 binding to the Pointcloud library (PCL)
- python3-pcl-msgs (0.2.0-8)
- Python 3 code for PCL-related Robot OS Messages
- python3-pcp (4.3.2+really4.3.1-0.1)
- Performance Co-Pilot Python3 PMAPI module
- python3-pdfkit (0.6.1-1)
- Python wrapper for wkhtmltopdf to convert HTML to PDF (Python 3)
- python3-pdfminer (20181108+dfsg-3)
- PDF parser and analyser (Python3)
- python3-pdfrw (0.4-2)
- PDF file manipulation library (Python 3)
- python3-pecan (1.3.2-2)
- WSGI object-dispatching web framework - Python 3.x
- python3-peewee (3.7.0+dfsg-1)
- Simple ORM for PostgreSQL, MySQL and SQLite (Python 3)
- python3-pefile (2018.8.8-1)
- Portable Executable (PE) parsing module for Python
- python3-pep8 (1.7.1-3)
- Python PEP 8 code style checker - Python 3
- python3-pep8-naming (0.4.1-4)
- check for PEP 8 naming conventions (flake8 plugin for Python3)
- python3-periodictable (1.5.0-7)
- Extensible periodic table of the elements (Python 3)
- python3-persist-queue (0.4.0-1)
- thread-safe disk based persistent queue
- python3-persistent (4.2.2-2)
- Automatic persistence for Python objects
- python3-petsc4py (3.10.1-1)
- Python 3 bindings for PETSc libraries
- python3-petsc4py-complex (3.10.1-1)
- Python 3 bindings for PETSc libraries (complex numbers)
- python3-petsc4py-real (3.10.1-1)
- Python 3 bindings for PETSc libraries (real numbers)
- python3-pexpect (4.6.0-1)
- Python 3 module for automating interactive applications
- python3-pg
- virtueel pakket geboden door python3-pygresql
- python3-pg8000 (1.10.6-1)
- Pure-Python PostgreSQL Driver (Python 3)
- python3-pgmagick (0.7.4-2)
- Yet Another Python wrapper for GraphicsMagick
- python3-pgpdump (1.5-1)
- PGP packet parser library (Python 3)
- python3-pgq (3.3.0-2)
- PgQ queue processing for Python
- python3-pgspecial (1.9.0-1.1)
- Meta-commands handler for Postgres Database (Python 3)
- python3-pgzero (1.2.post4+dfsg-1)
- Zero-boilerplate games programming framework based on Pygame (Python 3)
- python3-phabricator (0.7.0-1)
- Phabricator Python API Bindings (Python 3)
- python3-phonenumbers (8.9.10-1)
- parsing/formatting/validating phone numbers - Python 3
- python3-photutils (0.6-1)
- Astropy affiliated package for image photometry (Python 3)
- python3-phply (1.2.5-1)
- PHP parser written in Python 3 using PLY
- python3-phpserialize (1.3-1)
- Python port of PHP serialize and unserialize functions (Python 3)
- python3-picklable-itertools (0.1.1-2)
- picklable reimplementation of Python's itertools for Python 3
- python3-pickleshare (0.7.5-1)
- File system based database that uses Python pickles for Python 3
- python3-piexif (1.0.13-2)
- Pure python library for manipulating exif
- python3-pigpio (1.68-3)
- Python module which talks to the pigpio daemon (Python 3)
- python3-pika (0.11.0-4)
- AMQP client library for Python 3
- python3-pika-pool (0.1.3-3)
- Pools for pikas. - Python 3.x
- python3-pikepdf (1.0.5+dfsg-3~deb10u1)
- Python library to read and write PDFs with QPDF
- python3-pil (5.4.1-2+deb10u6) [security]
- Python Imaging Library (Python3)
- python3-pil-dbg (5.4.1-2+deb10u6) [security]
- Python Imaging Library (Python3 debug extension)
- python3-pil.imagetk (5.4.1-2+deb10u6) [security]
- Python Imaging Library - ImageTk Module (Python3)
- python3-pil.imagetk-dbg (5.4.1-2+deb10u6) [security]
- Python Imaging Library - ImageTk Module (Python3 debug extension)
- python3-pilkit (2.0-2)
- Utilities and processors built for, and on top of PIL (Python3 version)
- python3-pillow
- virtueel pakket geboden door python3-pil
- python3-pint (0.9-1)
- define, operate and manipulate physical quantities - Python 3.x
- python3-pip (18.1-5)
- Python package installer
- python3-pipdeptree (0.13.2-1)
- display dependency tree of the installed Python 3 packages
- python3-pivy (0.6.4-1)
- Coin binding for Python 3
- python3-pkg-resources (40.8.0-1)
- Package Discovery and Resource Access using pkg_resources
- python3-pkgconfig (1.4.0-1)
- Python 3 interface to the pkg-config command line tool
- python3-pkginfo (1.4.2-2)
- Python 3.x module to query metadata from packages
- python3-plac (0.9.6-1)
- Smartest command line arguments parser in the world
- python3-plaster (1.0-1)
- Python multi-format configuration file loader interface - Python 3.x module
- python3-plaster-pastedeploy (0.5-2)
- PasteDeploy configuration format plugin for plaster - Python 3.x module
- python3-plotly (3.6.1+dfsg1-1)
- Python 3 plotting library for publication-quality graphs
- python3-plplot (5.14.0+dfsg-3)
- Python support for PLplot, a plotting library
- python3-plplot-qt (5.14.0+dfsg-3)
- Scientific plotting library (Python Qt GUI)
- python3-pluggy (0.8.0-1)
- plugin and hook calling mechanisms for Python - 3.x
- python3-pluginbase (1.0.0-1)
- Support library for building plugins systems (Python 3)
- python3-plumbum (1.6.7-1)
- library for writing shell script-like programs in Python 3
- python3-ply (3.11-3)
- Lex and Yacc implementation for Python3
- python3-ply-lex-3.10
- virtueel pakket geboden door python3-ply
- python3-ply-yacc-3.10
- virtueel pakket geboden door python3-ply
- python3-png (0.0.19+ds-1)
- Pure Python PNG Reader/Writer (Python 3)
- python3-pocketsphinx (0.8+5prealpha+1-2+b2)
- Speech recognition tool - Python3 bindings
- python3-podcastparser (0.6.3-1)
- Simplified, fast RSS parsing library (Python 3)
- python3-poezio-poopt (0.12.1-3)
- Console-based XMPP client (binary module)
- python3-poliastro (0.11.1-1)
- Astrodynamics and Orbital Mechanics computations
- python3-polib (1.1.0-3)
- Python 3 library to parse and manage gettext catalogs
- python3-polled-camera (1.11.13-3)
- Robot OS polled_camera package - Python 3
- python3-popcon (2.0.0)
- Python interface to Debian's Popularity Contest (popcon)
- python3-poppler-qt5 (0.24.2-3+b3)
- Python binding to Poppler-Qt5 C++ library (Python 3)
- python3-port-for (0.4-2)
- local TCP ports management for Python
- python3-portalocker (1.3.0-1)
- easy API to file locking (Python 3)
- python3-portio (0.5-2+b1)
- PortIO, python low level port I/O for Linux x86
- python3-portpicker (1.3.0-1)
- Python 3 module to find unused network ports to bind to
- python3-positional (1.1.1-3)
- Library to enforce positional or key-word arguments - Python 3.x
- python3-posix-ipc (0.9.8-3+b1)
- semaphores, shared memory and message queues - Python 3.x
- python3-potr (1.0.2-1)
- Pure Python implementation of the OTR protocol (Python 3)
- python3-power (1.4+dfsg-2)
- Python module to get power and battery status (Python 3)
- python3-powerline (2.7-2)
- prompt and statusline utility (Python 3.x module)
- python3-powerline-gitstatus (1.3.2-0+deb10u1) [security]
- Powerline Git segment for Python (3.x)
- python3-powerline-taskwarrior (0.6.2-1)
- Powerline segment for showing Taskwarrior information (Python 3)
- python3-pprofile (2.0.2-1)
- Line-granularity, deterministic and statistic Python 3 profiler
- python3-praw (6.1.1-1)
- Python Reddit API Wrapper (Python 3 version)
- python3-prawcore (1.0.1-1)
- Low-level communication layer for PRAW
- python3-prctl (1.7-1+b1)
- Python interface to the prctl() syscall (Python 3)
- python3-precis-i18n (1.0.0-1)
- internationalized usernames and passwords
- python3-prelude (4.1.0-4.2)
- Security Information and Events Management system [ Python3 bindings ]
- python3-preludedb (4.1.0-2+b2)
- Security Information and Events Management System [ Python3 bindings ]
- python3-preshed (2.0.1-1)
- Cython Hash Table for Pre-Hashed Keys
- python3-presto (0.5.10-1)
- toolkit for processing B and T cell sequences
- python3-pretend (1.0.8-2)
- Python library for stubbing (Python 3)
- python3-pretty-yaml (18.11.0-1)
- module to produce pretty and readable YAML-serialized data (Python 3)
- python3-prettytable (0.7.2-4)
- library to represent tabular data in visually appealing ASCII tables (Python3)
- python3-prettytable
- virtueel pakket geboden door python3-ptable
- python3-priority (1.3.0-1)
- pure-Python implementation of the HTTP/2 priority tree (Python 3)
- python3-proboscis (1.2.6.0-4)
- extends Nose with certain TestNG like features - Python 3.x
- python3-profiler
- virtueel pakket geboden door python3
- python3-profitbricks (4.1.3-2)
- ProfitBricks REST API client library for Python 3
- python3-progress (1.2-1)
- easy progress reporting for Python
- python3-progressbar (2.5-1)
- text progress bar library for Python (Python 3)
- python3-project-generator (0.9.13-1)
- project generators for various embedded tools (IDE)
- python3-project-generator-definitions (0.2.38-1)
- collection of target/MCU definitions for python-project-generator
- python3-proliantutils (2.6.0-2)
- client lib interfacing various devices in HP Proliant Servers - Python 3.x
- python3-prometheus-client (0.6.0-1)
- Python 3 client for the Prometheus monitoring system
- python3-prompt-toolkit (1.0.15-1)
- library for building interactive command lines (Python 3)
- python3-proselint (0.10.2-1)
- Library and command-line prose linter utility (Python 3)
- python3-protobix (1.0.2-2)
- Implementation of Zabbix Sender protocol (Python 3)
- python3-protobuf (3.6.1.3-2+deb10u1) [security]
- Python 3 bindings for protocol buffers
- python3-prov (1.5.2-1)
- W3C Provenance Data Model (Python 3)
- python3-proxmoxer (1.0.3-1)
- Python Wrapper for the Proxmox 2.x API (HTTP and SSH) (Python 3)
- python3-psautohint (1.9.1-1)
- Python library for standalone version of the AFDKO autohinter
- python3-pskc (1.0-1)
- Python module for handling PSKC files (Python 3)
- python3-psutil (5.5.1-1)
- module providing convenience functions for managing processes (Python3)
- python3-psutil-dbg (5.5.1-1)
- module providing convenience functions for managing processes (Python3 debug)
- python3-psycopg2 (2.7.7-1)
- Python 3 module for PostgreSQL
- python3-psycopg2-dbg (2.7.7-1)
- Python 3 module for PostgreSQL (debug extension)
- python3-ptable (0.9.2-1)
- library to represent tabular data in visually appealing ASCII tables (Python3)
- python3-ptk (1.3.5-2)
- parser for Python 3 with support for asynchronous input
- python3-ptrace (0.9.3-2.1)
- Python 3 bindings for ptrace
- python3-ptyprocess (0.6.0-1)
- Run a subprocess in a pseudo terminal from Python 3
- python3-public (0.5-1)
- @public decorator for adding names to __all__
- python3-publicsuffix (1.1.0-2)
- Python3 module to get a domain suffix using the Public Suffix List
- python3-pudb (2018.1-1)
- full-screen, console-based Python3 debugger
- python3-pulp (1.6.0+dfsg1-2)
- LP modeler - Python 3.x
- python3-purl (1.4-1)
- URL interrogation and manipulation (Python3 version)
- python3-pweave (0.25-1)
- scientific report generator for Python
- python3-py (1.7.0-2)
- Advanced Python development support library (Python 3)
- python3-py-zipkin (0.15.0-1)
- Library for using Zipkin in Python
- python3-pyaes (1.6.1-2)
- Pure-Python implementation of the AES cipher (Python 3)
- python3-pyagentx (0.4.1-3)
- AgentX package to extend SNMP with pure Python
- python3-pyalsa (1.1.6-1+b1)
- Official ALSA Python binding library for Python3
- python3-pyasn1 (0.4.2-3)
- ASN.1 library for Python (Python 3 module)
- python3-pyasn1-modules (0.2.1-0.2)
- Collection of protocols modules written in ASN.1 language (Python 3)
- python3-pyassimp (4.1.0~dfsg-5)
- 3D model import library (Python3 bindings)
- python3-pyatspi (2.30.0+dfsg-3)
- Assistive Technology Service Provider Interface - Python3 bindings
- python3-pyaudio (0.2.11-1+b2)
- Python3 bindings for PortAudio v19
- python3-pyavm (0.9.4-5)
- Python3 module to handle Astronomy Visualization Metadata Standard
- python3-pyaxmlparser (0.3.13-1)
- Simple Python library to parse Android XML files
- python3-pybedtools (0.8.0-1)
- Python 3 wrapper around BEDTools for bioinformatics work
- python3-pybel (0.12.1-1)
- Biological Expression Language
- python3-pybigwig (0.3.12-1+b1)
- Python 3 module for quick access to bigBed and bigWig files
- python3-pybind11 (2.2.4-2)
- pybind11 helper module for Python 3
- python3-pybtex (0.21-2)
- public modules for pybtex (Python 3)
- python3-pybtex-docutils (0.2.1-1)
- docutils backend for pybtex
- python3-pycadf (2.7.0-2)
- implementation of DMTF Cloud Audit (CADF) data model - 3.x
- python3-pycangjie (1.3-1+b1)
- Python wrapper to libcangjie
- python3-pycares (2.1.1-2+b2)
- Python interface for c-ares (Python 3)
- python3-pychromecast (2.4.0-1)
- Library to communicate with Google Chromecast (Python 3)
- python3-pyclamd (0.4.0-1)
- Python 3 interface to the ClamAV daemon
- python3-pyclipper (1.1.0.post2-1)
- Cython wrapper for the Clipper library
- python3-pycoast (1.2.2+dfsg-1)
- Draw coastlines, borders and rivers on images (for Python 3)
- python3-pycodcif (2.3+dfsg-3)
- error-correcting CIF parser - Python3 bindings
- python3-pycodestyle (2.4.0-2)
- Python style guide checker (formerly called pep8) - Python 3.x
- python3-pycountry (17.5.14+ds1-0.1)
- ISO databases accessible from Python 3
- python3-pycparser (2.19-1)
- C parser in Python 3
- python3-pycryptodome (3.6.1-2+b1)
- cryptographic Python library (Python 3)
- python3-pycsw (2.2.0+dfsg-6) [non-free]
- OGC compliant metadata (Catalogue Service for the Web) server - Python 3
- python3-pycuda (2018.1.1-3) [contrib]
- Python 3 module to access Nvidia‘s CUDA parallel computation API
- python3-pycuda-dbg (2018.1.1-3) [contrib]
- Python 3 module to access Nvidia‘s CUDA API (debug extensions)
- python3-pycurl (7.43.0.2-0.1)
- Python bindings to libcurl (Python 3)
- python3-pycurl-dbg (7.43.0.2-0.1)
- Python bindings to libcurl (debug extension, Python 3)
- python3-pydbus (0.6.0-1)
- Pythonic D-Bus library (Python 3)
- python3-pydecorate (0.2.1-1)
- Decorating PIL images with logos, texts, pallettes
- python3-pydenticon (0.3.1-1)
- deterministically generate identicons in Python 3
- python3-pydicom (1.2.1-1)
- DICOM medical file reading and writing (Python 3)
- python3-pydispatch (2.0.5-1)
- Python 3 signal dispatching mechanism
- python3-pydl (0.6.0-3)
- Library of IDL astronomy routines converted to Python 3
- python3-pydocstyle (2.1.1-1)
- Python docstring style checker (Python 3 library)
- python3-pydot (1.4.1-1)
- Python interface to Graphviz's dot (Python 3)
- python3-pydotplus (2.0.2-2)
- interface to Graphviz's Dot language - Python 3.x
- python3-pyds9 (1.8.1-5)
- Communication with the ds9 image display program from Python
- python3-pydub (0.23.1-1)
- Python audio sample manipulation (Python 3)
- python3-pyeapi (0.8.1-1)
- Python API to interact with EOS network devices - Python 3.x
- python3-pyeclib (1.5.0-4)
- interface for implementing erasure codes - Python 3.x
- python3-pyee (3.0.3-1)
- Python 3 port of node.js's EventEmitter to Python
- python3-pyelftools (0.25-2)
- pure-python3 library for parsing ELF and DWARF
- python3-pyepsg (0.3.2-1)
- easy access to the EPSG database (Python 3)
- python3-pyethash (0.1.27-1+b1)
- Ethash proof-of-work algorithm — Python 3
- python3-pyfai (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts - Python3
- python3-pyfai-dbg (0.17.0+dfsg1-3)
- Fast Azimuthal Integration scripts - Python3 debug
- python3-pyfaidx (0.5.5.2-1)
- efficient random access to fasta subsequences for Python 3
- python3-pyfftw (0.11.1-2)
- Pythonic wrapper around FFTW - Python 3
- python3-pyfiglet (0.7.4+dfsg-3)
- Python 3 port of the FIGlet specification
- python3-pyflakes (2.0.0-1)
- passive checker of Python 3 programs - Python modules
- python3-pyftpdlib (1.5.4-1)
- Python FTP server library (Python 3)
- python3-pygac (1.0.1-1)
- Python package to read and calibrate NOAA AVHRR GAC data
- python3-pygal (2.4.0-2)
- Python3 svg graph plotting library
- python3-pygalmesh (0.2.6-1)
- a Python 3 frontend to CGAL's 3D mesh generators
- python3-pygame (1.9.4.post1+dfsg-3)
- SDL bindings for games development (Python 3)
- python3-pygccxml (1.9.1-1)
- specialized XML reader reads the output from gccxml - python3 lib
- python3-pygeoif (0.7-1)
- basic implementation of the __geo_interface__ (Python 3)
- python3-pygeoip (0.3.2-4)
- Python API for Maxmind's binary GeoIP databases (Python 3)
- python3-pygerrit2 (2.0.4-1)
- library to interact with Gerrit via the REST API - Python 3.x
- python3-pygetdata (0.10.0-5+deb10u1)
- library to read/write dirfile data - Python3 bindings
- python3-pyghmi (1.0.32-5)
- General Hardware Management Initiative (IPMI and others) - Python 3.x
- python3-pygit2 (0.27.4-1)
- bindings for libgit2 - Python 3.x
- python3-pygments (2.3.1+dfsg-1+deb10u2)
- syntax highlighting package written in Python 3
- python3-pygnuplot (0.11.16-2)
- Simple Python wrapper for Gnuplot
- python3-pygpu (0.7.6-3)
- language bindings for libgpuarray (Python 3)
- python3-pygpu-dbg (0.7.6-3)
- debug extensions for pygpu (Python 3)
- python3-pygrace (0.5-2)
- Python3 bindings for grace
- python3-pygraphviz (1.5-1)
- Python interface to the Graphviz graph layout and visualization package (Python 3)
- python3-pygraphviz-dbg (1.5-1)
- Python interface to the Graphviz graph layout and visualization package (py3k debug extension)
- python3-pygresql (1:5.0.6-2)
- PostgreSQL module for Python3
- python3-pygtail (0.6.1-1)
- read log file lines that have not been read (Python 3)
- python3-pygtrie (2.2-1)
- Python library implementing a trie data structure (Python 3)
- python3-pyicloud (0.9.1-3)
- wrapper to access iPhone and Calendar data in the iCloud
- python3-pyinotify (0.9.6-1)
- simple Linux inotify Python bindings
- python3-pyinsane (2.0.13-1)
- Python library to access and use image scanners (Python 3)
- python3-pyisomd5sum (1:1.2.3-3)
- ISO9660 checksum Python 3 module
- python3-pyjavaproperties (0.7-1)
- Python implementation of java.util.Properties
- python3-pyjokes (0.5.0-2)
- One line jokes for programmers (Python 3)
- python3-pykafka (2.7.0-1)
- PyKafka is Kafka client for Python.
- python3-pykcs11 (1.5.3-1)
- PKCS#11 wrapper for Python
- python3-pykdl (1.4.0-7+deb10u2)
- Kinematics and Dynamics Library Python library
- python3-pykdtree (1.3.1-2)
- Fast kd-tree implementation with OpenMP-enabled queries (Python 3 version)
- python3-pykka (1.2.1-4)
- Actor model library (Python 3)
- python3-pykmip (0.7.0-3)
- KMIP v1.1 library - Python 3.x
- python3-pykwalify (1.7.0-2)
- Python YAML/JSON schema validation library (Python 3)
- python3-pylama (7.4.3-2)
- code audit library for Python in Python3
- python3-pylast (2.4.0-1)
- Python 3 interface to Last.fm and other compatible services
- python3-pyld (0.6.8-1)
- implementation of the JSON-LD API
- python3-pyldap (3.1.0-2)
- LDAP interface module for Python 3.x - transition package
- python3-pyldap
- virtueel pakket geboden door python3-ldap
- python3-pylibacl (0.5.3-2)
- module for manipulating POSIX.1e ACLs (Python3 version)
- python3-pylibacl-dbg (0.5.3-2)
- module for manipulating POSIX.1e ACLs (Python3 debug extension)
- python3-pylibmc (1.5.2-1+b1)
- libmemcached wrapper (Python3 version)
- python3-pylint-celery (0.3-4)
- Pylint plugin for code using the Celery library (Python3)
- python3-pylint-common (0.2.5-2)
- Pylint plugin for the standard Python library (Python 3)
- python3-pylint-django (2.0.5-1)
- Pylint plugin for analysing code using Django (Python 3)
- python3-pylint-plugin-utils (0.4-1)
- Utilities and helpers for writing Pylint plugins (Python 3)
- python3-pymacaroons (0.13.0-2)
- Macaroon library for Python 3
- python3-pymad (0.10-2+b1)
- Python wrapper to the MPEG Audio Decoder library (Python 3)
- python3-pymad-dbg (0.10-2+b1)
- Python wrapper to the MPEG Audio Decoder library (Python 3 debug)
- python3-pymca5 (5.4.3+dfsg-1)
- Applications and toolkit for X-ray fluorescence analysis -- Python 3
- python3-pymca5-dbg (5.4.3+dfsg-1)
- Applications and toolkit for X-ray fluorescence analysis -- Python 3 debug
- python3-pymeasure (0.5-1)
- scientific measurement library for Python
- python3-pymediainfo (3.0-1)
- Library to fetch multimedia metadata information - Python 3.x
- python3-pymemcache (1.3.2-3)
- comprehensive, fast, pure Python memcached client - Python 3.x
- python3-pymoc (0.5.0-4)
- Python Multi-Order Coverage maps for Virtual Observatory
- python3-pymodbus (2.1.0+dfsg-1)
- full Modbus protocol implementation for Python 3
- python3-pymol (2.2.0+dfsg-4)
- Molecular Graphics System (Python 3 modules)
- python3-pymongo (3.7.1-1.1)
- Python3 interface to the MongoDB document-oriented database
- python3-pymongo-ext (3.7.1-1.1)
- C-coded extension to the python3-pymongo package
- python3-pymssql (2.1.4+dfsg-1)
- Python database access for MS SQL server and Sybase - Python 3
- python3-pymummer (0.10.3-2)
- Python 3 interface to MUMmer
- python3-pymysql (0.9.3-1)
- Pure-Python MySQL Driver - Python 3.x
- python3-pynfft (1.3.2-2+b3)
- Python bindings for the NFFT3 library - Python 3
- python3-pynfft-dbg (1.3.2-2+b3)
- Python bindings to the NFFT3 library - Python 3 (debug)
- python3-pyngus (2.2.2-3)
- callback API implemented over Proton - Python 3.x
- python3-pyninjotiff (0.1.0-1)
- Python library for writing NinJo-compatible TIFF files
- python3-pynliner (0.8.0-1) [non-free]
- CSS-to-inline-styles conversion tool for HTML - Python 3.x
- python3-pynlpl (1.1.2-1)
- PyNLPl is a library for Natural Language Processing (Python 3 version)
- python3-pynwb (0.5.1-1)
- Python library for working with Neurodata in the NWB format
- python3-pynzb (0.1.0-3)
- unified API for parsing NZB files from NNTP (Usenet) servers
- python3-pyo (0.9.1-1)
- Python3 module written in C to help digital signal processing script creation
- python3-pyocd (0.13.1+dfsg-1)
- ARM Cortex-M programming tools (Python3)
- python3-pyodbc (4.0.22-1+b1)
- Python3 module for ODBC database access
- python3-pyodbc-dbg (4.0.22-1+b1)
- Python3 module for ODBC database access - Debugging symbols
- python3-pyopencl (2018.2.2-1)
- Python 3 module to access OpenCL parallel computation API
- python3-pyopencl-dbg (2018.2.2-1)
- Python 3 module to access OpenCL API (debug extensions)
- python3-pyorbital (1.5.0-2)
- Orbital and astronomy computations in Python 3
- python3-pyorick (1.4-2)
- Python 3 module to execute Yorick code
- python3-pyosmium (2.15.1-1)
- Osmium library bindings for Python 3
- python3-pyotp (2.2.7-1)
- Python One Time Password Library (Python 3)
- python3-pypamtest (1.0.7-1+b1)
- Tool to test PAM applications and PAM modules -- Python3 bindings
- python3-pypandoc (1.4+ds0-1.1)
- Thin wrapper for pandoc (Python 3.x)
- python3-pyparsing (2.2.0+dfsg1-2)
- alternative to creating and executing simple grammars - Python 3.x
- python3-pypass (0.2.1-1.1)
- pypass library for Python3
- python3-pypcap (1.2.2-1)
- object-oriented Python interface for libpcap (Python 3)
- python3-pypdf2 (1.26.0-2+deb10u2) [security]
- Pure-Python library built as a PDF toolkit (Python 3)
- python3-pypeg2 (2.15.2-2)
- Intrinsic PEG Parser-Interpreter for Python
- python3-pyperclip (1.6.4-1)
- Cross-platform clipboard module for Python3
- python3-pyphen (0.9.5-2)
- Python 3 hyphenation module
- python3-pypillowfight (0.2.4-2)
- Python 3 bindings for libpillowfight
- python3-pypowervm (1.1.16+dfsg1-3)
- Python binding for the PowerVM REST API - Python 3.x
- python3-pyprind (2.11.2-1)
- Python Progress Bar and Percent Indicator Utility
- python3-pyproj (1.9.6-1)
- Python 3 interface to PROJ library
- python3-pypump (0.7-1)
- interface to the pump.io APIs (Python 3)
- python3-pypureomapi (0.4-1)
- ISC DHCP OMAPI protocol implementation in Python3
- python3-pyqrcode (1.2.1-2)
- Python 3 module to generate QR Codes
- python3-pyqt4 (4.12.1+dfsg-2+b1)
- Python3 bindings for Qt4
- python3-pyqt4-dbg (4.12.1+dfsg-2+b1)
- Python3 bindings for Qt4 (debug extensions)
- python3-pyqt4.phonon (4.12.1+dfsg-2+b1)
- Python3 bindings for Phonon
- python3-pyqt4.phonon-dbg (4.12.1+dfsg-2+b1)
- Python3 bindings for Phonon (debug extensions)
- python3-pyqt4.qsci (2.10.4+dfsg-2.1)
- Python 3 bindings for QScintilla 2 with Qt 4
- python3-pyqt4.qsci-dbg (2.10.4+dfsg-2.1)
- Python 3 bindings for QScintilla 2 (Qt 4 debug extensions)
- python3-pyqt4.qtopengl (4.12.1+dfsg-2+b1)
- Python 3 bindings for Qt4's OpenGL module
- python3-pyqt4.qtopengl-dbg (4.12.1+dfsg-2+b1)
- Python 3 bindings for Qt4's OpenGL module (debug extension)
- python3-pyqt4.qtsql (4.12.1+dfsg-2+b1)
- Python3 bindings for PyQt4's SQL module
- python3-pyqt4.qtsql-dbg (4.12.1+dfsg-2+b1)
- Python3 bindings for PyQt4's SQL module (debug extension)
- python3-pyqt5 (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5
- python3-pyqt5-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5 (debug extensions)
- python3-pyqt5.qsci (2.10.4+dfsg-2.1)
- Python 3 bindings for QScintilla 2 with Qt 5
- python3-pyqt5.qsci-dbg (2.10.4+dfsg-2.1)
- Python 3 bindings for QScintilla 2 (Qt 5 debug extensions)
- python3-pyqt5.qtchart (5.11.3+dfsg-1)
- Python 3 bindings for Qt5's Charts module
- python3-pyqt5.qtchart-dbg (5.11.3+dfsg-1)
- Python 3 bindings for Qt5's Charts module (debug extension)
- python3-pyqt5.qtmultimedia (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's Multimedia module
- python3-pyqt5.qtmultimedia-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's Multimedia module (debug extensions)
- python3-pyqt5.qtopengl (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's OpenGL module
- python3-pyqt5.qtopengl-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's OpenGL module (debug extension)
- python3-pyqt5.qtpositioning (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtPositioning module
- python3-pyqt5.qtpositioning-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtPositioning module (debug extension)
- python3-pyqt5.qtquick (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtQuick module
- python3-pyqt5.qtquick-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtQuick module (debug extension)
- python3-pyqt5.qtsensors (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtSensors module
- python3-pyqt5.qtsensors-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtSensors module (debug extension)
- python3-pyqt5.qtserialport (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtSerialPort module
- python3-pyqt5.qtserialport-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtSerialPort module (debug extension)
- python3-pyqt5.qtsql (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's SQL module
- python3-pyqt5.qtsql-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's SQL module (debug extension)
- python3-pyqt5.qtsvg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's SVG module
- python3-pyqt5.qtsvg-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's SVG module (debug extension)
- python3-pyqt5.qtwebchannel (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebChannel module
- python3-pyqt5.qtwebchannel-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's Webchannel module (debug extension)
- python3-pyqt5.qtwebengine (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebEngine module
- python3-pyqt5.qtwebengine-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebEngine module (debug extensions)
- python3-pyqt5.qtwebkit (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebKit module
- python3-pyqt5.qtwebkit-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebKit module (debug extensions)
- python3-pyqt5.qtwebsockets (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebSockets module
- python3-pyqt5.qtwebsockets-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's WebSockets module (debug extensions)
- (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtX11Extras module
- (5.11.3+dfsg-1+b3)
- Python 3 bindings for QtX11Extras module (debug extension)
- python3-pyqt5.qtxmlpatterns (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's XmlPatterns module
- python3-pyqt5.qtxmlpatterns-dbg (5.11.3+dfsg-1+b3)
- Python 3 bindings for Qt5's XmlPatterns module (debug extension)
- python3-pyqt5.qwt (1.02.00-1)
- Python version of the Qwt6 technical widget library (Python3)
- python3-pyqtgraph (0.10.0-1)
- Scientific Graphics and GUI Library for Python 3
- python3-pyquery (1.2.9-3)
- jQuery-like library for python 3
- python3-pyrad (2.1-1)
- Python module for creating and decoding RADIUS packets (Python 3)
- python3-pyraf (2.1.15-2)
- Python interface for IRAF
- python3-pyramid (1.10.2+dfsg-2)
- Pyramid web application framework, a Pylons project - Python 3.x version
- python3-pyramid-jinja2 (2.7+dfsg-1)
- Jinja2 template bindings for the Pyramid web framework (Python 3)
- python3-pyramid-multiauth (0.8.0-1)
- authentication policy for the Pyramid web framework (python 3)
- python3-pyregfi (1.0.1+svn287-7)
- Python 3 Bindings for reglookup
- python3-pyregion (2.0-7)
- Python module to parse ds9 region files (Python 3)
- python3-pyresample (1.10.3-1)
- Resampling of remote sensing data in Python 3
- python3-pyro4 (4.75-1)
- distributed object middleware for Python (RPC), Python3 modules
- python3-pyroma (2.3.1-1)
- Python packaging quality checker (Python3 build)
- python3-pyroute2 (0.5.2-1)
- Python3 Netlink library
- (1.1-1)
- interface for generating RSS 2.0 feeds for Python 3
- python3-pysal (1.14.4-3)
- Python Spatial Analysis Library - Python 3
- python3-pysam (0.15.2+ds-2)
- interface for the SAM/BAM sequence alignment and mapping format (Python 3)
- python3-pysaml2 (4.5.0-4+deb10u1)
- SAML Version 2 to be used in a WSGI environment - Python 3.x
- python3-pyscard (1.9.7-1+b1)
- Python3 wrapper above PC/SC API
- python3-pyscss (1.3.5-2+b2)
- SCSS compiler - Python 3.x
- python3-pyshp (2.1.0+ds-1)
- read/write support for ESRI Shapefile format - Python 3.x
- python3-pyside2.qt3dcore (5.11.2-3)
- Python bindings for Qt5 3DCore module (Python 3)
- python3-pyside2.qt3dinput (5.11.2-3)
- Python bindings for Qt5 3DInput module (Python 3)
- python3-pyside2.qt3dlogic (5.11.2-3)
- Python bindings for Qt5 3DLogic module (Python 3)
- python3-pyside2.qt3drender (5.11.2-3)
- Python bindings for Qt5 3DRender module (Python 3)
- python3-pyside2.qtcharts (5.11.2-3)
- Python bindings for Qt5 Charts module (Python 3)
- python3-pyside2.qtconcurrent (5.11.2-3)
- Python bindings for Qt5 Concurrent module (Python 3)
- python3-pyside2.qtcore (5.11.2-3)
- Python bindings for Qt5 core module (Python 3)
- python3-pyside2.qtgui (5.11.2-3)
- Python bindings for Qt5 Gui module (Python 3)
- python3-pyside2.qthelp (5.11.2-3)
- Python bindings for Qt5 Help module (Python 3)
- python3-pyside2.qtlocation (5.11.2-3)
- Python bindings for Qt5 Location module (Python 3)
- python3-pyside2.qtmultimedia (5.11.2-3)
- Python bindings for Qt5 Multimedia module (Python 3)
- python3-pyside2.qtmultimediawidgets (5.11.2-3)
- Python bindings for Qt5 MultimediaWidgets module (Python 3)
- python3-pyside2.qtnetwork (5.11.2-3)
- Python bindings for Qt5 Network module (Python 3)
- python3-pyside2.qtopengl (5.11.2-3)
- Python bindings for Qt5 OpenGL module (Python 3)
- python3-pyside2.qtpositioning (5.11.2-3)
- Python bindings for Qt5 Positioning module (Python 3)
- python3-pyside2.qtprintsupport (5.11.2-3)
- Python bindings for Qt5 PrintSupport module (Python 3)
- python3-pyside2.qtqml (5.11.2-3)
- Python bindings for Qt5 Qml module (Python 3)
- python3-pyside2.qtquick (5.11.2-3)
- Python bindings for Qt5 Quick module (Python 3)
- python3-pyside2.qtquickwidgets (5.11.2-3)
- Python bindings for Qt5 QuickWidgets module (Python 3)
- python3-pyside2.qtscript (5.11.2-3)
- Python bindings for Qt5 Script module (Python 3)
- python3-pyside2.qtscripttools (5.11.2-3)
- Python bindings for Qt5 ScriptTools module (Python 3)
- python3-pyside2.qtsensors (5.11.2-3)
- Python bindings for Qt5 Sensors module (Python 3)
- python3-pyside2.qtsql (5.11.2-3)
- Python bindings for Qt5 Sql module (Python 3)
- python3-pyside2.qtsvg (5.11.2-3)
- Python bindings for Qt5 Svg module (Python 3)
- python3-pyside2.qttest (5.11.2-3)
- Python bindings for Qt5 Test module (Python 3)
- python3-pyside2.qttexttospeech (5.11.2-3)
- Python bindings for Qt5 TextToSpeech module (Python 3)
- python3-pyside2.qtuitools (5.11.2-3)
- Python bindings for Qt5 UiTools module (Python 3)
- python3-pyside2.qtwebchannel (5.11.2-3)
- Python bindings for Qt5 WebChannel module (Python 3)
- python3-pyside2.qtwebenginecore (5.11.2-3)
- Python bindings for Qt5 WebEngineCore module (Python 3)
- python3-pyside2.qtwebenginewidgets (5.11.2-3)
- Python bindings for Qt5 WebEngineWidgets module (Python 3)
- python3-pyside2.qtwebsockets (5.11.2-3)
- Python bindings for Qt5 WebSockets module (Python 3)
- python3-pyside2.qtwidgets (5.11.2-3)
- Python bindings for Qt5 Widgets module (Python 3)
- (5.11.2-3)
- Python bindings for Qt5 X11Extras module (Python 3)
- python3-pyside2.qtxml (5.11.2-3)
- Python bindings for Qt5 Xml module (Python 3)
- python3-pyside2.qtxmlpatterns (5.11.2-3)
- Python bindings for Qt5 XmlPatterns module (Python 3)
- python3-pyside2uic (5.11.2-3)
- User Interface Compiler for PySide2 (Python 3)
- python3-pysimplesoap (1.16.2-1)
- simple and lightweight SOAP Library (Python 3)
- python3-pysmi (0.3.2-1)
- SNMP SMI/MIB Parser
- python3-pysnmp4 (4.4.6+repack1-1)
- Python SNMP library for agents and managers (Python 3 module)
- python3-pysodium (0.7.0-1)
- Python libsodium wrapper (Python3 version)
- python3-pysolar (0.8~rc1+repack-1)
- Python library for precise ephemeris calculations
- python3-pysolr (3.8.1-1)
- lightweight Python3 wrapper for querying Apache Solr
- python3-pyspectral (0.8.6+ds-1)
- Reading and manipulaing satellite sensor spectral responses
- python3-pyspectral-doc (0.8.6+ds-1)
- Reading and manipulaing satellite sensor spectral responses - documentation
- python3-pysrt (1.0.1-1)
- library to edit or create SubRip files (Python 3)
- python3-pyssim (0.2-1)
- Tool computing the Structural Similarity Image Metric (SSIM)
- python3-pystache (0.5.4-6)
- Python3 implementation of Mustache
- python3-pysvn
- virtueel pakket geboden door python3-svn
- python3-pysynphot (0.9.12+dfsg-3)
- Python Synthetic Photometry Utilities
- python3-pytango (9.2.5-1)
- transitional dummy package for python3-tango
- python3-pyte (0.4.8-1)
- simple VTXXX-compatible terminal emulator (Python 3)
- python3-pytest (3.10.1-2)
- Simple, powerful testing in Python3
- python3-pytest-arraydiff (0.3-1)
- Pytest plugin to generate and compare data arrays
- python3-pytest-astropy (0.5.0-1)
- Pytest dependencies for Astropy and affiliated packages
- python3-pytest-asyncio (0.9.0-1)
- pytest support for asyncio.
- python3-pytest-benchmark (3.2.2-1)
- pytest fixture for benchmarking code (Python 3)
- python3-pytest-cookies (0.3.0-1)
- wrapper for the cookiecutter API for generating projects (Python 3)
- python3-pytest-cov (2.6.0-1)
- py.test plugin to produce coverage reports for Python3
- python3-pytest-cython (0.1.0-1)
- pytest plugin for testing Cython extension modules (Python 3)
- python3-pytest-django (3.1.2-1)
- Django plugin for py.test.
- python3-pytest-doctestplus (0.2.0-1)
- Pytest plugin providing advanced doctest features
- python3-pytest-expect (1.1.0-1)
- py.test-3 plugin to store test expectations
- python3-pytest-flask (0.14.0-1)
- pytest plugin to test Python Flask - Python 3 version
- python3-pytest-flask-doc (0.14.0-1)
- pytest plugin to test Python Flask - documentation manual
- python3-pytest-forked (1.0.1-1)
- py.test plugin for running tests in forked subprocesses (Python 3)
- python3-pytest-helpers-namespace (2017.11.11-1)
- provides a namespace for pytest helpers
- python3-pytest-httpbin (0.3.0-4)
- py.test plugin providing a local httpbin (Python 3)
- python3-pytest-lazy-fixture (0.5.1-1)
- Use your fixtures in @pytest.mark.parametrize (Python 3)
- python3-pytest-localserver (0.5.0-1)
- py.test plugin to test server connections locally (Python 3)
- python3-pytest-mock (1.7.1-1)
- thin-wrapper around mock for easier use with py.test (Python 3 module)
- python3-pytest-mpl (0.10-2)
- pytest plugin for Matplotlib image comparison in Python 3
- python3-pytest-multihost (3.0-1)
- Utility for writing multi-host tests for pytest (Python 3)
- python3-pytest-openfiles (0.3.2-1)
- Pytest plugin to check for files left open at the end of a test
- python3-pytest-pep8 (1.0.6-1)
- pytest plugin to check PEP8 requirements
- python3-pytest-pylint (0.14.0-1)
- pytest plugin to check source code with pylint - Python 3.x
- python3-pytest-random-order (1.0.4-1)
- pytest plugin to randomise the order of tests (Python 3)
- python3-pytest-remotedata (0.3.1-3)
- Pytest plugin to control access to data files hosted online
- python3-pytest-runner (2.11.1-1.1)
- Invoke py.test as distutils command with dependency resolution - Python 3.x
- python3-pytest-sourceorder (0.5.1-1)
- Test-ordering plugin for pytest3 (Python 3)
- python3-pytest-sugar (0.9.2-1)
- different output style for py.test with a progress bar
- python3-pytest-tempdir (2016.8.20-1)
- predictable and repeatable temporary directory for tests
- python3-pytest-timeout (1.3.3-1)
- Pytest plugin to abort hanging tests - Python 3.X
- python3-pytest-tornado (0.5.0-1)
- py.test plugin to test Tornado applications (Python 3)
- python3-pytest-xdist (1.26.1-1)
- xdist plugin for py.test (Python 3)
- python3-pytest-xvfb (1.0.0-2)
- pytest plugin to run Xvfb for tests
- python3-pytestqt (3.2.2-1)
- pytest plugin for Qt application testing (Python 3)
- python3-pytestsalt (2018.1.13-1)
- PyTest plug-in for Salt daemons to be used in tests
- python3-python-openidc-client (0.6.0-1)
- Python OpenID Connect client (Python 3)
- python3-python-utils (2.3.0-1)
- collection of small Python functions and classes
- python3-pythonmagick (0.9.19-4)
- Object-oriented Python 3 interface to ImageMagick
- python3-pytimeparse (1.1.5-2)
- time expression parser - Python 3.x
- python3-pytoml (0.1.2-1)
- TOML parser and emitter for Python3
- python3-pytools (2019.1-1)
- big bag of things supplementing Python 3 standard library
- python3-pyuca (1.2-1)
- Unicode Collation Algorithm (UCA) for Python3
- python3-pyudev (0.21.0-1)
- Python3 bindings for libudev
- python3-pyvcf (0.6.8+git20170215.476169c-1)
- Variant Call Format (VCF) parser for Python 3
- python3-pyverbs (22.1-1)
- Pyverbs is a Python bindings for rdma-core
- python3-pyvirtualdisplay (0.2.1-2)
- python wrapper for Xvfb, Xephyr and Xvnc
- python3-pyvisa (1.9.1-1)
- Python 3 bindings for Virtual Instrument Software Architecture
- python3-pyvisa-py (0.3.1-2)
- Backend that implements a large part of the VISA in pure Python 3
- python3-pyvmomi (6.7.1-2)
- VMware vSphere Python SDK - Python 3.x
- python3-pyvo (0.9.2-2)
- Python 3 library for data services of the Virtual observatory (VO)
- python3-pywt (0.5.1-1.1+b3)
- Python3 extension implementing of wavelet transformations
- python3-pywws (18.10.1-1)
- software for wireless weather stations
- python3-pyx (0.14.1-9)
- Python 3 module for generating PostScript graphics
- python3-pyx-doc (0.14.1-9)
- Python 3 module for generating PostScript graphics (documentation)
- python3-pyxattr (0.6.1-1)
- module for manipulating filesystem extended attributes (Python3)
- python3-pyxattr
- virtueel pakket geboden door python3-xattr
- python3-pyxattr-dbg (0.6.1-1)
- module for manipulating filesystem extended attributes (Python3 debug version)
- python3-pyxb (1.2.6+dfsg-2)
- Python XML Schema Bindings (Python3 version)
- python3-pyxb-bundles-common (1.2.6+dfsg-2)
- Python XML Schema Bindings (common bindings for Python3)
- python3-pyxb-bundles-dc (1.2.6+dfsg-2)
- Python XML Schema Bindings (Dublin Core bindings for Python3)
- python3-pyxb-bundles-saml20 (1.2.6+dfsg-2)
- Python XML Schema Bindings (SAML bindings for Python3)
- python3-pyxb-bundles-wssplat (1.2.6+dfsg-2)
- Python XML Schema Bindings (WS-* bindings for Python3)
- python3-pyzabbix (0.7.4-1)
- Zabbix API Python interface.
- python3-q (2.6-1.1)
- Quick-and-dirty Python 3 debugging output for tired programmers
- python3-q-text-as-data (1.7.4+2018.12.21+git+28f776ed46-1)
- performs SQL-like statements on tabular text data - Python 3.x
- python3-qinlingclient (2.0.0-2)
- client for Function as a Service for OpenStack - Python 3.x
- python3-qpack (0.0.17-1+b1)
- Python3 implementation of the QPack protocol
- python3-qpid-proton (0.22.0-3)
- language bindings for Qpid Proton messaging framework - Python 3.x
- python3-qrcode (6.1-1)
- QR Code image generator library - Python 3.x
- python3-qrcodegen (1.4.0-1)
- QR Code generator library in multiple languages - Python3 version
- python3-qrencode (1.2-4+b2)
- Python bindings for the Qrencode QR Code generator library (Python 3)
- python3-qt-binding (0.3.4-2)
- Robot OS Python 3 bindings for QT
- python3-qtawesome (0.4.4+ds1-1)
- iconic fonts in PyQt and PySide applications (Python 3)
- python3-qtconsole (4.3.1-1)
- Jupyter - Qt console (Python 3)
- python3-qtpy (1.3.1-1)
- abtraction layer for PySide/PyQt4/PyQt5 (Python 3)
- python3-quamash (0.6.1~dfsg-1)
- implementation of the PEP 3156 event-loop with Qt
- python3-quark-sphinx-theme (0.5.1-1)
- Sphinx theme designed for QTextBrowser (Python 3)
- python3-queuelib (1.4.2-1)
- collection of persistent (disk-based) queues (Python 3)
- python3-quintuple (0~20171222-3)
- 5-qubit quantum computer simulator (Python 3)
- python3-qwt (0.5.5-1)
- Pure Python implementation of Qwt - Python 3
- python3-qwt3d-qt5 (0.1.8-5)
- Python bindings of the QwtPlot3D library
- python3-raccoon (2.1.5-3)
- Python DataFrame with fast insert and appends (Python 3)
- python3-radicale (2.1.11-6)
- simple calendar and addressbook server - python3 module
- python3-radio-beam (0.2-3)
- Python Tools for Radio Beam IO and Manipulation
- python3-radix (0.10.0-2+b1)
- radix tree implementation for storage of IPv4 and IPv6 networks (Python 3)
- python3-rados (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python 3 libraries for the Ceph librados library
- python3-rally (1.2.1+dfsg1-2)
- benchmark System for OpenStack - Python code
- python3-random2 (1.0.1-1)
- backport of Python 2.7's random module (Python 3)
- python3-randomize (0.14-1)
- randomize the order of tests in a unittest.TestCase class - Python 3.x
- python3-rarfile (3.0-1)
- RAR archive reader module for Python 3
- python3-rasterio (1.0.21-1)
- Python 3 API for using geospatial raster data with Numpy
- python3-ratelimiter (1.2.0.post0-1)
- simple Python library for limiting the rate of operations
- python3-rawkit (0.6.0-1)
- CTypes based LibRaw bindings - Python 2.X
- python3-rbd (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python 3 libraries for the Ceph librbd library
- python3-rcssmin (1.0.6-1+b3)
- CSS Minifier - Python 3.x
- python3-rdflib (4.2.2-2)
- Python 3 library containing an RDF triple store and RDF parsers/serializers
- python3-rdflib-jsonld (0.4.0-4)
- rdflib extension adding JSON-LD parser and serializer in Python 3
- python3-readlike (0.1.3-1)
- GNU Readline-like line editing module
- python3-readme-renderer (24.0-1)
- Library to safely render arbitrary README files into HTML
- python3-rebulk (0.9.0-2)
- Define simple search patterns in bulk to perform advanced matching on strings
- python3-recoll (1.24.3-3)
- Python extension for recoll (Python3)
- python3-recommonmark (0.4.0+ds-5)
- CommonMark utility for Docutils and Sphinx projects -- Python 3
- python3-reconfigure (0.1.81+git20171214.2b8729a8-1)
- simple config file management library (Python 3)
- python3-redis (3.2.1-2)
- Persistent key-value database with network interface (Python 3 library)
- python3-rediscluster (1.3.3-1)
- Python interface to a cluster of Redis key-value stores
- python3-redminelib (2.1.1+ds-1)
- Python library for the Redmine RESTful API (Python 3)
- python3-rednose (0.4.1-2)
- coloured output for nosetests - Python 3.x
- python3-regex (0.1.20190207-1)
- alternative regular expression module (Python 3)
- python3-regex-dbg (0.1.20190207-1)
- alternative regular expression module (Python 3 debug extension)
- python3-regions (0.3-2)
- Python astronomy package for region handling
- python3-relational (2.5-2)
- Educational tool for relational algebra (standalone module)
- python3-relatorio (0.8.1-1)
- Python module to create reports from Python objects (Python3)
- python3-releases (1.4.0-1)
- Sphinx extension for changelog manipulation (Python 3)
- python3-rencode (1.0.5-1+b2)
- Python encoding library similar to bittorrent's bencode (Python 3)
- python3-renderpm (3.5.13-1+deb10u2) [security]
- python low level render interface
- python3-renderpm-dbg (3.5.13-1+deb10u2) [security]
- python low level render interface (debug extension)
- python3-reno (2.9.2-1)
- RElease NOtes manager - Python 3.x
- python3-reparser (1.4.3-1)
- Simple regex-based lexer/parser for inline markup
- python3-reportbug (7.5.3~deb10u2) [security]
- Python modules for interacting with bug tracking systems
- python3-reportlab (3.5.13-1+deb10u2) [security]
- ReportLab library to create PDF documents using Python3
- python3-reportlab-accel (3.5.13-1+deb10u2) [security]
- C coded extension accelerator for the ReportLab Toolkit
- python3-reportlab-accel-dbg (3.5.13-1+deb10u2) [security]
- C coded extension accelerator for the ReportLab Toolkit
- python3-repoze.lru (0.7-1)
- tiny LRU cache implementation and decorator for Python 3
- python3-repoze.sphinx.autointerface (0.8-0.1)
- Sphinx extension to generate API docs from Zope interfaces (Python 3)
- python3-repoze.tm2 (2.0-1)
- Zope-like transaction manager WSGI middleware (Python 3)
- python3-repoze.who (2.2-3)
- ident and auth framework for Python WSGI applications - Python 3.x
- python3-reproject (0.4-3)
- Reproject astronomical images with Python 3
- python3-reprounzip (1.0.10-1)
- modules for the ReproZip unpacker
- python3-reprozip (1.0.14-2)
- modules for the ReproZip packer
- python3-reprozip-dbg (1.0.14-2)
- debug extensions for the ReproZip packer
- python3-requestbuilder (0.5.2-2+deb10u1) [security]
- command line-driven HTTP request builder - Python 3.x
- python3-requests (2.21.0-1+deb10u1) [security]
- elegant and simple HTTP library for Python3, built for human beings
- python3-requests-cache (0.4.13-2)
- persistent cache for requests library (Python 3)
- python3-requests-file (1.4.3-1)
- File transport adapter for Requests - Python 3.X
- python3-requests-futures (0.9.9-1)
- library for asynchronous HTTP requests (Python 3)
- python3-requests-kerberos (0.11.0-2)
- Kerberos/GSSAPI authentication handler for python-requests - Python 3.x
- python3-requests-mock (1.5.2-2)
- mock out responses from the requests package - Python 3.x
- python3-requests-ntlm (1.1.0-1)
- Adds support for NTLM authentication to the requests library
- python3-requests-oauthlib (1.0.0-0.1)
- module providing OAuthlib auth support for requests (Python 3)
- python3-requests-toolbelt (0.8.0-1)
- Utility belt for advanced users of python3-requests
- python3-requests-unixsocket (0.1.5-3)
- Use requests to talk HTTP via a UNIX domain socket - Python 3.x
- python3-requestsexceptions (1.4.0-1)
- import exceptions from bundled packages in requests. - Python 3.x
- python3-requirements-detector (0.6-1)
- finds and lists the requirements of a Python project
- python3-resource-retriever (1.12.4-2)
- Robot OS resource_retriever library - Python 3
- python3-responses (0.9.0-1)
- Utility library for mocking out the requests Python 3 library
- python3-restless (2.1.1-1)
- lightweight REST miniframework for Python
- python3-restrictedpython (4.0~b3-2)
- Restricted execution environment for Python 3
- python3-restructuredtext-lint (0.12.2-2)
- reStructuredText linter - Python 3.x
- python3-retrying (1.3.3-3)
- simplifies the task of adding retry behavior - Python 3.x
- python3-rfc3161ng (2.1.1-3)
- Implementation of trusted timestamping client
- python3-rfc3339 (1.1-1)
- parser and generator of RFC 3339-compliant timestamps (Python 3)
- python3-rfc3986 (0.3.1-2)
- validating URI references per RFC 3986 - Python 3.x
- python3-rgw (12.2.11+dfsg1-2.1+deb10u1) [security]
- Python 3 libraries for the Ceph librgw library
- python3-ripe-atlas-cousteau (1.4.2-1)
- Python3 wrapper around the RIPE Atlas API
- python3-ripe-atlas-sagan (1.2.2-1)
- Python3 library for parsing RIPE Atlas measurement results
- python3-rjsmin (1.0.12+dfsg1-4+b2)
- javascript minifier written in Python - Python 3.x
- python3-rlp (0.5.1-1)
- Recursive Length Prefix (RLP) library — Python 3
- python3-robot-detection (0.4.0-1)
- Detect web crawlers from HTTP UserAgent (Python 3 version)
- python3-roman (2.0.0-3)
- module for generating/analyzing Roman numerals for Python 3
- python3-rope (0.10.5-3)
- Python 3 refactoring library
- python3-rosbag (1.14.3+ds1-5+deb10u3)
- Python 3 tools for recording from and playing back to Robot OS topics
- python3-rosboost-cfg (1.14.4-6)
- Contains scripts used by the Robot OS rosboost-cfg tool (Python 3)
- python3-rosclean (1.14.4-6)
- cleanup Robot OS filesystem resources (e.g. logs) (Python 3)
- python3-roscpp-msg (1.14.3+ds1-5+deb10u3)
- Header for roscpp messages, Python 3
- python3-roscreate (1.14.4-6)
- Robot OS empty package template creator (Python 3)))))))))
- python3-rosdep
- virtueel pakket geboden door python3-rosdep2
- python3-rosdep2 (0.15.1-2)
- rosdep package manager abstraction tool for Robot OS (Python 3)
- python3-rosdistro (0.7.2-1)
- Tool to work with rosdistro files (for Robot OS, Python 3)
- python3-rosgraph (1.14.3+ds1-5+deb10u3)
- Tool to print information about the Robot OS computation graph - Python 3
- python3-rosgraph-msgs (1.11.2-9)
- Messages relating to the Robot OS Computation Graph, Python 3 bindings
- python3-rosinstall (0.7.8-2)
- Installer for Robot OS (Python 3)
- python3-rosinstall-generator (0.1.14-1)
- Tool to generate rosinstall files (for Robot OS) Python 3
- python3-roslaunch (1.14.3+ds1-5+deb10u3)
- roslaunch tool for Robot OS - Python 3
- python3-roslib (1.14.4-6)
- Python 3 library for roslib (Robot OS)
- python3-roslz4 (1.14.3+ds1-5+deb10u3)
- Python 3 library implementing lz4 for Robot OS
- python3-rosmake (1.14.4-6)
- rosmake is a Robot OS dependency aware build tool (Python 3)
- python3-rosmaster (1.14.3+ds1-5+deb10u3)
- Robot OS Master implementation - Python 3
- python3-rosmsg (1.14.3+ds1-5+deb10u3)
- Command-line tools for displaying information about Robot OS - Python 3
- python3-rosnode (1.14.3+ds1-5+deb10u3)
- Tool for displaying debug information about Robot OS - Python 3
- python3-rosparam (1.14.3+ds1-5+deb10u3)
- Tool for getting and setting Robot OS Parameters - Python 3
- python3-rospkg (1.1.7-1)
- Robot OS package library (Python 3)
- python3-rospy (1.14.3+ds1-5+deb10u3)
- Python 3 client library for Robot OS
- python3-rosservice (1.14.3+ds1-5+deb10u3)
- Command-line tool for listing and querying Robot OS services - Python 3
- python3-rostest (1.14.3+ds1-5+deb10u3)
- Test suite for Robot OS based on roslaunch - Python 3
- python3-rostopic (1.14.3+ds1-5+deb10u3)
- Tool for displaying debug information about Robot OS Topics - Python 3
- python3-rosunit (1.14.4-6)
- Unit-testing package for ROS (Python 3)
- python3-roswtf (1.14.3+ds1-5+deb10u3)
- Tool for diagnosing issues with a running Robot OS system - Python 3
- python3-routes (2.4.1-1)
- Routing Recognition and Generation Tools for Python 3
- python3-rpaths (0.13-1)
- cross-platform path manipulation library for Python
- python3-rpi.gpio (0.6.5-1)
- Module to control Raspberry Pi GPIO channels (Python 3)
- python3-rply (0.7.4-3)
- pure Python based parser that also works with RPython (Python 3)
- python3-rpm (4.14.2.1+dfsg1-1)
- Python 3 bindings for RPM
- python3-rpy2 (2.9.5-1)
- Python3 interface to the GNU R language and environment (version 2)
- python3-rrdtool (1.7.1-2)
- time-series data storage and display system (Python3 interface)
- python3-rsa (4.0-2)
- Pure-Python RSA implementation (Python 3)
- python3-rstr (2.2.6-1)
- Easily generate random strings of various types (Python 3)
- python3-rtmidi (1.2.1-1)
- Python wrapper for the RtMidi C++ library written with Cython
- python3-rtree (0.8.3+ds-2)
- R-Tree spatial index for Python GIS - Python 3 module
- python3-rtslib-fb (2.1.66-2)
- object API for managing the Linux LIO kernel target - Python 3.x
- python3-ruamel.yaml (0.15.34-1+b1)
- roundtrip YAML parser/emitter (Python 3 module)
- python3-ruffus (2.8.1-4)
- Python3 computation pipeline library widely used in bioinformatics
- python3-ryu (4.26+dfsg1-5)
- software defined networking framework - Python 3
- python3-s3transfer (0.2.0-1)
- Amazon S3 Transfer Manager for Python3
- python3-sadisplay (0.4.9-1)
- Simple tool for SQLAlchemy schema visualization
- python3-sagenb-export (3.2-3)
- Convert SageNB Notebooks (Python 3)
- python3-sahara (1:9.0.0-1)
- OpenStack data processing cluster as a service - library
- python3-sahara-dashboard (9.0.1-1)
- OpenStack data processing cluster as a service - dashboard plugin
- python3-saharaclient (2.0.0-2.1)
- Client library for Openstack Sahara API server - Python 3.x module
- python3-sane (2.8.3-1+b2)
- Python Imaging Library - SANE interface (Python3)
- python3-sane-dbg (2.8.3-1+b2)
- Python Imaging Library - SANE interface (Python3 debug extension)
- python3-sasmodels (0.99-2)
- Theoretical models for small angle scattering (Python 3)
- python3-savitar (3.3.0-3)
- 3MF file handling library (Python bindings)
- python3-sbml
- virtueel pakket geboden door python3-sbml5
- python3-sbml5 (5.17.2+dfsg-3)
- System Biology Markup Language library - Python3 bindings
- python3-sbml5-doc (5.17.2+dfsg-3)
- System Biology Markup Language library - Python3 documentation
- python3-scales (1.0.9-2)
- Application metrics for Python 3
- python3-scapy (2.4.0-2)
- Packet generator/sniffer and network scanner/discovery (Python 3)
- python3-scciclient (0.7.2-2+deb10u1) [security]
- Python ServerView Common Command Interface (SCCI) Client Library - Python 3.x
- python3-schedule (0.3.2-1)
- Job scheduling for humans (Python 3)
- python3-schedutils (0.6-1)
- Linux scheduler Python bindings - Python 3.x
- python3-schema (0.6.7-1)
- simple data validation library (Python 3)
- python3-schema-salad (3.0.20181206233650-2)
- Schema Annotations for Linked Avro Data (SALAD)
- python3-schroot (0.4-3)
- set of Python 3 bindings to schroot chroots
- python3-scipy (1.1.0-7)
- scientific tools for Python 3
- python3-scipy-dbg (1.1.0-7)
- scientific tools for Python 3 - debugging symbols
- python3-scoop (0.7.1.1-3)
- Python3 library for concurrent parallel programming
- python3-scour (0.37-2)
- SVG scrubber and optimizer (Python 3 module)
- python3-scp (0.13.0-1)
- scp module for paramiko (Python 3)
- python3-scrapy (1.5.1-1+deb10u1)
- Python web scraping and crawling framework (Python 3)
- python3-scrapy-djangoitem (1.1.1-2)
- Scrapy extension to write scraped items using Django models (Python3 version)
- python3-screed (1.0-3)
- short nucleotide read sequence utils in Python 3
- python3-scripttest (1.3-2)
- Helper to test command-line scripts - python 3.x
- python3-scruffy (0.3.3-1.1)
- framework for taking care of a bunch of boilerplate in Python3 apps
- python3-scrypt (0.8.0-0.1+b1)
- bindings for the scrypt key derivation function library - Python 3.x
- python3-sdnotify (0.3.1-1)
- Python3 implementation of systemd's service notification protocol
- python3-seaborn (0.9.0-1)
- statistical visualization library for Python3
- python3-searchlightclient (1.3.0-2)
- OpenStack Indexing and Search API Client Library - Python 3.x
- python3-searx (0.15.0+dfsg1-1)
- Privacy-respecting metasearch engine - library package
- python3-seccomp (2.3.3-4)
- high level interface to Linux seccomp filter (Python 3 bindings)
- python3-secretstorage (2.3.1-2)
- Python module for storing secrets - Python 3.x version
- python3-segyio (1.8.3-1)
- SEG-Y read/write library for seismic processing (python3 bindings)
- python3-selenium (3.14.1+dfsg1-1)
- Python3 bindings for Selenium
- python3-selinux (2.8-1+b1)
- Python3 bindings to SELinux shared libraries
- python3-semanage (2.8-2)
- Python3 bindings for SELinux policy management
- python3-semantic-version (2.6.0-2)
- implementing the SemVer scheme - Python 3.x
- python3-semver (2.0.1-3)
- helps to compare semantic versioning - Python 3.x
- python3-send2trash (1.5.0-1)
- Python module for sending file to trash natively
- python3-sendfile (2.0.1-2)
- Python interface to sendfile(2)
- python3-sendfile-dbg (2.0.1-2)
- Python interface to sendfile(2) (debug)
- python3-senlin (6.0.0-1)
- clustering service for OpenStack clouds - Python 2.7
- python3-senlin-dashboard (0.9.0-3)
- clustering service for OpenStack clouds - dashboard plugin
- python3-senlinclient (1.8.0-2)
- OpenStack Clustering API Client Library - Python 3.x
- python3-sensor-msgs (1.12.7-1)
- Messages relating to Robot OS sensor, Python 3 interface
- python3-sentinels (1.0.0-3)
- Python module for sentinel objects (Python 3 version)
- python3-sentinelsat (0.12.2-2)
- search and download Sentinel satellite images (Python)
- python3-sepolgen (2.8-3)
- Python3 module used in SELinux policy generation
- python3-sepolicy (2.8-3)
- Python binding for SELinux Policy Analyses
- python3-seqdiag (0.9.5+dfsg-1.1)
- seqdiag generate sequence-diagram image file for Python3
- python3-serial (3.4-4)
- pyserial - module encapsulating access for the serial port
- python3-serial-asyncio (0.4-1)
- Async I/O extension package for pyserial
- python3-serpent (1.27-1)
- simple serialization library based on ast.literal_eval(), Python3 modules
- python3-service-identity (16.0.0-2)
- Service identity verification for pyOpenSSL (Python 3 module)
- python3-setools (4.2.0-1)
- SETools Python bindings
- python3-setoolsgui (4.2.0-1)
- SETools Python bindings (GUI)
- python3-setoptconf (0.2.0-3)
- retrieve Python3 program settings from a variety of sources
- python3-setproctitle (1.1.10-1+b2)
- Setproctitle implementation for Python 3
- python3-setproctitle-dbg (1.1.10-1+b2)
- Setproctitle implementation for Python 3 (debug)
- python3-setuptools (40.8.0-1)
- Python3 Distutils Enhancements
- python3-setuptools-git (1.2-2)
- plugin for setuptools that enables git integration
- python3-setuptools-scm (3.2.0-1)
- blessed package to manage your versions by scm tags for Python 3
- python3-setuptools-scm-git-archive (1.0-2)
- Plugin for setuptools_scm to add support for git archives
- python3-sexpdata (0.0.3-1)
- S-expression parser (Python3)
- python3-sfml (2.2~git20150611.196c88+dfsg-4+b3)
- Simple and Fast Multimedia Library - Python 3 Bindings
- python3-sfml-dbg (2.2~git20150611.196c88+dfsg-4+b3)
- Simple and Fast Multimedia Library - Python 3 Debug Bindings
- python3-sgp4 (1.4-1)
- Track earth satellite TLE orbits using up-to-date 2010 version of sgp4
- python3-sh (1.12.14-1.1)
- Python 3 subprocess interface
- python3-sha3 (1.0.2-2+deb10u1) [security]
- SHA-3 (Keccak) hash implementation — Python 3
- python3-shade (1.30.0-2)
- Client library for operating OpenStack clouds
- python3-shape-msgs (1.12.7-1)
- Messages relating to Robot OS shape, Python 3 interface
- python3-shapely (1.6.4-2)
- geometric objects, predicates, and operations (Python 3)
- python3-shellescape (3.4.1-3)
- escapes characters to safely assemble command lines
- python3-shodan (1.11.1-1)
- Official Python library for Shodan (Python 3)
- python3-shortuuid (0.5.0-1)
- generates concise, unambiguous, URL-safe UUIDs for Python3
- python3-sievelib (1.1.0-1)
- Client-side Sieve and Managesieve library (Python3 version)
- python3-sigmavirus24-urltemplate (3.0.0+git20181031.68064e2-1)
- Simple Python library to deal with URI Templates - Python 3.x
- python3-signedjson (1.0.0+git20151019-2)
- sign JSON with Ed25519 signatures in Python 3
- python3-silo (4.10.2.real-5+b1)
- Python3 interface to the SILO Scientific I/O library
- python3-silx (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Python3
- python3-silx-dbg (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Python3 debug
- python3-simple-cdd (0.6.7)
- python3 modules for Simple-CDD
- python3-simplebayes (1.5.7-1)
- Naïve bayesian text classifier for Python 3
- python3-simpleeval (0.9.6-1)
- Simple, safe single expression evaluator library (Python 3)
- python3-simplegeneric (0.8.1-2)
- simple generic functions for Python3
- python3-simpleitk (1.0.1-3)
- Python bindings for SimpleITK
- python3-simplejson (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python 3.x
- python3-simplejson-dbg (3.16.0-1)
- simple, fast, extensible JSON encoder/decoder for Python 3.x - debug symbols
- python3-simpletal (5.2-1)
- Simple TAL, TALES and METAL implementation
- python3-simpy (2.3.1+dfsg-1)
- python-based simulation package (Python3 version)
- python3-simpy3 (3.0.11-1)
- python-based simulation package (Python3 version)
- python3-singledispatch (3.4.0.3-2)
- single-dispatch generic functions for Python
- python3-sip (4.19.14+dfsg-2)
- Python 3/C++ bindings generator runtime library
- python3-sip-dbg (4.19.14+dfsg-2)
- Python 3/C++ bindings generator runtime library (debug extension)
- python3-sip-dev (4.19.14+dfsg-2)
- Python 3/C++ bindings generator development files
- python3-siphashc (1.2-1)
- python c-module for siphash, based on floodberry's version
- python3-sireader (1.1.1-1)
- Python module to communicate with SportIdent main stations (Python 3)
- python3-siridb-connector (2.0.5-1)
- Python3 interface for the SiriDB time series database server
- python3-six (1.12.0-1)
- Python 2 and 3 compatibility library (Python 3 interface)
- python3-skimage (0.14.2-2)
- Python 3 modules for image processing
- python3-skimage-lib (0.14.2-2)
- Optimized low-level algorithms for Python 3 scikit-image
- python3-sklearn (0.20.2+dfsg-6)
- Python modules for machine learning and data mining - Python 3
- python3-sklearn-lib (0.20.2+dfsg-6)
- low-level implementations and bindings for scikit-learn - Python 3
- python3-skytools (3.3-3)
- Skytools Python modules
- python3-sleekxmpp (1.3.3-4)
- XMPP (Jabber) Library Implementing Everything as a Plugin (Python 3.x)
- python3-slepc4py (3.10.0-2)
- Python 3 bindings for SLEPc libraries
- python3-slepc4py-complex (3.10.0-2)
- Python 3 bindings for SLEPc libraries (complex numbers)
- python3-slepc4py-real (3.10.0-2)
- Python 3 bindings for SLEPc libraries (real numbers)
- python3-slimit (0.8.1-3)
- JavaScript minifier/parser in Python
- python3-slimmer (0.1.30-7)
- HTML, XHTML, CSS, JavaScript optimizer for Python3
- python3-slip (0.6.5-2)
- miscellaneous convenience, extension and workaround code for Python
- python3-slip-dbus (0.6.5-2)
- convenience functions for D-Bus services
- python3-slixmpp (1.4.2-1)
- Threadless, event-based XMPP Python 3 library
- python3-slixmpp-lib (1.4.2-1)
- Threadless, event-based XMPP Python 3 library (optional binary module)
- python3-slugify (2.0.1-1)
- Python module that provides tools for slugifying unicode strings (Python 3)
- python3-smartypants (2.0.0-1)
- smart-quotes plugin for pyblosxom (Python 3 version)
- python3-smbc (1.0.15.6-1+b2)
- Python 3 bindings for the Samba client library
- python3-smbus (4.1-1)
- Python 3 bindings for Linux SMBus access through i2c-dev
- python3-smclib (1.8.3-1+b1)
- Robot OS 'bond' State Machine Compiler Python 3 package
- python3-smmap (2.0.5-1)
- pure Python implementation of a sliding window memory map manager
- python3-smoke-zephyr (1.4.1-1)
- Python utility collection
- python3-smstrade (0.2.4-5)
- Python library to send SMS via the smstrade service (Python 3)
- python3-snappy (0.5.3-1)
- snappy compression library from Google - Python 3.x
- python3-sniffio (1.0.0-1)
- detect which async Python library is in use
- python3-snimpy (0.8.13-1)
- high-level SNMP bindings for Python 3
- python3-snowballstemmer (1.2.1-1)
- Pure Python 3 Snowball stemming library
- python3-snuggs (1.4.3-1)
- S-expressions for numpy - Python 3 version
- python3-soapysdr (0.6.1-4+b1)
- SoapySDR Python 3 bindings
- python3-social-auth-core (3.1.0-1)
- This is the core component of the python-social-auth ecosystem
- python3-social-django (3.1.0-2)
- This is the Django component of the python-social-auth ecosystem
- python3-socketio-client (0.6.5-0.1)
- socket.io-client library for Python3
- python3-socketpool (0.5.3-4)
- simple Python 3 socket pool
- python3-socks (1.6.8+dfsg-1)
- Python 3 SOCKS client module
- python3-softlayer (5.6.4-1)
- Python client for SoftLayer API (Python 3)
- python3-software-properties (0.96.20.2-2)
- manage the repositories that you install software from
- python3-solv (0.6.35-2+deb10u1)
- dependency solver using a satisfiability algorithm (Python3 bindings)
- python3-sorl-thumbnail (12.5.0-1)
- thumbnail support for the Django framework (Python3 version)
- python3-sortedcollections (1.0.1-1)
- Python 3 Sorted Collections
- python3-sortedcontainers (2.0.4-1)
- sorted container types: SortedList, SortedDict, and SortedSet (Python 3)
- python3-sortedm2m (1.2.2-1)
- Replacement for Django's many to many field with sorted relations (Python 3)
- python3-soundfile (0.10.1-3)
- Python 3 audio module based on libsndfile
- python3-soupsieve (1.8+dfsg-1)
- modern CSS selector implementation for BeautifulSoup (Python 3)
- python3-spake2 (0.8-1)
- SPAKE2 password-authenticated key exchange (pure python)
- python3-sparkpost (1.3.7-1)
- SparkPost Python API client (Python 3)
- python3-sparqlwrapper (1.8.2-0.1)
- SPARQL endpoint interface to Python3
- python3-sparse (0.2.0-1)
- multidimensional sparse arrays for Python
- python3-spectra (0.0.11-1)
- Easy color scales and color conversion for Python (Python 3 version)
- python3-spectral-cube (0.4.3-2)
- Manipulate astronomical data cubes with Python
- python3-specutils (0.5.2-1)
- Base classes and utilities for astronomical spectra in Python
- python3-speechd (0.9.0-5+deb10u1)
- Python interface to Speech Dispatcher
- python3-speg (0.3-1)
- PEG-based parser interpreter with memoization
- python3-spf (2.0.12t-3)
- sender policy framework (SPF) module for Python 3
- python3-spf-engine (2.9.2-0+deb10u1)
- Sender Policy Framework (SPF) processing module
- python3-spglib (1.12.2-1)
- C library for crystal symmetry determination - Python3 bindings
- python3-sphere (3.2-11)
- Python3 interface to the spherepack scientific library.
- python3-sphinx (1.8.4-1)
- documentation generator for Python projects (implemented in Python 3)
- python3-sphinx-argparse (0.2.2-2)
- Sphinx extension for argparse commands and options (Python 3)
- python3-sphinx-astropy (1.1.1-1)
- Sphinx extensions and configuration specific to the Astropy project
- python3-sphinx-autobuild (0.7.1-2)
- Automatically rebuild Sphinx documentation
- python3-sphinx-automodapi (0.10-4)
- Sphinx extension for auto-generating API documentation for modules
- python3-sphinx-autorun (1.1.0-3)
- Code execution extension for Sphinx (Python 3)
- python3-sphinx-bootstrap-theme (0.6.5-1)
- bootstrap theme for Sphinx (Python 3)
- python3-sphinx-celery (1.3.1-2)
- Sphinx Celery theme
- python3-sphinx-feature-classification (0.3.0-1)
- generate a matrix of pluggable drivers and their support to an API
- python3-sphinx-gallery (0.2.0-1)
- extension that builds an HTML gallery of examples from Python scripts (Python 3)
- python3-sphinx-paramlinks (0.3.5-1)
- Sphinx extension to make param links linkable (Python 3 version)
- python3-sphinx-rtd-theme (0.4.3+dfsg-1)
- sphinx theme from readthedocs.org (Python 3)
- python3-sphinx-testing (0.8.1-1)
- testing utility for Sphinx extensions for Python3
- python3-sphinxbase (0.8+5prealpha+1-3+b1)
- Speech recognition tool - Python3 bindings
- python3-sphinxcontrib-pecanwsme (0.8.0-7)
- documenting APIs built with Pecan and WSME - Python 3.x
- python3-sphinxcontrib.actdiag (0.8.5-1)
- Sphinx "actdiag" extension for Python3
- python3-sphinxcontrib.apidoc (0.2.1-2)
- Sphinx extension for running 'sphinx-apidoc' on each build - Python 3.x
- python3-sphinxcontrib.autoprogram (0.1.5-1)
- automated documentation of CLI programs for Sphinx (Python 3)
- python3-sphinxcontrib.bibtex (0.4.1-2)
- Sphinx extension for BibTeX style citations
- python3-sphinxcontrib.blockdiag (1.5.5-1)
- Sphinx "blockdiag" extension for Python 3
- python3-sphinxcontrib.doxylink (1.5-1)
- Sphinx extension for linking to Doxygen documentation (Python 3)
- python3-sphinxcontrib.httpdomain (1.5.0-2)
- Sphinx domain for HTTP APIs - Python 3.x
- python3-sphinxcontrib.nwdiag (0.9.5-1)
- Sphinx "nwdiag" extension for Python 3
- python3-sphinxcontrib.plantuml (0.5-5)
- PlantUML extension for Sphinx - Python 3.x
- python3-sphinxcontrib.programoutput (0.11-3.1)
- insert the output of arbitrary commands into documents - Python 3.x
- python3-sphinxcontrib.restbuilder (0.2-2)
- extension to build reST (reStructuredText) files with Sphinx (Python 3)
- python3-sphinxcontrib.seqdiag (0.8.5-1)
- Sphinx "seqdiag" extension for Python3
- python3-sphinxcontrib.spelling (4.2.0-1)
- Sphinx "spelling" extension (Python 3)
- python3-sphinxcontrib.websupport (1.1.0-2)
- API to integrate Sphinx documentation into Web applications (Python 3)
- python3-sphinxtesters (0.2.1-1)
- utilities for testing Sphinx extensions - Python 3
- python3-spoon (1.0.6-3)
- Simple to use pre-forking server interface (Python 3)
- python3-spur (0.3.20-1)
- Run commands easily over SSH (Python3)
- python3-spyder (3.3.3+dfsg1-1)
- public modules for spyder (Python 3)
- python3-spyder-kernels (1.0.1+really0.2.4-1)
- Jupyter kernels for the Spyder console - Python 3
- python3-spyder-line-profiler (0.1.1-1)
- line profiling plugin for the Spyder IDE
- python3-spyder-memory-profiler (0.1.2-1)
- memory profiling plugin for the Spyder IDE
- python3-spyder-reports (0.1.1-3)
- plugin to render scientific reports within the Spyder IDE
- python3-spyder-unittest (0.3.0-1)
- plugin to run tests within the Spyder IDE
- python3-spyderlib (3.3.3+dfsg1-1)
- transitional dummy package for python3-spyder
- python3-spyderlib
- virtueel pakket geboden door python3-spyder
- python3-sql (1.0.0-1)
- Library to write SQL queries (implemented in Python 3)
- python3-sqlalchemy (1.2.18+ds1-2)
- SQL toolkit and Object Relational Mapper for Python 3
- python3-sqlalchemy-ext (1.2.18+ds1-2)
- SQL toolkit and Object Relational Mapper for Python3 - C extension
- python3-sqlalchemy-i18n (1.0.3-1)
- Internationalization extension for SQLAlchemy models
- python3-sqlalchemy-utils (0.32.21-1)
- various utility functions for SQLAlchemy - Python 3.x
- python3-sqlobject (3.7.0+dfsg-2)
- Python 3 object relational manager providing an object interface to databases
- python3-sqlparse (0.2.4-1+deb10u1) [security]
- non-validating SQL parser for Python 3
- python3-sqlsoup (0.9.1-3)
- one step database access tool for Python3, built on the SQLAlchemy ORM
- python3-sqt (0.8.0-3)
- SeQuencing Tools for biological DNA/RNA high-throughput data
- python3-srp (1.0.14-1)
- Secure Remote Password protocol implementation (Python 3)
- python3-srs (1.0.3-1)
- Python3 SRS (Sender Rewriting Scheme) library
- python3-ssdeep (3.1+dfsg-2+b2)
- Python 3.x wrapper for the ssdeep piecewise hashing tool
- python3-sshpubkeys (3.1.0-1)
- SSH public key parser - Python 3
- python3-sshtunnel (0.1.4-2)
- SSH tunnels to remote server
- python3-sss (1.16.3-3.2+deb10u2) [security]
- Python3 module for the System Security Services Daemon
- python3-stardicter (1.2-1)
- Conversion tools from various formats to StarDict
- python3-static3 (0.7.0-6)
- Really simple WSGI way to serve static content (Python 3)
- python3-staticconf (0.10.3-2)
- Python library for loading and reading configuration (Python 3)
- python3-statsd (3.2.1-2)
- Python client for the statsd daemon (Python 3)
- python3-statsmodels (0.8.0-9)
- Python3 module for the estimation of statistical models
- python3-statsmodels-lib (0.8.0-9)
- Python3 low-level implementations and bindings for statsmodels
- python3-std-msgs (0.5.11-5)
- Python 3 interface for Standard Robot OS Messages
- python3-std-srvs (1.11.2-9)
- Robot OS Common service definitions, Python 3 bindings
- python3-stdeb (0.8.5-1)
- Python to Debian source package conversion plugins for distutils
- python3-stdnum (1.10-1)
- Python module to handle standardized numbers and codes (Python3 version)
- python3-stem (1.7.1-1)
- Tor control library for Python 3 series
- python3-stemmer (1.3.0+dfsg-1+b9)
- Python 3 bindings for libstemmer - snowball stemming algorithms
- python3-stemmer-dbg (1.3.0+dfsg-1+b9)
- Python 3 bindings for libstemmer (debug extension)
- python3-stereo-msgs (1.12.7-1)
- Messages relating to Robot OS stereo, Python 3 interface
- python3-stestr (2.1.0-2)
- test runner similar to testrepository - Python 3.x
- python3-stevedore (1.29.0-2)
- manage dynamic plugins for Python applications - python3
- python3-stl (2.9.0-1)
- stl file and 3d object handling for the Python language
- python3-stomp (4.1.19-1)
- STOMP client library for Python 3
- python3-stomper (0.4.1-1)
- Python client implementation of the STOMP protocol (Python 3)
- python3-straight.plugin (1.4.1-2)
- Simple namespaced plugin facility (Python 3)
- python3-streamlink (1.0.0+dfsg-1)
- Python module for extracting video streams from various websites
- python3-streamlink-doc (1.0.0+dfsg-1)
- CLI for extracting video streams from various websites (documentation)
- python3-streamparser (5.0.2-1)
- Python library to parse Apertium stream format
- python3-stringtemplate3 (3.1-4)
- template engine with strict model-view separation - Python 3
- python3-structlog (18.1.0-1)
- structured logging for Python (3.x)
- python3-stsci.distutils (0.3.7-4)
- Python3 packaging utilities for STScI's packages
- python3-stsci.tools (3.4.13-2)
- Miscellaneous Python tools from STScI
- python3-subnettree (0.28-1)
- Python 3 Module for CIDR Lookups
- python3-subunit (1.3.0-1+deb10u1) [security]
- unit testing protocol - Python3 bindings to generate and consume streams
- python3-subunit2sql (1.10.0-1)
- subunit file/stream to DB - Python 3.x
- python3-subvertpy (0.10.1-2)
- Alternative Python bindings for Subversion - Python 3
- python3-suds (0.7~git20150727.94664dd-5)
- Lightweight SOAP client for Python - Python 3.x
- python3-sunlight (1.1.5-2)
- set of bindings to access U.S. government data (Python 3)
- python3-sunpy (0.9.6-2)
- Software library for solar physics based on Python
- python3-sure (1.2.5-5)
- utility belt for automated testing - Python 3.x
- python3-sushy (1.3.1-3)
- small library to communicate with Redfish based systems - Python 3.x
- python3-svg.path (3.0-1)
- SVG path objects and parser for Python3
- python3-svgwrite (1.2.1-3)
- library to create SVG drawings (Python 3)
- python3-svipc (0.16-3)
- interprocess communication (shared memory...) for Python 3
- python3-svn (1.9.9-1)
- A(nother) Python 3 interface to Subversion
- python3-swiftclient (1:3.6.0-2)
- Client library for Openstack Swift API - Python 3.x
- python3-swiftsc (0.5-1)
- simple client library of OpenStack Swift for python3
- python3-swiglpk (4.65.0-1)
- Plain Python bindings for the GNU Linear Programming Kit (Python 3)
- python3-sympy (1.3-2)
- Computer Algebra System (CAS) in Python (Python3)
- python3-systemd (234-2+b1)
- Python 3 bindings for systemd
- python3-systemfixtures (0.6.4-1)
- Test fixtures providing fake versions of various system resources
- python3-sysv-ipc (0.6.8-2+b4)
- semaphores, shared memory and message queues - Python 3.x
- python3-tables (3.4.4-2)
- hierarchical database for Python3 based on HDF5
- python3-tables-dbg (3.4.4-2)
- hierarchical database for Python 3 based on HDF5 (debug extension)
- python3-tables-lib (3.4.4-2)
- hierarchical database for Python3 based on HDF5 (extension)
- python3-tablib (0.12.1-2)
- format agnostic tabular dataset library - Python 3.x
- python3-tabulate (0.8.2-1)
- pretty-print tabular data in Python3
- python3-tackerclient (0.14.0-2)
- CLI and Client Library for OpenStack Tacker - Python 3.x
- python3-taglib (0.3.6+dfsg-2+b7)
- Python3 bindings for the TagLib audio metadata library
- python3-tagpy (2013.1-6.1)
- Python 3 module for manipulating tags in music files
- python3-tango (9.2.5-1)
- API for the TANGO control system (Python 3)
- python3-tap (2.5-2)
- TAP producer/consumer tools for Python unittest -- Python 3 version
- python3-taskflow (3.2.0-3)
- Taskflow structured state management library - Python 3.x
- python3-taskw (1.2.0-2)
- Python bindings for your taskwarrior database (Python 3 version)
- python3-tblib (1.3.2-3)
- Python 3 traceback fiddling library
- python3-tdb (1.3.16-2+b1)
- Python3 bindings for TDB
- python3-tds (1.9.1-1)
- Python DBAPI driver for MSSQL using pure Python TDS (Python 3)
- python3-tempest (1:19.0.0-2)
- OpenStack Integration Test Suite - Python 3.x
- python3-tempest-horizon (0.0.1+git.2018.01.24.a23f4074fd-2)
- OpenStack Integration Test Suite - Horizon plugin
- python3-tempita (0.5.2-2)
- very small text templating language
- python3-tenacity (4.12.0-2)
- retry code until it succeeeds - Python 3.x
- python3-termbox (1.1.2+dfsg-3)
- Library for writing text-based user interfaces (Python)
- python3-termcolor (1.1.0-2)
- ANSII Color formatting for output in terminal - Python 3.x
- python3-terminado (0.8.1-4)
- Terminals served to term.js using Tornado websockets (Python 3)
- python3-terminaltables (3.1.0-2)
- Python library for printing tables to the console
- python3-termstyle (0.1.10-2)
- console colouring for python - Python 3.x
- python3-tesserocr (2.4.0-4)
- Python wrapper for the tesseract-ocr API (Python3 version)
- python3-test-server (0.0.27-1)
- HTTP Server to test HTTP clients (Python 3)
- python3-testfixtures (4.14.3-2)
- Collection of helpers and mock objects (Python3 version)
- python3-testing.common.database (2.0.0-1)
- Python testing.* framework - common database utilities (Python 3)
- python3-testing.mysqld (1.4.0-3)
- Python testing.* framework - MySQL helpers (Python 3)
- python3-testing.postgresql (1.3.0-1)
- Python testing.* framework - PostgreSQL helpers (Python 3)
- python3-testpath (0.4.2+dfsg-1)
- Utilities for Python 3 code working with files and commands
- python3-testrepository (0.0.20-3)
- Database of test results - Python 3.x library
- python3-testresources (2.0.0-2)
- PyUnit extension for managing expensive test fixtures - Python 3.x
- python3-testscenarios (0.5.0-2)
- Dependency injection for Python unittest tests - Python 3.x
- python3-testtools (2.3.0-5)
- Extensions to the Python unittest library - Python 3.x
- python3-texext (0.6.1-1)
- sphinx extensions for working with LaTeX math - Python
- python3-textile (1:3.0.3-1)
- Python 3 parser for the Textile markup
- python3-texttable (1.6.0-1)
- Module for creating simple ASCII tables — python3
- python3-tf (1.12.0-5+b1)
- Robot OS tf transform library - Python 3
- python3-tf-conversions (1.12.0-5+b1)
- Robot OS conversion library between Eigen, KDL and tf - Python 3 interface
- python3-tf2 (0.6.5-3)
- Robot OS tf2 transform library - Python 3
- python3-tf2-geometry-msgs (0.6.5-3)
- Robot OS tf2 transform library for Bullet - Python 3
- python3-tf2-kdl (0.6.5-3)
- Robot OS tf2 transform library using Orocos-KDL - Python 3
- python3-tf2-msgs (0.6.5-3)
- Robot OS messages for tf2 transform library - Python 3
- python3-tf2-ros (0.6.5-3)
- Robot OS binding for tf2 transform library - Python 3
- python3-tf2-sensor-msgs (0.6.5-3)
- Robot OS sensor messages for tf2 transform library - Python 3
- python3-theano (1.0.3+dfsg-1)
- CPU/GPU math expression compiler for Python 3
- python3-thinc (6.12.1-1)
- Practical Machine Learning for NLP in Python
- python3-thriftpy (0.3.9+ds1-1+b1)
- Pure Python implementation of Apache Thrift
- python3-tidylib (0.3.2~dfsg-4)
- Python 3 wrapper for HTML Tidy (tidylib)
- python3-tinycss (0.4-2)
- complete yet simple CSS parser (Python3 version)
- python3-tinycss2 (0.6.1-1)
- Low-level CSS parser (Python3 version)
- python3-tinyrpc (0.6-1)
- small, modular RPC library — Python 3
- python3-tk (3.7.3-1)
- Tkinter - Writing Tk applications with Python 3.x
- python3-tk-dbg (3.7.3-1)
- Tkinter - Writing Tk applications with Python 3.x (debug extension)
- python3-tksnack (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - Python 3.x library
- python3-tktreectrl (2.0.2-1)
- Tkinter-based wrapper for Tk TreeCtrl for Python 3
- python3-tld (0.9.1-1)
- Extract the top level domain (TLD) from a given URL (Python 3)
- (2.2.0-2)
- Python library for separating TLDs
- python3-tlsh (3.4.4+20151206-1.1)
- fuzzy hashing library - Python3 module
- python3-tlslite-ng (0.7.5-2)
- pure Python3 implementation of SSL/TLS protocols
- python3-tmdbsimple (2.2.0-1)
- Wrapper for The Movie Database API (Python3 version)
- python3-tmuxp (1.5.0a-1)
- tmux session manager (Python 3)
- python3-tnetstring (0.3.1-2+b1)
- python3 library for data serialization using typed netstrings
- python3-tomahawk (0.7.1-2)
- simple ssh wrapper for executing commands into many hosts (py3)
- python3-toml (0.10.0-1)
- library for Tom's Obvious, Minimal Language - Python 3.x
- python3-toolz (0.9.0-1)
- List processing tools and functional utilities
- python3-tooz (1.62.0-3)
- coordination library for distributed systems - Python 3.x
- python3-topic-tools (1.14.3+ds1-5+deb10u3)
- Python 3 library for working with Robot OS topics
- python3-toposort (1.5-2)
- topological sort algorithm - Python 3 module
- python3-tornado (5.1.1-4)
- scalable, non-blocking web server and tools - Python 3 package
- python3-tornado4 (4.5.3-3)
- scalable, non-blocking web server and tools - Python 3 package
- python3-toro (1.0.1-3)
- Synchronization primitives for Tornado coroutines (python3)
- python3-tosca-parser (1.1.0-3)
- parser for TOSCA Simple Profile in YAML - Python 3.x
- python3-tower-cli (3.3.0-1)
- Python 3 client library for the Ansible Tower and AWX Project's REST API
- python3-tqdm (4.28.1-1)
- fast, extensible progress bar for Python 3 and CLI tool
- python3-traceback2 (1.4.0-5)
- backports of the traceback module - Python 3.x
- python3-traitlets (4.3.2-1)
- Lightweight Traits-like package for Python 3
- python3-traits (4.6.0-1+b2)
- Manifest typing and reactive programming for Python (Python 3)
- python3-trajectory-msgs (1.12.7-1)
- Messages relating to Robot OS trajectory, Python 3 interface
- python3-transaction (1.4.3-3)
- Transaction management for Python
- python3-translate (2.3.1-3)
- Toolkit assisting in the localization of software (Python 3)
- python3-translationstring (1.3-2)
- Utility library for i18n relied on by various Repoze packages - Python 3.x
- python3-transliterate (1.10.2-2)
- Bi-directional transliterator for Python
- python3-transmissionrpc (0.11-3)
- Transmission RPC client module for Python 3
- python3-treetime (0.5.3-1)
- inference of time stamped phylogenies and ancestral reconstruction (Python 3)
- python3-treq (18.6.0-0.1)
- Higher level API for making HTTP requests with Twisted (Python 3)
- python3-trezor (0.9.0-1)
- library for communicating with TREZOR Bitcoin HW wallet (Python 3)
- python3-trie (0.2+ds-1)
- Pure Python implementation of the trie data structure (Python 3)
- python3-trollimage (1.7.0-1)
- Pytroll imaging library
- python3-trollsched (0.5.1-2)
- Scheduling satellite passes in Python
- python3-trollsift (0.3.2-1)
- String parser/formatter for PyTroll packages
- python3-trove-dashboard (11.0.0-2)
- Database as a Service for OpenStack - dashboard plugin
- python3-troveclient (1:2.16.0-2)
- Client for OpenStack Database as a Service - Python 3.x
- python3-trustme (0.4.0-3)
- fake certificate authority for test use
- python3-tsk (20190121-2)
- Python Bindings for The Sleuth Kit
- python3-ttystatus (0.38-2)
- terminal progress bar and status output for Python
- python3-tunigo (1.0.0-3)
- Python API for the browse feature of Spotify (Python 3)
- python3-tvdb-api (2.0-1)
- API interface to TheTVDB.com (Python 3)
- python3-tweepy (3.6.0-1.1)
- Twitter library for Python 3
- python3-twilio (6.8.2-2)
- Twilio API client and TwiML generator (Python 3)
- python3-twisted (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications
- python3-twisted-bin (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications
- python3-twisted-bin-dbg (18.9.0-3+deb10u2) [security]
- Event-based framework for internet applications (debug extension)
- (3.3-1)
- Twitter API wrapper for Python 3
- python3-twodict (1.2-1)
- Simple two way ordered dictionary for Python 3
- python3-twython (3.7.0-1)
- Pure Python3 wrapper for the Twitter API
- python3-txaio (2.10.0-1)
- compatibility API between asyncio/Twisted/Trollius - Python 3.x
- python3-txtorcon (18.3.0-1)
- Twisted-based asynchronous Tor control protocol implementation (Python 3)
- python3-txws (0.9.1-3)
- Python module to add Websocket support to the Twisted framework (Python 3)
- python3-txzmq (0.8.0-1)
- ZeroMQ binding for the Twisted framework (Python3 version)
- python3-typed-ast (1.3.1-1)
- AST with PEP 484 type comments support
- python3-typedload (1.13-1)
- Load and dump json-like data into typed data structures in Python3
- python3-typeguard (2.2.2-1)
- Run-time type checker for Python
- python3-typing-extensions (3.7.2-1)
- Backported and Experimental Type Hints for Python
- python3-typogrify (1:2.0.7-1)
- filters to transform text into typographically-improved HTML (Python 3)
- python3-tz (2019.1-1)
- Python3 version of the Olson timezone database
- python3-tzlocal (1.5.1-1)
- tzinfo object for the local timezone (Python 3 version)
- python3-u-msgpack (2.1-1)
- Python3 MessagePack serializer and deserializer
- python3-u2flib-server (5.0.0-1)
- Universal 2nd Factor (U2F) server communication Python3 module
- python3-ua-parser (0.8.0-1)
- Python module for parsing HTTP User-Agent strings
- python3-ubjson (0.12.0-1)
- Universal Binary JSON encoder/decoder for Python 3
- python3-ubuntutools (0.166)
- useful APIs for Ubuntu developer tools — Python 3 library
- python3-udatetime (0.0.16-1+deb10u1)
- fast RFC3339 compliant date-time library (Python 3)
- python3-ufl (2018.1.0-5)
- unified language for form-compilers (Python 3)
- python3-uflash (1.2.4+dfsg-1+deb10u1)
- module and utility for flashing the BBC micro:bit
- python3-uflash-doc (1.2.4+dfsg-1+deb10u1)
- module and utility for flashing the BBC micro:bit (documentation)
- python3-ufo2ft (2.6.0-1)
- Bridge from UFOs to fonttools objects
- python3-ufolib2 (0.3.0+dfsg1-1)
- Unified Font Object (UFO) fonts library
- python3-ufonormalizer (0.3.6-1)
- Python library to normalize the XML and other data inside of a UFO
- python3-uhd (3.13.1.0-3)
- universal hardware driver for Ettus Research products - Python3
- python3-uinput (0.11.2-1)
- Pythonic API to Linux uinput kernel module (Python3)
- python3-ujson (1.35-3)
- ultra fast JSON encoder and decoder for Python 3
- python3-ujson-dbg (1.35-3)
- ultra fast JSON encoder and decoder for Python 3 (debug ext)
- (1.1.3-2+b1)
- implementation of the freedesktop menu specification for UKUI (Python bindings)
- python3-ulmo (0.8.4+dfsg1-2)
- Simple & fast Python 3 access to public hydrology & climatology data
- python3-unbound (1.9.0-2+deb10u4) [security]
- library implementing DNS resolution and validation (Python3 bindings)
- python3-uncertainties (3.0.2.github-2)
- Python3 module for calculations with uncertainties
- python3-unicodecsv (0.14.1-1)
- drop-in replacement for Pythons CSV module with Unicode support
- python3-unidecode (1.0.23-1)
- ASCII transliterations of Unicode text (Python 3 module)
- python3-unidiff (0.5.4-1)
- Unified diff Python parsing/metadata extraction library (Python 3)
- python3-unittest2 (1.1.0-6.1)
- backport of the enhanced unittest testing framework - Python 3.x
- python3-uno (1:6.1.5-3+deb10u11) [security]
- Python-UNO bridge
- python3-unpaddedbase64 (1.1.0-4)
- unpadded Base64 implementation in Python 3
- python3-unrardll (0.1.3-2) [contrib]
- Python wrapper for the unrar shared library
- python3-uranium (3.3.0-1)
- application framework for Cura (Python library)
- python3-uritemplate (0.6-3)
- implementation of RFC6570 - Python 3.x
- python3-uritools (2.2.0-1)
- RFC 3986 compliant replacement for urlparse (Python 3)
- python3-urllib3 (1.24.1-1+deb10u2) [security]
- HTTP library with thread-safe connection pooling for Python3
- python3-urlobject (2.4.0-1)
- utility class for manipulating URLs.
- python3-urwid (2.0.1-2+b1)
- curses-based UI/widget library for Python 3
- python3-urwid-utils (0.1.2.dev2-1)
- Collection of simple, straightforward, but extensible utilities for urwid
- python3-urwidtrees (1.0.1.1-1)
- Urwid Tree Container API
- python3-usagestats (0.7-4)
- Python3 module to collect usagestats from users
- python3-usb (1.0.2-1)
- USB interface for Python (Python3)
- python3-user-agents (1.1.0-1)
- Pure Python implementation for detecting which user agent is used
- python3-utidylib (0.5-2)
- Python wrapper for TidyLib
- python3-uvicorn (0.3.24-1)
- ASGI server implementation, using uvloop and httptools (Python3 version)
- python3-uvloop (0.11.2+ds1-1)
- fast implementation of asyncio event loop on top of libuv
- python3-uvloop-dbg (0.11.2+ds1-1)
- fast implementation of asyncio event loop on top of libuv - dbg
- python3-uwsgidecorators (2.0.18-1)
- module of decorators for elegant access to uWSGI API (Python 3)
- python3-vagrant (0.5.15-2)
- Python 3 bindings for interacting with Vagrant virtual machines
- python3-validictory (0.8.3-3)
- general purpose Python data validator (Python 3)
- python3-vatnumber (1:1.2-8)
- Python module to validate VAT numbers (implemented in Python 3)
- python3-vcr (2.0.1-3)
- record and replay HTML interactions (Python3 library)
- python3-vcstools (0.1.40-2)
- VCS/SCM Python source control library for svn, git, hg, and bzr (Python 3)
- python3-vcversioner (2.16.0.0-1)
- Use version control tags to discover version numbers (Python3 version)
- python3-venusian (1.2.0-1)
- library for deferring decorator actions
- python3-venv (3.7.3-1)
- pyvenv-3 binary for python3 (default python3 version)
- python3-versiontools (1.9.1-3)
- Smart replacement for plain tuple used in __version__ (Python 3)
- python3-vertica (0.8.2-1) [contrib]
- native Python client for the Vertica database (Python 3)
- python3-vine (1.1.4+dfsg-2)
- Python promises (Python 3 version)
- python3-virtualenv (15.1.0+ds-2+deb10u1)
- Python virtual environment creator
- python3-virtualenv-clone (0.3.0-1.2)
- script for cloning a non-relocatable virtualenv (Python3)
- python3-vispy (0.4.0-1)
- interactive visualization in Python 3
- python3-visualization-msgs (1.12.7-1)
- Messages relating to Robot OS visualization, Python 3 interface
- python3-vitrageclient (2.3.0-2)
- OpenStack root cause analysis as a Service client.
- python3-vlc (3.0.4106-1)
- VLC Python bindings
- python3-vnlog (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- python3-vobject (0.9.6.1-0.1)
- parse iCalendar and vCards in Python3
- python3-volatildap (1.2.2-1)
- Temporary slapd launcher for testing purposes
- python3-volume-key (0.3.12-2+b1)
- Python 3 bindings for volume-key
- python3-voluptuous (0.11.1-1)
- Python 3 library to validate data
- python3-voluptuous-serialize (2.1.0-1)
- Code for converting voluptuous schemas to Python dictionaries
- python3-vtk-dicom (0.8.9-1)
- DICOM for VTK - Python
- python3-vtk7 (7.1.1+dfsg1-12+b1)
- Python bindings for VTK
- python3-vtkgdcm (2.8.8-9)
- Grassroots DICOM VTK/Python bindings
- python3-w3lib (1.20.0-1)
- Collection of web-related functions (Python 3)
- python3-wadllib (1.3.3-2)
- Python 3 library for navigating WADL files
- python3-waiting (1.4.1-3)
- Python module for waiting for events to happen (Python 3 version)
- python3-waitress (1.2.0~b2-2+deb10u1)
- production-quality pure-Python WSGI server (Python 3)
- python3-wand (0.4.4-3)
- Python interface for ImageMagick library (Python 3 build)
- python3-warlock (1.2.0-2)
- object model built on top of JSON schema - Python 3.x
- python3-watchdog (0.9.0-1)
- Python API and shell utilities to monitor file system events - Python 3.x
- python3-watcher (1.12.0-3)
- OpenStack Cloud Optimization as a Service - Python 2.7 libraries
- python3-watcherclient (2.1.0-3)
- OpenStack Cloud Optimization as a Service client - Python 3.x
- python3-watson (1.6.0-6)
- Library for Watson (Python 3)
- python3-watson-developer-cloud (2.5.4-1)
- Python client library to use the IBM Watson Services (Python 3)
- python3-wchartype (0.1-1)
- handling double-byte (full-width) characters (Python 3)
- python3-wcwidth (0.1.7+dfsg1-3)
- determine printable width of a string on a terminal (Python 3)
- python3-webassets (3:0.12.1-1)
- Asset management application for Python web development (Python 3)
- python3-webcolors (1.5-2)
- python3 library of color names and value formats
- python3-webdav (0.9.11~git20180601.5d7d16a-5)
- WebDAV server implementation in Python (Python3)
- python3-webencodings (0.5.1-1)
- Python implementation of the WHATWG Encoding standard
- python3-webob (1:1.8.5-1)
- Python module providing WSGI request and response objects (Python 3)
- python3-webpy (1:0.39+20181101-1)
- Web framework for Python applications
- python3-websocket (0.53.0-1)
- WebSocket client library - Python 3.x
- python3-websockets (7.0-1)
- implementation of the WebSocket Protocol (RFC 6455)
- python3-websockify (0.8.0+dfsg1-10)
- WebSockets support for any application/server - Python 3
- python3-webtest (2.0.32-1)
- wraps any WSGI application and makes it easy to test
- python3-webview (2.3+dfsg-1)
- Build GUI for your Python program with JavaScript, HTML, and CSS
- python3-werkzeug (0.14.1+dfsg1-4+deb10u2) [security]
- collection of utilities for WSGI applications (Python 3.x)
- python3-wget (3.2-2)
- pure Python download utility for Python 3
- python3-whatthepatch (0.0.5-2)
- Library for parsing patch files(Python 3)
- python3-wheel (0.32.3-2)
- built-package format for Python
- python3-wheezy.template (0.1.167-1.1+b3)
- a lightweight template library (Python 3 package)
- python3-whichcraft (0.4.1-1)
- cross-platform cross-python shutil.which functionality (Python 3 module)
- python3-whisper (1.1.4-2)
- database engine for fast, reliable fixed-sized databases
- python3-whitenoise (3.3.1-1)
- static file serving for WSGI applications (Python 3)
- python3-whois (0.7-6)
- Python module for retrieving WHOIS information - Python 3
- python3-whoosh (2.7.4+git6-g9134ad92-4)
- pure-Python full-text indexing, search, and spell checking library (Python 3)
- python3-widgetsnbextension (6.0.0-4)
- Interactive widgets - Jupyter notebook extension (Python 3)
- python3-wikitrans (1.3-1)
- MediaWiki markup translator (python3 library)
- python3-winrm (0.3.0-2)
- Python 3 library for Windows Remote Management
- python3-wither (1.1-2)
- XML/HTML Generation DSL (Python 3)
- python3-wlc
- virtueel pakket geboden door wlc
- python3-wokkel (18.0.0-1)
- Python 3 collection of enhancements for Twisted
- python3-workqueue (7.0.9-2)
- cooperative computing tools work queue Python3 bindings
- python3-wormhole
- virtueel pakket geboden door magic-wormhole
- python3-wrapt (1.10.11-1)
- decorators, wrappers and monkey patching. - Python 3.x
- python3-wreport (3.15-1)
- Python library to work with BUFR and CREX weather bulletins
- python3-wsaccel (0.6.2-1+b1)
- Accelerator for ws4py and AutobahnPython - Python 3.x
- python3-wsgi-intercept (1.5.0-2)
- installs a WSGI application in place of a real URI for testing (python3)
- python3-wsgicors (0.4.1-1)
- WSGI middleware to handle CORS preflight requests for Python 3
- python3-wsgilog (0.3.1-2)
- WSGI logging and event reporting middleware (Python 3)
- python3-wsgiproxy (0.4.5-1)
- minimalist WSGI server implementation using async
- python3-wsme (0.9.3-2)
- Web Services Made Easy: implement multi-protocol webservices - Python 3.x
- python3-wsproto (0.11.0-2)
- WebSockets state-machine based protocol implementation (Python3)
- python3-wstool (0.1.17-1)
- Commands to manage multi-VCS repositories (for Robot OS) Python 3
- python3-wtf-peewee (3.0.0+dfsg-1)
- WTForms integration for peewee models (Python 3)
- python3-wtforms (2.2.1-1)
- flexible forms validation and rendering library for Python 3
- python3-wxgtk-media4.0 (4.0.4+dfsg-2)
- Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
- python3-wxgtk-webview4.0 (4.0.4+dfsg-2)
- Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
- python3-wxgtk4.0 (4.0.4+dfsg-2)
- Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit
- python3-x2go (0.6.0.2-1)
- Python module providing X2Go client API (Python 3)
- python3-x2gobroker (0.0.4.1-1)
- X2Go Session Broker (Python3 modules)
- python3-x3dh (0.5.8-1)
- Python 3 implementation of the X3DH key agreement protocol
- python3-xapian (1.4.11-2)
- Xapian search engine interface for Python3
- python3-xapian-haystack (2.1.0-3)
- Xapian backend for Django-Haystack (Python3 version)
- python3-xapp (1.2.0-2)
- Python 3 XApp library
- python3-xarray (0.11.3-2)
- N-D labeled arrays and datasets in Python 3
- python3-xattr (0.9.6-1)
- module for manipulating filesystem extended attributes - Python 3
- python3-xattr
- virtueel pakket geboden door python3-xattr
- python3-xdg (0.25-5)
- Python 3 library to access freedesktop.org standards
- python3-xdmf (3.0+git20160803-5+b1)
- Python3 wrappers for the eXtensible Data Model and Format library
- python3-xdo (0.4-1)
- Python 3 library for simulating X11 keyboard/mouse input (libxdo bindings)
- python3-xeddsa (0.4.6-1)
- Python 3 implementation of the XEdDSA signature scheme
- python3-xhtml2pdf (0.2.2-2)
- A library for converting HTML into PDFs using ReportLab (Python 3 module)
- python3-xkcd (2.4.2-1)
- Python3 library for accessing xkcd.com
- python3-xlib (0.23-2)
- interface for Python 3 to the X11 protocol
- python3-xlrd (1.1.0-1)
- extract data from Microsoft Excel spreadsheet files (Python3 version)
- python3-xlsxwriter (1.1.2-0.1)
- Python 3 module for creating Excel XLSX files
- python3-xlwt (1.3.0-2)
- module for writing Microsoft Excel spreadsheet files - Python 3.x
- python3-xmlmarshaller (1.0.1-2)
- Converting Python objects to XML and back again (Python 3)
- python3-xmltodict (0.11.0-2)
- Makes working with XML feel like you are working with JSON (Python 3)
- python3-xopen (0.5.0-2)
- Python3 module to open compressed files transparently
- python3-xstatic (1.0.0-6)
- XStatic base package with minimal support code - Python 3.x
- python3-xstatic-angular (1.5.8.0-3)
- Angular JS XStatic support - Python 3.x
- python3-xstatic-angular-bootstrap (2.2.0.0-3)
- Angular-Bootstrap XStatic support - Python 3.x
- python3-xstatic-angular-cookies (1.2.24.1-4)
- Angular JS Cookies XStatic support - Python 3.x
- python3-xstatic-angular-fileupload (12.0.4.0+dfsg1-2)
- Angular-FileUpload (XStatic packaging standard) - Python 3.x
- python3-xstatic-angular-gettext (2.3.8.0-3)
- Angular-Gettext (XStatic packaging standard) - Python 3.x
- python3-xstatic-angular-lrdragndrop (1.0.2.2-2)
- Angular-Lrdragndrop 1.0.2 (XStatic packaging standard) - Python 3.x
- python3-xstatic-angular-mock (1.2.23.1-6)
- Angular JS Mock XStatic support - Python 3.x
- python3-xstatic-angular-schema-form (0.8.13.0-3)
- Angular-Schema-Form (XStatic packaging standard) - Python 3.x
- python3-xstatic-angular-uuid (0.0.4.0-1)
- Angular UUID XStatic support
- python3-xstatic-angular-vis (4.16.0.0-1)
- Angular Vis XStatic support
- python3-xstatic-bootstrap-datepicker (0.0.0.1-6)
- Bootstrap-Datepicker XStatic support - Python 3.x
- python3-xstatic-bootstrap-scss (3.3.7.1-4)
- Bootstrap-SCSS 3.2.0 (XStatic packaging standard) - Python 3.x
- python3-xstatic-bootswatch (3.3.7.0-3)
- Bootswatch XStatic support - Python 3.x
- python3-xstatic-d3 (3.5.17.0-3)
- D3 JS XStatic support - Python 3.x
- python3-xstatic-filesaver (1.3.2.0-1)
- implements the saveAs() FileSaver interface - XStatic support
- python3-xstatic-font-awesome (4.7.0.0-4)
- Font Awesome XStatic support - Python 3.x
- python3-xstatic-hogan (2.0.0.2-2)
- Hogan.js XStatic support - Python 3.x
- python3-xstatic-jasmine (2.4.1.1-3)
- Jasmine JS XStatic support - Python 3.x
- python3-xstatic-jquery (1.10.2.1-4)
- jquery XStatic support - Python 3.x
- python3-xstatic-jquery-migrate (1.2.1.1+dfsg1-2)
- JQuery Migrate XStatic support - Python 3.x
- python3-xstatic-jquery-ui (1.12.0.1+debian+dfsg3-3)
- JQuery UI XStatic support - Python 3.x
- python3-xstatic-jquery.bootstrap.wizard (1.0.0.1-2)
- JQuery.Bootstrap.Wizard XStatic support - Python 3.x
- python3-xstatic-jquery.quicksearch (2.0.4.1-2)
- jQuery.quicksearch XStatic support - Python 3.x
- python3-xstatic-jquery.tablesorter (2.14.5.1-4)
- JQuery Tablesorter XStatic support - Python 3.x
- python3-xstatic-js-yaml (3.8.1.0-1)
- JavaScript yaml implementation - XStatic support
- python3-xstatic-jsencrypt (2.3.1.1-3)
- JSEncrypt XStatic support - Python 3.x
- python3-xstatic-json2yaml (0.1.1.0-1)
- converts json or simple javascript objects into a yaml - XStatic support
- python3-xstatic-magic-search (0.2.5.1-2)
- Magic-Search XStatic support - Python 3.x
- python3-xstatic-mdi (1.4.57.0-2)
- Material Design Icons Webfont XStatic support - Python 3.x
- python3-xstatic-objectpath (1.2.1.0-3)
- objectpath XStatic packaging standard - Python 3.x
- python3-xstatic-qunit (1.14.0.2-3)
- QUnit XStatic support - Python 3.x
- python3-xstatic-rickshaw (1.5.0.2-3)
- Rickshaw JS XStatic support - Python 3.x
- python3-xstatic-roboto-fontface (0.5.0.0-3)
- Roboto Fontface XStatic support - Python 3.x
- python3-xstatic-smart-table (1.4.13.2-3)
- AngularJS smart-table (XStatic packaging standard) - Python 3.x
- python3-xstatic-spin (1.2.8.0+dfsg1-2)
- Spin.js XStatic support - Python 3.x
- python3-xstatic-term.js (0.0.7.0-3)
- term.js XStatic support - Python 3.x
- python3-xstatic-tv4 (1.2.7.0-3)
- tv4 (XStatic packaging standard) - Python 3.x
- python3-xtermcolor (1.2.1-2)
- Python3 module to print coloured text on terminals
- python3-xvfbwrapper (0.2.9-1)
- headless display inside Xvfb - Python 3.x
- python3-yaml (3.13-2)
- YAML parser and emitter for Python3
- python3-yaml-dbg (3.13-2)
- YAML parser and emitter for Python3 (debug build)
- python3-yamlordereddictloader (0.4.0-1)
- loader and dump for PyYAML keeping keys order
- python3-yang (0.16.105-1+deb10u1)
- parser toolkit for IETF YANG data modeling - python3 support
- python3-yang-dbg (0.16.105-1+deb10u1)
- parser toolkit for IETF YANG data modeling - python3 debug
- python3-yapf (0.25.0-2.1)
- public modules for yapf (Python 3)
- python3-yapps (2.2.1-3)
- Yet Another Python Parser System
- python3-yapsy (1.12.0-1)
- simple plugin system for Python3 applications
- python3-yaql (1.1.3-2)
- Yet Another Query Language - Python 3.x
- python3-yara (3.9.0-1)
- Python 3 bindings for YARA
- python3-yarl (1.3.0-1)
- yet another URL library for Python
- python3-yaswfp (0.9.3-1)
- Yet Another SWF Parser (Python 3)
- python3-yattag (1.11.1-1)
- library for generating HTML or XML in a pythonic way (Python 3)
- python3-yenc (0.4.0-4)
- yEnc encoding/decoding extension (Python 3)
- python3-yowsup (2.5.7-4)
- Python 3 library to implement a WhatsApp client
- python3-yt (3.5.0-1)
- Framework for analyzing and visualizing simulation data (Python 3)
- python3-yubikey-manager (2.1.0-1+deb10u1)
- Python 3 library for configuring a YubiKey
- python3-zake (0.2.2-2)
- provides a set of testing utilities for the kazoo library - Python 3.x
- python3-zaqar (7.0.0-1)
- OpenStack Queueing as a Service - Python libraries
- python3-zaqar-ui (5.0.0-2)
- OpenStack Queueing as a Service - Dashboard plugin
- python3-zaqarclient (1.10.0-2)
- OpenStack Zaqar Queueing API, client and library - Python 3.x
- python3-zc.customdoctests (1.0.1-1)
- Use doctest with other languages (Python 3)
- python3-zc.lockfile (1.3.0-1)
- Basic inter-process locks for Python 3
- python3-zconfig (3.1.0-1)
- Structured Configuration Library, for Python 3
- python3-zeep (3.2.0-1)
- Modern SOAP client library (Python 3)
- python3-zenoss (0.6.3-1)
- module to work with the Zenoss JSON API (Python 3)
- python3-zeroc-ice (3.7.2-4)
- Python 3 extension for Ice
- python3-zeroconf (0.21.3-1)
- Pure Python implementation of multicast DNS service discovery (Python3)
- python3-zfec (1.5.2-2+b1)
- fast erasure codec, with Python 3 bindings
- python3-zict (0.1.3-1)
- Mutable mapping tools for Python 3
- python3-zipstream (1.1.3-1)
- Zipfile generator
- python3-zmq (17.1.2-2+deb10u1)
- Python3 bindings for 0MQ library
- python3-zmq-dbg (17.1.2-2+deb10u1)
- Python3 bindings for 0MQ library - debugging files
- python3-zodbpickle (1.0-1+b1)
- Fork of pickle module for uniform serialization between Python 2 and 3 (Py3)
- python3-zope
- virtueel pakket geboden door python3-zope.interface
- python3-zope.browser (2.1.0-1)
- Shared Zope Toolkit browser components
- python3-zope.component (4.3.0-1)
- Zope Component Architecture
- python3-zope.configuration (4.0.3-3)
- Zope Configuration Markup Language (ZCML)
- python3-zope.contenttype (4.1.0-1)
- Utility module for content-type handling
- python3-zope.deprecation (4.4.0-1)
- Zope Deprecation Infrastructure
- python3-zope.event (4.2.0-1)
- Very basic event publishing system
- python3-zope.exceptions (4.0.8-1)
- Zope exceptions for Python 3
- python3-zope.fixers (1.0-1)
- Fixers for Zope3 and the frameworks built with it
- python3-zope.hookable (4.0.4-4+b4)
- Hookable object support
- python3-zope.i18n (4.1.0-1)
- Zope Internationalization Support
- python3-zope.i18nmessageid (4.0.3-2+b4)
- Message Identifiers for internationalization
- python3-zope.interface (4.3.2-1+b2)
- Interfaces for Python3
- python3-zope.interface-dbg (4.3.2-1+b2)
- Interfaces for Python3 (debug extension)
- python3-zope.location (4.0.3-2)
- Tools for working with object locations
- python3-zope.proxy (4.2.0-1.1)
- Generic transparent proxies for Python
- python3-zope.schema (4.4.2-3)
- zope.interface extension for defining data schemas
- python3-zope.security (4.0.3-2+b3)
- Zope Security Framework
- python3-zope.testing (4.6.2-1)
- Zope testing helpers for Python 3
- python3-zope.testrunner (4.4.9-1)
- Flexible test runner with layer support for Python 3
- python3-zunclient (2.1.0-2)
- OpenStack container management service API client - Python 3.x
- python3-zvmcloudconnector (1.2.3-1)
- z/VM Development SDK for managing z/VM - Python 3
- python3-zxcvbn (4.4.27-1)
- Realistic password strength estimator - Python3 module
- python3-zzzeeksphinx (1.0.20-2)
- Zzzeek's Sphinx layout and utilities
- python3.6-blist
- virtueel pakket geboden door python3-blist
- python3.6-bluez
- virtueel pakket geboden door python3-bluez
- python3.6-btrees
- virtueel pakket geboden door python3-btrees
- python3.6-cairo
- virtueel pakket geboden door python3-cairo
- python3.6-cairo-dbg
- virtueel pakket geboden door python3-cairo-dbg
- python3.6-casacore
- virtueel pakket geboden door python3-casacore
- python3.6-crypto
- virtueel pakket geboden door python3-crypto
- python3.6-crypto-dbg
- virtueel pakket geboden door python3-crypto-dbg
- python3.6-cups
- virtueel pakket geboden door python3-cups
- python3.6-deltarpm
- virtueel pakket geboden door python3-deltarpm
- python3.6-ftdi1
- virtueel pakket geboden door python3-ftdi1
- python3.6-gevent
- virtueel pakket geboden door python3-gevent
- python3.6-gevent-dbg
- virtueel pakket geboden door python3-gevent-dbg
- python3.6-grpcio
- virtueel pakket geboden door python3-grpcio
- python3.6-gssapi
- virtueel pakket geboden door python3-gssapi
- python3.6-hid
- virtueel pakket geboden door python3-hid
- python3.6-http-parser
- virtueel pakket geboden door python3-http-parser
- python3.6-icu
- virtueel pakket geboden door python3-icu
- python3.6-icu-dbg
- virtueel pakket geboden door python3-icu-dbg
- python3.6-indexed-gzip
- virtueel pakket geboden door python3-indexed-gzip
- python3.6-jpy
- virtueel pakket geboden door python3-jpy
- python3.6-jpype
- virtueel pakket geboden door python3-jpype
- python3.6-kdtree
- virtueel pakket geboden door python3-kdtree
- python3.6-kdtree-dbg
- virtueel pakket geboden door python3-kdtree-dbg
- python3.6-kyotocabinet
- virtueel pakket geboden door python3-kyotocabinet
- python3.6-ldap
- virtueel pakket geboden door python3-ldap
- python3.6-ldap-dbg
- virtueel pakket geboden door python3-ldap-dbg
- python3.6-newt
- virtueel pakket geboden door python3-newt
- python3.6-nss
- virtueel pakket geboden door python3-nss
- python3.6-prelude
- virtueel pakket geboden door python3-prelude
- python3.6-pyalsa
- virtueel pakket geboden door python3-pyalsa
- python3.6-pyaudio
- virtueel pakket geboden door python3-pyaudio
- python3.6-pyisomd5sum
- virtueel pakket geboden door python3-pyisomd5sum
- python3.6-pymad
- virtueel pakket geboden door python3-pymad
- python3.6-pymad-dbg
- virtueel pakket geboden door python3-pymad-dbg
- python3.6-pyodbc
- virtueel pakket geboden door python3-pyodbc
- python3.6-pypcap
- virtueel pakket geboden door python3-pypcap
- python3.6-pyraf
- virtueel pakket geboden door python3-pyraf
- python3.6-pyxattr
- virtueel pakket geboden door python3-pyxattr
- python3.6-pyxattr-dbg
- virtueel pakket geboden door python3-pyxattr-dbg
- python3.6-qrencode
- virtueel pakket geboden door python3-qrencode
- python3.6-radix
- virtueel pakket geboden door python3-radix
- python3.6-rpy2
- virtueel pakket geboden door python3-rpy2
- python3.6-sane
- virtueel pakket geboden door python3-sane
- python3.6-selinux
- virtueel pakket geboden door python3-selinux
- python3.6-sendfile-dbg
- virtueel pakket geboden door python3-sendfile-dbg
- python3.6-sfml
- virtueel pakket geboden door python3-sfml
- python3.6-silo
- virtueel pakket geboden door python3-silo
- python3.6-smbc
- virtueel pakket geboden door python3-smbc
- python3.6-smbus
- virtueel pakket geboden door python3-smbus
- python3.6-subvertpy
- virtueel pakket geboden door python3-subvertpy
- python3.6-tango
- virtueel pakket geboden door python3-tango
- python3.6-urwid
- virtueel pakket geboden door python3-urwid
- python3.6-wheezy.template
- virtueel pakket geboden door python3-wheezy.template
- python3.6-yenc
- virtueel pakket geboden door python3-yenc
- python3.6-zodbpickle
- virtueel pakket geboden door python3-zodbpickle
- python3.6-zope.interface
- virtueel pakket geboden door python3-zope.interface
- python3.7 (3.7.3-2+deb10u7) [security]
- Interactive high-level object-oriented language (version 3.7)
- python3.7-apt
- virtueel pakket geboden door python3-apt
- python3.7-apt-dbg
- virtueel pakket geboden door python3-apt-dbg
- python3.7-audit
- virtueel pakket geboden door python3-audit
- python3.7-blist
- virtueel pakket geboden door python3-blist
- python3.7-bluez
- virtueel pakket geboden door python3-bluez
- python3.7-breezy
- virtueel pakket geboden door python3-breezy
- python3.7-bsddb3
- virtueel pakket geboden door python3-bsddb3
- python3.7-bsddb3-dbg
- virtueel pakket geboden door python3-bsddb3-dbg
- python3.7-btrees
- virtueel pakket geboden door python3-btrees
- python3.7-cairo
- virtueel pakket geboden door python3-cairo
- python3.7-cairo-dbg
- virtueel pakket geboden door python3-cairo-dbg
- python3.7-cap-ng
- virtueel pakket geboden door python3-cap-ng
- python3.7-casacore
- virtueel pakket geboden door python3-casacore
- python3.7-cmor
- virtueel pakket geboden door python3-cmor
- python3.7-comedilib
- virtueel pakket geboden door python3-comedilib
- python3.7-cracklib
- virtueel pakket geboden door python3-cracklib
- python3.7-crypto
- virtueel pakket geboden door python3-crypto
- python3.7-crypto-dbg
- virtueel pakket geboden door python3-crypto-dbg
- python3.7-cups
- virtueel pakket geboden door python3-cups
- python3.7-dbg (3.7.3-2+deb10u7) [security]
- Debug Build of the Python Interpreter (version 3.7)
- python3.7-dbus
- virtueel pakket geboden door python3-dbus
- python3.7-deltarpm
- virtueel pakket geboden door python3-deltarpm
- python3.7-dev (3.7.3-2+deb10u7) [security]
- Header files and a static library for Python (v3.7)
- python3.7-distutils
- virtueel pakket geboden door python3-distutils
- python3.7-doc (3.7.3-2+deb10u7) [security]
- Documentation for the high-level object-oriented language Python (v3.7)
- python3.7-dpm
- virtueel pakket geboden door python3-dpm
- python3.7-eccodes
- virtueel pakket geboden door python3-eccodes
- python3.7-examples (3.7.3-2+deb10u7) [security]
- Examples for the Python language (v3.7)
- python3.7-ftdi1
- virtueel pakket geboden door python3-ftdi1
- python3.7-gdal
- virtueel pakket geboden door python3-gdal
- python3.7-gdbm
- virtueel pakket geboden door python3-gdbm
- python3.7-gdl
- virtueel pakket geboden door python3-gdl
- python3.7-gevent
- virtueel pakket geboden door python3-gevent
- python3.7-gevent-dbg
- virtueel pakket geboden door python3-gevent-dbg
- python3.7-gmpy2
- virtueel pakket geboden door python3-gmpy2
- python3.7-gpg
- virtueel pakket geboden door python3-gpg
- python3.7-greenlet
- virtueel pakket geboden door python3-greenlet
- python3.7-greenlet-dbg
- virtueel pakket geboden door python3-greenlet-dbg
- python3.7-gribapi
- virtueel pakket geboden door python3-gribapi
- python3.7-grpcio
- virtueel pakket geboden door python3-grpcio
- python3.7-gssapi
- virtueel pakket geboden door python3-gssapi
- python3.7-gv
- virtueel pakket geboden door python3-gv
- python3.7-gyoto
- virtueel pakket geboden door python3-gyoto
- python3.7-hid
- virtueel pakket geboden door python3-hid
- python3.7-http-parser
- virtueel pakket geboden door python3-http-parser
- python3.7-hunspell
- virtueel pakket geboden door python3-hunspell
- python3.7-icu
- virtueel pakket geboden door python3-icu
- python3.7-icu-dbg
- virtueel pakket geboden door python3-icu-dbg
- python3.7-igraph
- virtueel pakket geboden door python3-igraph
- python3.7-indexed-gzip
- virtueel pakket geboden door python3-indexed-gzip
- python3.7-jpy
- virtueel pakket geboden door python3-jpy
- python3.7-jpype
- virtueel pakket geboden door python3-jpype
- python3.7-kdtree
- virtueel pakket geboden door python3-kdtree
- python3.7-kdtree-dbg
- virtueel pakket geboden door python3-kdtree-dbg
- python3.7-kerberos
- virtueel pakket geboden door python3-kerberos
- python3.7-kyotocabinet
- virtueel pakket geboden door python3-kyotocabinet
- python3.7-ldap
- virtueel pakket geboden door python3-ldap
- python3.7-ldap-dbg
- virtueel pakket geboden door python3-ldap-dbg
- python3.7-ldns
- virtueel pakket geboden door python3-ldns
- python3.7-leveldb
- virtueel pakket geboden door python3-leveldb
- python3.7-lfc
- virtueel pakket geboden door python3-lfc
- python3.7-lib2to3
- virtueel pakket geboden door python3-lib2to3
- python3.7-libhamlib2
- virtueel pakket geboden door python3-libhamlib2
- python3.7-libnatpmp
- virtueel pakket geboden door python3-libnatpmp
- python3.7-librtmp
- virtueel pakket geboden door python3-librtmp
- python3.7-libtiff
- virtueel pakket geboden door python3-libtiff
- python3.7-llfuse
- virtueel pakket geboden door python3-llfuse
- python3.7-lxml
- virtueel pakket geboden door python3-lxml
- python3.7-lxml-dbg
- virtueel pakket geboden door python3-lxml-dbg
- python3.7-mapi
- virtueel pakket geboden door python3-mapi
- python3.7-mapnik
- virtueel pakket geboden door python3-mapnik
- python3.7-minimal (3.7.3-2+deb10u7) [security]
- Minimal subset of the Python language (version 3.7)
- python3.7-miniupnpc
- virtueel pakket geboden door python3-miniupnpc
- python3.7-mysqldb
- virtueel pakket geboden door python3-mysqldb
- python3.7-netgen
- virtueel pakket geboden door python3-netgen
- python3.7-newt
- virtueel pakket geboden door python3-newt
- python3.7-nss
- virtueel pakket geboden door python3-nss
- python3.7-ntp
- virtueel pakket geboden door python3-ntp
- python3.7-numpy
- virtueel pakket geboden door python3-numpy
- python3.7-parted
- virtueel pakket geboden door python3-parted
- python3.7-pcl
- virtueel pakket geboden door python3-pcl
- python3.7-pgmagick
- virtueel pakket geboden door python3-pgmagick
- python3.7-pikepdf
- virtueel pakket geboden door python3-pikepdf
- python3.7-pivy
- virtueel pakket geboden door python3-pivy
- python3.7-plplot
- virtueel pakket geboden door python3-plplot
- python3.7-plplot-qt
- virtueel pakket geboden door python3-plplot-qt
- python3.7-portio
- virtueel pakket geboden door python3-portio
- python3.7-prelude
- virtueel pakket geboden door python3-prelude
- python3.7-preludedb
- virtueel pakket geboden door python3-preludedb
- python3.7-protobuf
- virtueel pakket geboden door python3-protobuf
- python3.7-pyalsa
- virtueel pakket geboden door python3-pyalsa
- python3.7-pyaudio
- virtueel pakket geboden door python3-pyaudio
- python3.7-pycangjie
- virtueel pakket geboden door python3-pycangjie
- python3.7-pycodcif
- virtueel pakket geboden door python3-pycodcif
- python3.7-pygresql
- virtueel pakket geboden door python3-pygresql
- python3.7-pyisomd5sum
- virtueel pakket geboden door python3-pyisomd5sum
- python3.7-pylibacl
- virtueel pakket geboden door python3-pylibacl
- python3.7-pylibacl-dbg
- virtueel pakket geboden door python3-pylibacl-dbg
- python3.7-pymad
- virtueel pakket geboden door python3-pymad
- python3.7-pymad-dbg
- virtueel pakket geboden door python3-pymad-dbg
- python3.7-pyodbc
- virtueel pakket geboden door python3-pyodbc
- python3.7-pyosmium
- virtueel pakket geboden door python3-pyosmium
- python3.7-pypcap
- virtueel pakket geboden door python3-pypcap
- python3.7-pyraf
- virtueel pakket geboden door python3-pyraf
- python3.7-pyverbs
- virtueel pakket geboden door python3-pyverbs
- python3.7-pyx
- virtueel pakket geboden door python3-pyx
- python3.7-pyxattr
- virtueel pakket geboden door python3-pyxattr
- python3.7-pyxattr-dbg
- virtueel pakket geboden door python3-pyxattr-dbg
- python3.7-qpid-proton
- virtueel pakket geboden door python3-qpid-proton
- python3.7-qrencode
- virtueel pakket geboden door python3-qrencode
- python3.7-radix
- virtueel pakket geboden door python3-radix
- python3.7-renderpm
- virtueel pakket geboden door python3-renderpm
- python3.7-reportlab-accel
- virtueel pakket geboden door python3-reportlab-accel
- python3.7-rpm
- virtueel pakket geboden door python3-rpm
- python3.7-rpy2
- virtueel pakket geboden door python3-rpy2
- python3.7-rrdtool
- virtueel pakket geboden door python3-rrdtool
- python3.7-sane
- virtueel pakket geboden door python3-sane
- python3.7-selinux
- virtueel pakket geboden door python3-selinux
- python3.7-sendfile-dbg
- virtueel pakket geboden door python3-sendfile-dbg
- python3.7-sfml
- virtueel pakket geboden door python3-sfml
- python3.7-silo
- virtueel pakket geboden door python3-silo
- python3.7-skimage-lib
- virtueel pakket geboden door python3-skimage-lib
- python3.7-sklearn-lib
- virtueel pakket geboden door python3-sklearn-lib
- python3.7-slepc4py-complex
- virtueel pakket geboden door python3-slepc4py-complex
- python3.7-slepc4py-real
- virtueel pakket geboden door python3-slepc4py-real
- python3.7-smbc
- virtueel pakket geboden door python3-smbc
- python3.7-smbus
- virtueel pakket geboden door python3-smbus
- python3.7-spglib
- virtueel pakket geboden door python3-spglib
- python3.7-sss
- virtueel pakket geboden door python3-sss
- python3.7-subvertpy
- virtueel pakket geboden door python3-subvertpy
- python3.7-tango
- virtueel pakket geboden door python3-tango
- python3.7-tdb
- virtueel pakket geboden door python3-tdb
- python3.7-tk
- virtueel pakket geboden door python3-tk
- python3.7-urwid
- virtueel pakket geboden door python3-urwid
- python3.7-venv (3.7.3-2+deb10u7) [security]
- Interactive high-level object-oriented language (pyvenv binary, version 3.7)
- python3.7-volume-key
- virtueel pakket geboden door python3-volume-key
- python3.7-vtk-dicom
- virtueel pakket geboden door python3-vtk-dicom
- python3.7-wheezy.template
- virtueel pakket geboden door python3-wheezy.template
- python3.7-wxgtk-media4.0
- virtueel pakket geboden door python3-wxgtk-media4.0
- python3.7-wxgtk-webview4.0
- virtueel pakket geboden door python3-wxgtk-webview4.0
- python3.7-wxgtk4.0
- virtueel pakket geboden door python3-wxgtk4.0
- python3.7-xapian
- virtueel pakket geboden door python3-xapian
- python3.7-xattr
- virtueel pakket geboden door python3-xattr
- python3.7-yenc
- virtueel pakket geboden door python3-yenc
- python3.7-zodbpickle
- virtueel pakket geboden door python3-zodbpickle
- python3.7-zope.interface
- virtueel pakket geboden door python3-zope.interface
- pythoncad (0.1.37.0-3)
- Computer Aided Drafting (CAD) program
- pythoncard (0.8.2-5)
- wxPython-based GUI construction framework (meta-package)
- pythoncard-doc (0.8.2-5)
- wxPython-based GUI construction framework (documentation and samples)
- pythoncard-tools (0.8.2-5)
- wxPython-based GUI construction framework (optional development tools)
- pythonpy (0.4.11b-3)
- 'python -c', with tab completion and shorthand
- pythontracer (8.10.16-1.2)
- Python programs' execution tracer and profiler
- pytidylib-doc (0.3.2~dfsg-4)
- Python wrapper for HTML Tidy (tidylib) documentation
- pytrainer (2.0.0~rc1-1)
- desktop application for logging sport activities
- pyvcf (0.6.8+git20170215.476169c-1)
- helper scripts for Variant Call Format (VCF) parser
- pyvisa (1.9.1-1)
- Transitional dummy package for python-pyvisa
- pyvnc2swf (0.9.5-5)
- screen recording tool with Flash (SWF) output
- pywps (4.2.1-1)
- Implementation of OGC's Web Processing Service
- pywps-doc (4.2.1-1)
- Implementation of OGC's Web Processing Service - Documentation
- pywps-wsgi (4.2.1-1)
- Implementation of OGC's Web Processing Service - WSGI example
- pyxplot (0.9.2-8)
- data plotting program producing publication-quality output
- pyxplot-doc (0.9.2-8)
- documentation for pyxplot data plotting program
- pyzo (4.4.3-1.2)
- interactive editor for scientific Python
- pyzo-doc (4.4.3-1.2)
- documentation for Pyzo
- pyzor (1:1.0.0-3)
- spam-catcher using a collaborative filtering network
- pyzor-doc (1:1.0.0-3)
- spam-catcher using a collaborative filtering network (documentation)
- q-text-as-data
- virtueel pakket geboden door python-q-text-as-data
- q4wine (1.3.11-1)
- Qt GUI for WINE
- qalc (2.8.2-1)
- Powerful and easy to use command line calculator
- qalculate (2.8.2-1)
- Powerful and easy to use desktop calculator - transitional
- qalculate-gtk (2.8.2-1)
- Powerful and easy to use desktop calculator - GTK+ version
- qapt-batch (3.0.4-1)
- Batch package manager for KDE
- qapt-deb-installer (3.0.4-1)
- tool for installing deb files
- qapt-utils (3.0.4-1)
- complete collection of QApt package management utilities
- qasconfig (0.21.0-1.1)
- ALSA configuration browser
- qashctl (0.21.0-1.1)
- mixer for ALSA's High level Control Interface
- qasmixer (0.21.0-1.1)
- ALSA mixer for the desktop
- qastools-common (0.21.0-1.1)
- QasTools common files
- qbittorrent (4.1.5-1+deb10u1)
- bittorrent client based on libtorrent-rasterbar with a Qt5 GUI
- qbittorrent-dbg (4.1.5-1+deb10u1)
- debug symbols for qbittorrent and qbittorrent-nox
- qbittorrent-nox (4.1.5-1+deb10u1)
- bittorrent client based on libtorrent-rasterbar (without X support)
- qbrew (0.4.1-8)
- Homebrewer's recipe calculator
- qbs (1.12.2+dfsg-2)
- cross-platform build tool
- qbs-common (1.12.2+dfsg-2)
- Qbs static files
- qbs-dev (1.12.2+dfsg-2)
- Qbs development files
- qbs-doc (1.12.2+dfsg-2)
- Qbs documentation
- qbs-doc-html (1.12.2+dfsg-2)
- Qbs HTML documentation
- qbs-examples (1.12.2+dfsg-2)
- examples for Qbs build tool
- qbzr (0.23.2-6)
- Graphical interface for Bazaar using the Qt toolkit
- qc-pipeline
- virtueel pakket geboden door qcumber
- qca-qt5-2-utils (2.1.3-2)
- command line tool for the Qt Cryptographic Architecture
- qca2-utils (2.1.3-2)
- command line tool for the Qt Cryptographic Architecture
- qcomicbook (0.9.1-2)
- qt-viewer voor stripboekarchieven (cbr/cbz/cba/cbg/cbb)
- qconf (2.4-4)
- nice configure script for qmake-based projects
- qcontrol (0.5.6-4)
- hardware control for QNAP Turbo Station devices
- qcontrol-udeb (0.5.6-4)
- hardware control for QNAP Turbo Station devices
- qct (1.7-3.2)
- GUI commit tool
- qcumber (1.0.14+dfsg-1)
- quality control of genomic sequences
- qdacco (0.8.5-1)
- offline Dacco Catalan <-> English dictionary frontend (qt)
- qdbm-cgi (1.8.78-9+b1)
- QDBM Database CGI commands
- qdbm-doc (1.8.78-9)
- QDBM Database Documentation
- qdbm-util (1.8.78-9+b1)
- QDBM Database Utilities
- qdbus (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 D-Bus tool
- qdbus-qt5 (5.11.3-4)
- Qt 5 D-Bus tool
- qdirstat (1.5-1+deb10u1)
- Qt-based directory statistics
- qdoc-qt5 (5.11.3-4)
- Qt 5 qdoc tool
- qelectrotech (1:0.6.1-1)
- Electric schematic editor
- qelectrotech-data (1:0.6.1-1)
- symbols needed for qelectrotech
- qelectrotech-examples (1:0.6.1-1)
- examples files for qelectrotech
- qemu (1:3.1+dfsg-8+deb10u12) [security]
- fast processor emulator, dummy package
- (1:3.1+dfsg-8+deb10u12) [security]
- extra block backend modules for qemu-system and qemu-utils
- qemu-efi (0~20181115.85588389-3+deb10u3)
- transitional dummy package
- qemu-efi-aarch64 (0~20181115.85588389-3+deb10u3)
- UEFI firmware for 64-bit ARM virtual machines
- qemu-efi-arm (0~20181115.85588389-3+deb10u3)
- UEFI firmware for 32-bit ARM virtual machines
- qemu-guest-agent (1:3.1+dfsg-8+deb10u12) [security]
- Guest-side qemu-system agent
- qemu-keymaps
- virtueel pakket geboden door qemu-system-data
- qemu-kvm (1:3.1+dfsg-8+deb10u12) [security]
- QEMU Full virtualization on x86 hardware
- qemu-skiboot (6.2-1)
- OpenPower firmware component
- qemu-slof (20180702+dfsg-1)
- Slimline Open Firmware -- QEMU PowerPC version
- qemu-system (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries
- qemu-system-aarch64
- virtueel pakket geboden door qemu-system-arm
- qemu-system-alpha
- virtueel pakket geboden door qemu-system-misc
- qemu-system-arm (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (arm)
- qemu-system-common (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (common files)
- qemu-system-cris
- virtueel pakket geboden door qemu-system-misc
- qemu-system-data (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation (data files)
- qemu-system-gui (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (user interface and audio support)
- qemu-system-hppa
- virtueel pakket geboden door qemu-system-misc
- qemu-system-i386
- virtueel pakket geboden door qemu-system-x86
- qemu-system-lm32
- virtueel pakket geboden door qemu-system-misc
- qemu-system-m68k
- virtueel pakket geboden door qemu-system-misc
- qemu-system-microblaze
- virtueel pakket geboden door qemu-system-misc
- qemu-system-microblazeel
- virtueel pakket geboden door qemu-system-misc
- qemu-system-mips (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (mips)
- qemu-system-mips64
- virtueel pakket geboden door qemu-system-mips
- qemu-system-mips64el
- virtueel pakket geboden door qemu-system-mips
- qemu-system-mipsel
- virtueel pakket geboden door qemu-system-mips
- qemu-system-misc (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (miscellaneous)
- qemu-system-moxie
- virtueel pakket geboden door qemu-system-misc
- qemu-system-nios2
- virtueel pakket geboden door qemu-system-misc
- qemu-system-or1k
- virtueel pakket geboden door qemu-system-misc
- qemu-system-ppc (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (ppc)
- qemu-system-ppc64
- virtueel pakket geboden door qemu-system-ppc
- qemu-system-riscv32
- virtueel pakket geboden door qemu-system-misc
- qemu-system-riscv64
- virtueel pakket geboden door qemu-system-misc
- qemu-system-s390x
- virtueel pakket geboden door qemu-system-misc
- qemu-system-sh4
- virtueel pakket geboden door qemu-system-misc
- qemu-system-sh4eb
- virtueel pakket geboden door qemu-system-misc
- qemu-system-sparc (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (sparc)
- qemu-system-sparc64
- virtueel pakket geboden door qemu-system-sparc
- qemu-system-tricore
- virtueel pakket geboden door qemu-system-misc
- qemu-system-unicore32
- virtueel pakket geboden door qemu-system-misc
- qemu-system-x86 (1:3.1+dfsg-8+deb10u12) [security]
- QEMU full system emulation binaries (x86)
- qemu-system-x86-64
- virtueel pakket geboden door qemu-system-x86
- qemu-system-xtensa
- virtueel pakket geboden door qemu-system-misc
- qemu-system-xtensaeb
- virtueel pakket geboden door qemu-system-misc
- qemu-user (1:3.1+dfsg-8+deb10u12) [security]
- QEMU user mode emulation binaries
- qemu-user-binfmt (1:3.1+dfsg-8+deb10u12) [security]
- QEMU user mode binfmt registration for qemu-user
- qemu-user-binfmt
- virtueel pakket geboden door qemu-user-static
- qemu-user-static (1:3.1+dfsg-8+deb10u12) [security]
- QEMU user mode emulation binaries (static version)
- qemu-utils (1:3.1+dfsg-8+deb10u12) [security]
- QEMU utilities
- qemubuilder (0.88)
- pbuilder using QEMU as backend
- qemuctl (0.3.1-4+b1)
- controlling GUI for qemu
- qevercloud-doc (3.0.3+ds-4)
- QEverCloud library documentation
- qfits-tools (6.2.0-8+b2)
- FITS manipulation tools
- qfitsview (3.3+p1+dfsg-2+b1)
- FITS file viewer based on DPUSER
- qflow (1.1.121+dfsg.1-2)
- Open-Source Digital Synthesis Flow
- qflow-tech-osu018 (1.1.121+dfsg.1-2)
- Technology files needed for qflow for osu018
- qflow-tech-osu035 (1.1.121+dfsg.1-2)
- Technology files needed for qflow for osu035
- qflow-tech-osu050 (1.1.121+dfsg.1-2)
- Technology files needed for qflow for osu050
- qgis (2.18.28+dfsg-2)
- Geographic Information System (GIS)
- qgis-api-doc (2.18.28+dfsg-2)
- QGIS API documentation
- qgis-common (2.18.28+dfsg-2)
- QGIS - architecture-independent data
- qgis-dev
- virtueel pakket geboden door libqgis-dev
- qgis-mapserver
- virtueel pakket geboden door qgis-server
- qgis-plugin-grass (2.18.28+dfsg-2)
- GRASS plugin for QGIS
- qgis-plugin-grass-common (2.18.28+dfsg-2)
- GRASS plugin for QGIS - architecture-independent data
- qgis-provider-grass (2.18.28+dfsg-2)
- GRASS provider for QGIS
- qgis-providers (2.18.28+dfsg-2)
- collection of data providers to QGIS
- qgis-providers-common (2.18.28+dfsg-2)
- collection of data providers to QGIS - architecture-independent files
- qgis-server (2.18.28+dfsg-2)
- QGIS server providing various OGC services
- qgit (2.8-1)
- Qt application for viewing GIT trees
- qgo (2.1~git-20180413-1)
- Go client and full featured SGF editor
- qhimdtransfer (0.9.16-2)
- Transfer software for HiMD Walkman
- qhull-bin (2015.2-4)
- calculate convex hulls and related structures (utilities)
- qiv (2.3.1-1+b1)
- Quick image viewer for X
- qjackctl (0.5.0-1)
- User interface for controlling the JACK sound server
- qjackrcd (1.1.0~ds0-1+b1)
- Qt4 application to record JACK server outputs
- qjoypad (4.1.0-2.1)
- Program for mapping gamepad/joystick events to mouse/keyboard event
- qla-tools (20140529-2)
- QLogic Linux tools for work with QLogic HBAs
- qlipper (1:5.1.2-1)
- Lightweight and cross-platform clipboard history applet
- qliss3d (1.4-5)
- demonstration tool for Lissajous figures
- qlogo (0.92-1)
- Language using turtle graphics famous for teaching kids
- qmail (1.06-6.2~deb10u1)
- a secure, reliable, efficient, simple message transfer agent
- qmail-run (2.0.2+nmu1)
- sets up qmail as mail-transfer-agent
- qmail-tools (0.1.0)
- collection of tools for qmail
- qmail-uids-gids (1.06-6.2~deb10u1)
- user ids and group ids for qmail
- qmapshack (1.12.3-1)
- GPS mapping (GeoTiff and vector) and GPSr management
- qmc (0.94-3.1)
- Quine McClusky Simplification Tool
- (5.0.2-2+b3)
- Tool to create simple menus for terminal interfaces
- qmhandle (1.3.2-2) [contrib]
- tool to manage the qmail message queue
- qmidiarp (0.6.5-1)
- MIDI arpeggiator for ALSA
- qmidinet (0.5.0-1)
- MIDI Network Gateway via UDP/IP Multicast
- qmidiroute (0.4.0-1+b1)
- MIDI event router and filter
- qml (5.11.3-4)
- Qt 5 QML viewer
- qml-module-gsettings1.0 (0.1+17.10.20170824-9)
- QML Bindings for GSettings
- qml-module-io-thp-pyotherside (1.5.3-1)
- asynchronous Python 3 Bindings for Qt 5 (QML plugin)
- qml-module-org-kde-activities (5.54.0-1)
- provides integration of QML and KDE Frameworks
- qml-module-org-kde-analitza (4:17.08.3-2+b3)
- library to work with mathematical expressions - QML library
- qml-module-org-kde-bluezqt (5.54.0-1)
- QML wrapper for bluez
- qml-module-org-kde-charts (4:18.04.1-1)
- QtQuick plugin to render interactive charts
- qml-module-org-kde-draganddrop (5.54.0-1)
- provides integration of QML and KDE frameworks - draganddrop
- qml-module-org-kde-games-core (4:18.04.0-1)
- kde-games-core QtDeclarative QML support
- qml-module-org-kde-kaccounts (4:17.08.3-1)
- KAccounts QtDeclarative QML Support
- qml-module-org-kde-kcm (5.54.0-1)
- provides integration of QML and KDE Frameworks - kcm
- qml-module-org-kde-kconfig (5.54.0-1)
- provides integration of QML and KDE Frameworks - kconfig
- qml-module-org-kde-kcoreaddons (5.54.0-1)
- provides integration of QML and KDE frameworks - kcoreaddons
- qml-module-org-kde-kholidays (1:5.54.0-1)
- holidays calculation library - qml files
- qml-module-org-kde-kio (5.54.0-1)
- provides integration of QML and KDE Frameworks - kio
- qml-module-org-kde-kirigami2 (5.54.0-1)
- set of QtQuick components targeted for mobile use
- qml-module-org-kde-kquickcontrols (5.54.0-1)
- provides integration of QML and KDE frameworks - kquickcontrols
- qml-module-org-kde-kquickcontrolsaddons (5.54.0-1)
- provides integration of QML and KDE Frameworks - kquickcontrolsaddons
- qml-module-org-kde-kwindowsystem (5.54.0-1)
- provides integration of QML and KDE frameworks - kwindowsystem
- qml-module-org-kde-newstuff (5.54.0-2)
- Support for downloading application assets from the network.
- qml-module-org-kde-okular (4:17.12.2-2.2+deb10u1)
- mobile support for Okular - QML modules
- qml-module-org-kde-people (5.54.0-1)
- framework providing unified access to contacts aggregated by person
- qml-module-org-kde-purpose (5.54.0-1)
- abstraction to provide and leverage actions of a specific kind, qml bindings
- qml-module-org-kde-qqc2desktopstyle (5.54.0-1)
- Qt Quick Controls 2: Desktop Style
- qml-module-org-kde-runnermodel (5.54.0-1)
- Used to write plugins loaded at runtime called "Runners".
- qml-module-org-kde-solid (5.54.0-1)
- Qt library to query and control hardware plugin
- qml-module-org-kde-telepathy (17.08.3-1)
- kde-telepathy QtDeclarative QML support
- qml-module-org-nemomobile-mpris (0.1.0-2)
- Qt 5 MPRIS interface and adaptor QML module
- qml-module-qt-labs-calendar (5.11.3+dfsg-2)
- Qt 5 qt.labs.calendar QML module
- qml-module-qt-labs-folderlistmodel (5.11.3-4)
- Qt 5 folderlistmodel QML module
- qml-module-qt-labs-handlers (5.11.3-4)
- Qt 5 Qt.labs.handlers QML module
- qml-module-qt-labs-location (5.11.3+dfsg-2)
- Qt Location Labs module
- qml-module-qt-labs-platform (5.11.3+dfsg-2)
- Qt 5 qt.labs.platform QML module
- qml-module-qt-labs-settings (5.11.3-4)
- Qt 5 settings QML module
- qml-module-qt-labs-sharedimage (5.11.3-4)
- Qt 5 SharedImage QML module
- qml-module-qt-websockets (5.11.3-5)
- Qt 5 Web Sockets compatibility QML module
- qml-module-qt3d (5.11.3+dfsg-2)
- Qt 5 3D QML module
- qml-module-qtaudioengine (5.11.3-2)
- Qt 5 AudioEngine QML module
- qml-module-qtav (1.12.0+ds-5+b3)
- QtAV QML module
- qml-module-qtbluetooth (5.11.3-2)
- Qt Connectivity module - Bluetooth QML module
- qml-module-qtcharts (5.11.3-2)
- Qt charts QML module
- qml-module-qtdatavisualization (5.11.3-1)
- Qt 5 Data Visualization QML module
- qml-module-qtgraphicaleffects (5.11.3-2)
- Qt 5 Graphical Effects module
- qml-module-qtgstreamer (1.2.0-5)
- QML plugins from QtGStreamer - Qt 5 build
- qml-module-qtlocation (5.11.3+dfsg-2)
- Qt Location QML module
- qml-module-qtmultimedia (5.11.3-2)
- Qt 5 Multimedia QML module
- qml-module-qtnfc (5.11.3-2)
- Qt Connectivity module - NFC QML module
- qml-module-qtpositioning (5.11.3+dfsg-2)
- Qt Positioning QML module
- qml-module-qtqml-models2 (5.11.3-4)
- Qt 5 Models2 QML module
- qml-module-qtqml-statemachine (5.11.3-4)
- Qt 5 State Machine QML module
- qml-module-qtquick-controls (5.11.3-2)
- Qt 5 Quick Controls QML module
- qml-module-qtquick-controls-styles-breeze (4:5.14.5-1)
- QtQuick style for Qt and KDE Software
- qml-module-qtquick-controls2 (5.11.3+dfsg-2)
- Qt 5 Qt Quick Controls 2 QML module
- qml-module-qtquick-dialogs (5.11.3-2)
- Qt 5 Dialogs QML module
- (5.11.3-2)
- Qt 5 Quick Extras QML module
- qml-module-qtquick-layouts (5.11.3-4)
- Qt 5 Quick Layouts QML module
- qml-module-qtquick-localstorage (5.11.3-4)
- Qt 5 localstorage QML module
- qml-module-qtquick-particles2 (5.11.3-4)
- Qt 5 particles 2 QML module
- qml-module-qtquick-privatewidgets (5.11.3-2)
- Qt 5 Private Widgets QML module
- qml-module-qtquick-scene2d (5.11.3+dfsg-2)
- Qt 5 Quick Scene 2D QML module
- qml-module-qtquick-scene3d (5.11.3+dfsg-2)
- Qt 5 Quick Scene 3D QML module
- qml-module-qtquick-shapes (5.11.3-4)
- Qt 5 Qt Quick Shapes QML module
- qml-module-qtquick-templates2 (5.11.3+dfsg-2)
- Qt 5 Qt Quick Templates 2 QML module
- qml-module-qtquick-virtualkeyboard (5.11.3+dfsg-2)
- Qt virtual keyboard - QML module
- qml-module-qtquick-window2 (5.11.3-4)
- Qt 5 window 2 QML module
- qml-module-qtquick-xmllistmodel (5.11.3-4)
- Qt 5 xmllistmodel QML module
- qml-module-qtquick2 (5.11.3-4)
- Qt 5 Qt Quick 2 QML module
- qml-module-qtsensors (5.11.3-2)
- Qt 5 Sensors QML module
- qml-module-qttest (5.11.3-4)
- Qt 5 test QML module
- qml-module-qtwayland-compositor (5.11.3-2)
- Qt 5 Wayland Compositor QML module
- qml-module-qtwebchannel (5.11.3-2)
- Web communication library for Qt - QML module
- qml-module-qtwebengine (5.11.3+dfsg-2+deb10u1)
- Qt WebEngine QML module
- qml-module-qtwebkit (5.212.0~alpha2-21)
- Qt WebKit QML module
- qml-module-qtwebsockets (5.11.3-5)
- Qt 5 Web Sockets QML module
- qml-module-qtwebview (5.11.3-2)
- display web content in a QML application
- qml-module-snapd (1.45-1.1)
- Snapd QML module
- qmlscene (5.11.3-4)
- Qt 5 QML scene viewer
- qmmp (1.2.6-2)
- feature-rich audio player with support of many formats
- qmmp-plugin-projectm (1.2.6-2)
- qmmp audio player -- projectM visualization plugin
- qmpdclient (1.2.2+git20151118-1)
- Qt4 client for the Music Player Daemon (MPD)
- qmtest (2.4.1-3)
- Testing Framework (part of the Quality Management Toolset (QM))
- qnapi (0.1.9-1+b1)
- application that downloads Polish subtitles from www.napiprojekt.pl
- qnifti2dicom (0.4.11-1+b4)
- convert 3D medical images to DICOM 2D series (gui)
- qonk (0.3.1-3.2)
- Small build-and-conquer strategy game with very simple rules
- qpdf (8.4.0-2+deb10u1) [security]
- tools for transforming and inspecting PDF files
- qpdfview (0.4.17~beta1+git20180709-2)
- tabbed document viewer
- qpdfview-djvu-plugin (0.4.17~beta1+git20180709-2)
- tabbed document viewer - DjVu plugin
- qpdfview-ps-plugin (0.4.17~beta1+git20180709-2)
- tabbed document viewer - PostScript plugin
- qpdfview-translations (0.4.17~beta1+git20180709-2)
- tabbed document viewer - translations
- qperf (0.4.11-2)
- Measure socket and RDMA performance
- qprint (1.1.dfsg.2-2+b1)
- encoder and decoder for quoted-printable encoding
- qprint-doc (1.1.dfsg.2-2)
- qprint quoted-printable encoder/decoder (documentation)
- qprogram-starter (1.7.3-1)
- Qt program to start programs or commands
- qps (1.10.20-1)
- Qt process manager
- qpsmtpd (0.94-4)
- Flexible SMTP daemon for network-level spam detection
- qpxtool (0.7.2-4.1)
- CD/DVD quality checker
- qqwing (1.3.4-1.1)
- tool for generating and solving Sudoku puzzles (application)
- qrazercfg (0.40+ds-1)
- Graphical Razer device configuration tool
- qrazercfg-applet (0.40+ds-1)
- Graphical Razer device configuration tool - applet
- qreator (16.06.1-3.1)
- graphical utility for creating QR codes
- qrencode (4.0.2-1)
- QR Code encoder into PNG image
- qrisk2 (0.1.20150729-4)
- cardiovascular disease risk calculator
- qrouter (1.3.108-1)
- Multi-level, over-the-cell maze router
- qrq (0.3.1-3+b1)
- high speed morse trainer, similar to DL4MM's Rufz
- qsampler (0.5.0-1+b1)
- LinuxSampler GUI frontend based on the Qt toolkit
- qsapecng (2.1.1-1+b1)
- symbolic analyzer and solver of linear analog circuits
- qsapecng-doc (2.1.1-1)
- symbolic analyzer and solver of linear analog circuits (documentation)
- qsf (1.2.7-1.3+b3)
- small and fast Bayesian spam filter
- qshutdown (1.7.3-1)
- Qt program to shutdown/reboot/suspend/hibernate the system
- qsopt-ex (2.5.10.3-2)
- Exact linear programming solver
- qspeakers (1.2.0-1)
- loudspeaker design software
- qsstv (9.2.6+repack-1)
- Qt-based slow-scan TV and fax
- qstardict (1.3-5)
- International dictionary written using Qt
- qstat (2.15-4)
- Command-line tool for querying quake (and other) servers
- qstopmotion (2.4.1-1)
- Application for creating stop-motion animation movies
- qsynth (0.5.0-2)
- fluidsynth MIDI sound synthesiser front-end
- qt-assistant-compat (4.6.3-7+b1)
- Qt Assistant compatibility binary (legacy)
- qt-at-spi (0.4.0-9)
- at-spi accessibility plugin for Qt
- qt-at-spi-doc (0.4.0-9)
- at-spi accessibility plugin for Qt - Documentation
- qt3d-assimpsceneimport-plugin (5.11.3+dfsg-2)
- Qt 3D GL Assimp scene import plugin
- qt3d-defaultgeometryloader-plugin (5.11.3+dfsg-2)
- Qt 3D default geometry loader plugin
- qt3d-gltfsceneio-plugin (5.11.3+dfsg-2)
- Qt 3D GL Transmission Format scene IO plugin
- qt3d-scene2d-plugin (5.11.3+dfsg-2)
- Qt 3D Scene 2D plugin
- qt3d5-dev (5.11.3+dfsg-2)
- Qt 5 3D development files
- qt3d5-dev-tools (5.11.3+dfsg-2)
- Qt 3D development tools
- qt3d5-doc (5.11.3+dfsg-2)
- Qt 3D documentation
- qt3d5-doc-html (5.11.3+dfsg-2)
- Qt 3D HTML documentation
- qt3d5-examples (5.11.3+dfsg-2)
- Qt 5 3d examples
- qt4-bin-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 binaries debugging symbols
- qt4-default (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 development defaults package
- qt4-demos (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 examples and demos
- qt4-demos-dbg (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 examples and demos debugging symbols
- qt4-designer (4:4.8.7+dfsg-18+deb10u2) [security]
- grafische ontwerper voor Qt 4 programma's
- qt4-dev-tools (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 development tools
- qt4-doc (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 API documentation
- qt4-doc-html (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 API documentation (HTML format)
- qt4-linguist-tools (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 Linguist tools
- qt4-qmake (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 qmake Makefile generator tool
- qt4-qmlviewer (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 QML viewer
- qt4-qtconfig (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 configuration tool
- qt5-assistant (5.11.3-4)
- Qt 5 Assistant
- qt5-default (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 development defaults package
- qt5-doc (5.11.3-1)
- Qt 5 API Documentation
- qt5-doc-html (5.11.3-1)
- Qt 5 API documentation (HTML format)
- qt5-flatpak-platformtheme (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 Flatpak platform theme
- qt5-gtk-platformtheme (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 GTK+ 3 platform theme
- qt5-image-formats-plugins (5.11.3-2)
- Qt 5 Image Formats module
- qt5-qmake (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 qmake Makefile generator tool
- qt5-qmake-bin (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 qmake Makefile generator tool — binary file
- qt5-qmltooling-plugins (5.11.3-4)
- Qt 5 qmltooling plugins
- qt5-style-kvantum (0.10.9+repack-2)
- Kvantum style engine (binaries)
- qt5-style-kvantum-l10n (0.10.9+repack-2)
- Kvantum style engine (localization)
- qt5-style-kvantum-theme
- virtueel pakket geboden door qt5-style-kvantum-themes, adapta-kde
- qt5-style-kvantum-themes (0.10.9+repack-2)
- Kvantum style engine (themes)
- qt5-style-plugins (5.0.0+git23.g335dbec-2+b17)
- Qt 5 extra widget styles
- qt5ct (0.37-1)
- Qt5 Configuration Utility
- qt5dxcb-plugin (1.1.24-1)
- Qt platform theme integration plugin for DDE
- qt5keychain-dev (0.9.1-2)
- Development files for qtkeychain (QT5 version)
- qt5qevercloud-dev (3.0.3+ds-4)
- Development files for libqt5qevercloud
- qt5serialport-examples (5.11.3-2)
- Qt 5 serial port examples
- qtav-players (1.12.0+ds-5+b3)
- QtAV/QML players
- qtbase-abi-5-11-3
- virtueel pakket geboden door libqt5core5a
- qtbase5-dev (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 base development files
- qtbase5-dev-tools (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 base development programs
- qtbase5-doc (5.11.3+dfsg1-1+deb10u6) [security]
- Qt 5 base documentation
- qtbase5-doc-html (5.11.3+dfsg1-1+deb10u6) [security]
- Qt 5 base HTML documentation
- qtbase5-examples (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 base examples
- qtbase5-private-dev (5.11.3+dfsg1-1+deb10u6 [amd64, arm64], 5.11.3+dfsg1-1+deb10u5 [armhf, i386]) [security]
- Qt 5 base private development files
- qtcharts5-doc (5.11.3-2)
- Qt charts QCH documentation
- qtcharts5-doc-html (5.11.3-2)
- Qt charts HTML documentation
- qtcharts5-examples (5.11.3-2)
- Qt chart examples
- qtchooser (66-2)
- Wrapper to select between Qt development binary versions
- qtconnectivity5-dev (5.11.3-2)
- Qt Connectivity development files
- qtconnectivity5-doc (5.11.3-2)
- Qt 5 Connectivity documentation
- qtconnectivity5-doc-html (5.11.3-2)
- Qt 5 Connectivity HTML documentation
- qtconnectivity5-examples (5.11.3-2)
- Qt 5 Connectivity examples
- qtcore4-l10n (4:4.8.7+dfsg-18+deb10u2) [security]
- Qt 4 core module translations
- qtcreator (4.8.2-1)
- integrated development environment (IDE) for Qt
- qtcreator-data (4.8.2-1)
- application data for Qt Creator IDE
- qtcreator-doc (4.8.2-1)
- documentation for Qt Creator IDE
- qtcurve (1.9-2+b2)
- unified widget styles for Qt and GTK+ applications (metapackage)
- qtcurve-l10n (1.9-2)
- translation files for QtCurve
- qtdatavisualization5-doc (5.11.3-1)
- Qt 5 Data Visualization documentation
- qtdatavisualization5-doc-html (5.11.3-1)
- Qt 5 Data Visualization HTML documentation
- qtdatavisualization5-examples (5.11.3-1)
- Examples for Qt 5 Data Visualization module
- qtdbustest-runner (0.2+bzr42+repack1-5)
- Library for testing DBus interactions using Qt (test runner executable)
- qtdeclarative-abi-5-11-2
- virtueel pakket geboden door libqt5qml5
- qtdeclarative5-dev (5.11.3-4)
- Qt 5 declarative development files
- qtdeclarative5-dev-tools (5.11.3-4)
- Qt 5 declarative development programs
- qtdeclarative5-doc (5.11.3-4)
- Qt 5 declarative documentation
- qtdeclarative5-doc-html (5.11.3-4)
- Qt 5 declarative HTML documentation
- qtdeclarative5-examples (5.11.3-4)
- Qt 5 declarative examples
- qtdeclarative5-private-dev (5.11.3-4)
- Qt 5 declarative private development files
- qtel (17.12.2-4)
- Graphical client for the EchoLink® protocol
- qtel-icons (17.12.2-4)
- Icons for graphical client for the EchoLink® protocol
- qterm (1:0.7.3-2)
- BBS client for X Window System written in Qt
- qterminal (0.14.1-1)
- Lightweight Qt terminal emulator
- qterminal-l10n (0.14.1-1)
- Language package for qterminal
- qtermwidget5-data (0.14.1-1)
- Terminal emulator widget for Qt 5 (data files)
- qtgamepad5-examples (5.11.3-2)
- Qt 5 Gamepad examples
- qtgraphicaleffects5-doc (5.11.3-2)
- Qt 5 graphical effects documentation
- qtgraphicaleffects5-doc-html (5.11.3-2)
- Qt 5 graphicaleffects HTML documentation
- qtgstreamer-doc (1.2.0-5)
- QtGStreamer API documentation
- qtgstreamer-plugins-qt5 (1.2.0-5)
- GStreamer plugins from QtGStreamer - Qt 5 build
- qthid-fcd-controller (4.1-3+b1)
- Funcube Dongle controller
- qtikz (0.12+ds1-3)
- editor for the TikZ drawing language - Qt version
- qtiplot (0.9.8.9-18)
- data analysis and scientific plotting
- qtiplot-doc (0.9.8.9-18)
- data analysis and scientific plotting (documentation)
- qtlocation5-dev (5.11.3+dfsg-2)
- Qt 5 Location development files
- qtlocation5-doc (5.11.3+dfsg-2)
- Qt 5 Positioning documentation
- qtlocation5-doc-html (5.11.3+dfsg-2)
- Qt 5 Positioning HTML documentation
- qtlocation5-examples (5.11.3+dfsg-2)
- Qt 5 Location and Positioning examples
- qtltools (1.1+dfsg-3+b1)
- Tool set for molecular QTL discovery and analysis
- qtltools-example (1.1+dfsg-3)
- Tool set for molecular QTL discovery and analysis - example
- qtm (1.3.18-1)
- Web-log interface program
- qtmultimedia5-dev (5.11.3-2)
- APIs for multimedia functionality - development files
- qtmultimedia5-doc (5.11.3-2)
- Qt 5 multimedia documentation
- qtmultimedia5-doc-html (5.11.3-2)
- Qt 5 multimedia HTML documentation
- qtmultimedia5-examples (5.11.3-2)
- Examples for Qt 5 Multimedia module
- qtnetworkauth5-doc (5.11.3-2)
- online account access for Qt apps - documentation
- qtnetworkauth5-doc-html (5.11.3-2)
- online account access for Qt apps - HTML Documentation
- qtnetworkauth5-examples (5.11.3-2)
- online account access for Qt apps - Examples
- qtop (2.3.4-2)
- windowed version of the console top command
- qtox (1.16.3-2)
- Powerful Tox client that follows the Tox design guidelines
- qtpass (1.2.3-2)
- GUI for password manager pass
- qtpositioning5-dev (5.11.3+dfsg-2)
- Qt 5 Positioning development files
- qtqr (1.4~bzr32-1)
- Qt frontend for QR code generator and decoder
- qtquickcontrols2-5-dev (5.11.3+dfsg-2)
- Qt 5 Quick Controls 2 development files
- qtquickcontrols2-5-doc (5.11.3+dfsg-2)
- Qt 5 Quick Controls 2 documentation
- qtquickcontrols2-5-doc-html (5.11.3+dfsg-2)
- Qt 5 Quick Controls 2 HTML documentation
- qtquickcontrols2-5-examples (5.11.3+dfsg-2)
- Qt 5 Qt Quick Templates 2 examples
- qtquickcontrols5-doc (5.11.3-2)
- Qt 5 Quick Controls documentation
- qtquickcontrols5-doc-html (5.11.3-2)
- Qt 5 Quick Controls HTML documentation
- qtquickcontrols5-examples (5.11.3-2)
- Qt 5 Quick Controls examples
- qtractor (0.9.5-1)
- MIDI/Audio multi-track sequencer application
- qtscript-abi-5-6-0
- virtueel pakket geboden door libqt5script5
- qtscript5-dev (5.11.3+dfsg-3)
- Qt 5 script development files
- qtscript5-doc (5.11.3+dfsg-3)
- Qt 5 script documentation
- qtscript5-doc-html (5.11.3+dfsg-3)
- Qt 5 script HTML documentation
- qtscript5-examples (5.11.3+dfsg-3)
- Qt 5 script examples
- qtscrob (0.11+git-5)
- audioscrobbler submitter for portable media players - Qt frontend
- qtsensors5-doc (5.11.3-2)
- Qt 5 Sensors documentation
- qtsensors5-doc-html (5.11.3-2)
- Qt 5 Sensors HTML documentation
- qtsensors5-examples (5.11.3-2)
- Qt 5 sensors examples
- qtserialbus5-doc (5.11.3-2)
- Qt serialbus serial bus access QCH documentation
- qtserialbus5-doc-html (5.11.3-2)
- Qt serialbus serial bus access HTML documentation
- qtserialbus5-examples (5.11.3-2)
- Qt 5 Serialbus serial bus access examples
- qtserialport5-doc (5.11.3-2)
- Qt 5 serial port documentation
- qtserialport5-doc-html (5.11.3-2)
- Qt 5 serial port HTML documentation
- qtsmbstatus-client (2.2.1-3+b1)
- GUI (graphical user interface) for smbstatus (client)
- qtsmbstatus-language (2.2.1-3)
- QtSmbstatus languages package
- qtsmbstatus-light (2.2.1-3+b1)
- GUI (graphical user interface) for smbstatus
- qtsmbstatus-server (2.2.1-3+b1)
- GUI (graphical user interface) for smbstatus (server)
- qtspeech5-doc (5.11.3-3)
- Speech library for Qt - documentation
- qtspeech5-doc-html (5.11.3-3)
- Speech library for Qt - HTML documentation
- qtspeech5-examples (5.11.3-3)
- Speech library for Qt - Examples
- qtspeech5-flite-plugin (5.11.3-3)
- Speech library for Qt - Flite plugin
- qtspeech5-speechd-plugin (5.11.3-3)
- Speech library for Qt - speechd plugin
- qtsvg-abi-5-6-0
- virtueel pakket geboden door libqt5svg5
- qtsvg5-doc (5.11.3-2)
- Qt 5 SVG documentation
- qtsvg5-doc-html (5.11.3-2)
- Qt 5 SVG HTML documentation
- qtsvg5-examples (5.11.3-2)
- Qt 5 SVG examples
- qttools5-dev (5.11.3-4)
- Qt 5 tools development files
- qttools5-dev-tools (5.11.3-4)
- Qt 5 development tools
- qttools5-doc (5.11.3-4)
- Qt 5 tools documentation
- qttools5-doc-html (5.11.3-4)
- Qt 5 tools HTML documentation
- qttools5-examples (5.11.3-4)
- Qt 5 tools examples
- qttools5-private-dev (5.11.3-4)
- Qt 5 tools private development files
- qttranslations5-l10n (5.11.3-2)
- translations for Qt 5
- qtvirtualkeyboard-plugin (5.11.3+dfsg-2)
- Qt virtual keyboard
- qtvirtualkeyboard5-doc (5.11.3+dfsg-2)
- Qt 5 Virtual Keyboard documentation
- qtvirtualkeyboard5-doc-html (5.11.3+dfsg-2)
- Qt 5 Virtual Keyboard HTML documentation
- qtvirtualkeyboard5-examples (5.11.3+dfsg-2)
- Qt virtual keyboard examples
- qtwayland5 (5.11.3-2)
- QtWayland platform plugin
- qtwayland5-dev-tools (5.11.3-2)
- Qt 5 Wayland developer tools
- qtwayland5-doc (5.11.3-2)
- Qt 5 Wayland Compositor documentation
- qtwayland5-doc-html (5.11.3-2)
- Qt 5 Wayland Compositor HTML documentation
- qtwayland5-examples (5.11.3-2)
- Qt 5 Wayland examples
- qtwebchannel5-doc (5.11.3-2)
- Web communication library for Qt - Documentation
- qtwebchannel5-doc-html (5.11.3-2)
- Web communication library for Qt - HTML Documentation
- qtwebchannel5-examples (5.11.3-2)
- Web communication library for Qt - Examples
- qtwebengine5-dev (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt - development files
- qtwebengine5-dev-tools (5.11.3+dfsg-2+deb10u1)
- Qt WebEngine tools
- qtwebengine5-doc (5.11.3+dfsg-2+deb10u1)
- Qt 5 webengine documentation
- qtwebengine5-doc-html (5.11.3+dfsg-2+deb10u1)
- Qt 5 webengine HTML documentation
- qtwebengine5-examples (5.11.3+dfsg-2+deb10u1)
- Qt WebEngine - Examples
- qtwebengine5-private-dev (5.11.3+dfsg-2+deb10u1)
- Web content engine library for Qt - private development files
- qtwebsockets5-doc (5.11.3-5)
- Qt 5 Web Sockets documentation
- qtwebsockets5-doc-html (5.11.3-5)
- Qt 5 Web Sockets HTML documentation
- qtwebsockets5-examples (5.11.3-5)
- Examples for Qt 5 Web Sockets module
- qtwebview5-doc (5.11.3-2)
- display web content in a QML application - Documentation
- qtwebview5-doc-html (5.11.3-2)
- display web content in a QML application - HTML Documentation
- qtwebview5-examples (5.11.3-2)
- display web content in a QML application - Examples
- (5.11.3-2)
- Qt 5 X11 extras documentation
- (5.11.3-2)
- Qt 5 X11 extras HTML documentation
- qtxdg-dev-tools (3.3.1-2)
- Development tools for libqtxdgiconloader
- qtxmlpatterns5-dev-tools (5.11.3-2)
- Qt 5 XML patterns development programs
- qtxmlpatterns5-doc (5.11.3-2)
- Qt 5 XML patterns documentation
- qtxmlpatterns5-doc-html (5.11.3-2)
- Qt 5 XML patterns HTML documentation
- qtxmlpatterns5-examples (5.11.3-2)
- Qt 5 XML patterns examples
- quadrapassel (1:3.22.0-3)
- popular Russian game, similar to Tetris
- quagga (1.2.4-3)
- network routing daemons (metapackage)
- quagga-bgpd (1.2.4-3)
- BGP4/BGP4+ routing daemon
- quagga-core (1.2.4-3)
- network routing daemons (core abstraction layer)
- quagga-doc (1.2.4-3)
- network routing daemons (documentation)
- quagga-isisd (1.2.4-3)
- IS-IS routing daemon
- quagga-ospf6d (1.2.4-3)
- OSPF6 routing daemon
- quagga-ospfd (1.2.4-3)
- OSPF routing daemon
- quagga-pimd (1.2.4-3)
- PIM routing daemon
- quagga-ripd (1.2.4-3)
- RIPv1 routing daemon
- quagga-ripngd (1.2.4-3)
- RIPng routing daemon
- quake (63) [contrib]
- classic gothic/horror-themed first person shooter
- quake-engine
- virtueel pakket geboden door ezquake, darkplaces, quakespasm
- quake-engine-server
- virtueel pakket geboden door darkplaces-server, quakespasm
- quake-server (63) [contrib]
- classic first person shooter - server and init script
- quake2 (63) [contrib]
- classic science-fiction-themed first person shooter
- quake2-engine
- virtueel pakket geboden door yamagi-quake2
- quake2-engine-server
- virtueel pakket geboden door yamagi-quake2-core
- quake2-server (63) [contrib]
- dedicated server for Quake II
- quake3 (63) [contrib]
- Quake III Arena menu entry and launcher scripts
- quake3-server (63) [contrib]
- Quake III Arena dedicated server launcher scripts
- quake4 (63) [contrib]
- science-fiction-themed first person shooter
- quake4-server (63) [contrib]
- dedicated server for Quake 4
- quakespasm (0.93.1+dfsg-1)
- engine for iD software's Quake
- quantlib-examples (1.15-1)
- Quantitative Finance Library -- example binaries
- quantlib-python (1.15-1)
- Python3 bindings for the Quantlib Quantitative Finance library
- quantlib-refman-html (1.12-1)
- Quantitative Finance Library -- reference manual in html
- quantum-espresso (6.3-4)
- Electronic-Structure and Ab-Initio Molecular Dynamics Suite
- quantum-espresso-data (6.3-4)
- Electronic-Structure and Ab-Initio Molecular Dynamics Suite (Documentation)
- quarry (0.2.0.dfsg.1-4.1+b1)
- Board games Go, Amazons, and Reversi (a.k.a. Othello)
- quassel (1:0.13.1-1+deb10u2)
- distributed IRC client - monolithic core+client
- quassel-client (1:0.13.1-1+deb10u2)
- distributed IRC client - client component
- quassel-core (1:0.13.1-1+deb10u2)
- distributed IRC client - core component
- quassel-data (1:0.13.1-1+deb10u2)
- distributed IRC client - shared data
- quaternion (0.0.9.3-1)
- desktop IM client for the Matrix protocol
- quelcom (0.4.0-13+b2)
- Command line editing tools for MP3 and WAV files
- quickcal (2.4-1)
- fast and easy to use calculator with support for filing
- quickml (0.7-5.1)
- Very-easy-to-use mailing list system
- quickplot (1.0.1~rc-1+b2)
- fast interactive 2D plotter and data viewer
- quickroute-gps (2.4-15)
- GPS analysis software for getting your route on the map
- quicktime-utils (2:1.2.4-12+b2)
- library for reading and writing Quicktime files (utilities)
- quicktime-x11utils (2:1.2.4-12+b2)
- library for reading and writing Quicktime files (x11 utilities)
- quicktun (2.2.6-2+b1)
- very simple, yet secure VPN software
- quilt (0.65-3)
- Tool to work with series of patches
- quilt-el (0.65-3)
- simple Emacs interface of quilt
- quisk (4.1.15-1)
- Software Defined Radio (SDR)
- quitcount (3.1.4-1)
- Small tool which may help yourself quit smoking
- (0.18.12+dfsg-1)
- RSS/Atom news feeds reader
- quodlibet (4.2.1-1)
- audio library manager and player for GTK3
- quodlibet-plugins
- virtueel pakket geboden door exfalso
- quorum (1.1.1-2)
- QUality Optimized Reads of genomic sequences
- quota (4.04-2+deb10u1)
- disk quota management tools
- quotatool (1:1.6.2-5)
- non-interactive command line tool to edit disk quotas
- qupzilla-plugin-kwallet (3.0.0-3)
- transitional package for qupzilla-plugin-kwallet
- qutebrowser (1.6.1-2)
- Keyboard-driven, vim-like browser based on PyQt5
- qutebrowser-qtwebengine (1.6.1-2)
- QtWebEngine backend dependency package for qutebrowser
- qutebrowser-qtwebkit (1.6.1-2)
- QtWebKit backend dependency package for qutebrowser
- qutemol (0.4.1~cvs20081111-12)
- interactive visualization of macromolecules
- quvi (0.9.4-1.1+b1)
- command line program to extract video download links
- qv4l2 (1.16.3-3)
- Test bench application for video4linux devices
- qviaggiatreno (2013.7.3-9)
- Qt tool to monitor the Italian railway traffic
- qwbfsmanager (1.2.1-1.1+b3 [amd64, armhf, i386], 1.2.1-1.1+b2 [arm64])
- graphical file manager for the WBFS filesystem
- qweborf (0.15-1)
- Shares files using the HTTP protocol
- qwinff (0.2.1-1+deb10u1)
- GUI for FFmpeg
- qwo (0.5-3)
- efficient input method for touch screens
- qxgedit (0.5.0-1)
- MIDI System Exclusive files editor
- qxp2epub (0.9.6-2)
- QuarkXPress to EPUB converter
- qxp2odg (0.9.6-2)
- QuarkXPress to OpenDocument graphics converter
- qxw (20140331-1+b1)
- advanced interactive crossword construction tool
- r-api-3.5
- virtueel pakket geboden door r-base-core
- r-api-bioc-3.8
- virtueel pakket geboden door r-bioc-biocgenerics
- r-base (3.5.2-1)
- GNU R statistical computation and graphics system
- r-base-core (3.5.2-1)
- GNU R core of statistical computation and graphics system
- r-base-core-dbg (3.5.2-1)
- GNU R debug symbols for statistical comp. language and environment
- r-base-dev (3.5.2-1)
- GNU R installation of auxiliary GNU R packages
- r-base-html (3.5.2-1)
- GNU R html docs for statistical computing system functions
- r-base-latex
- virtueel pakket geboden door r-base-core
- r-bioc-affy (1.60.0-1)
- BioConductor methods for Affymetrix Oligonucleotide Arrays
- r-bioc-affyio (1.52.0-1)
- BioConductor tools for parsing Affymetrix data files
- r-bioc-altcdfenvs (1:2.44.0-1)
- BioConductor alternative CDF environments
- r-bioc-annotate (1.60.0+dfsg-1)
- BioConductor annotation for microarrays
- r-bioc-annotationdbi (1.44.0-1)
- GNU R Annotation Database Interface for BioConductor
- r-bioc-annotationfilter (1.6.0+dfsg-1)
- facilities for filtering Bioconductor annotation resources
- r-bioc-annotationhub (2.14.3+dfsg-1)
- GNU R client to access AnnotationHub resources
- r-bioc-aroma.light (3.12.0-1)
- BioConductor methods normalization and visualization of microarray data
- r-bioc-biobase (2.42.0-1)
- base functions for Bioconductor
- r-bioc-biocgenerics (0.28.0-2)
- generic functions for Bioconductor
- r-bioc-biocinstaller (1.32.1-1)
- Install/Update Bioconductor and CRAN Packages
- r-bioc-biocparallel (1.16.6-1)
- BioConductor facilities for parallel evaluation
- r-bioc-biomart (2.38.0+dfsg-1)
- GNU R Interface to BioMart databases (Ensembl, COSMIC, Wormbase and Gramene)
- r-bioc-biomformat (1.10.1+dfsg-1)
- GNU R interface package for the BIOM file format
- r-bioc-biostrings (2.50.2-1)
- GNU R string objects representing biological sequences
- r-bioc-biovizbase (1.30.1-1)
- GNU R basic graphic utilities for visualization of genomic data
- r-bioc-bitseq (1.26.1+dfsg-1)
- transcript expression inference and analysis for RNA-seq data
- r-bioc-bsgenome (1.50.0-1)
- BioConductor infrastructure for Biostrings-based genome data packages
- r-bioc-cner (1.18.1+dfsg-1)
- CNE Detection and Visualization
- r-bioc-cummerbund (2.24.0-2)
- tool for analysis of Cufflinks RNA-Seq output
- r-bioc-delayedarray (0.8.0+dfsg-2)
- BioConductor delayed operations on array-like objects
- r-bioc-deseq2 (1.22.2+dfsg-1)
- R package for RNA-Seq Differential Expression Analysis
- r-bioc-dirichletmultinomial (1.24.1-1)
- Dirichlet-Multinomial Mixture Model Machine Learning for Microbiome Data
- r-bioc-dnacopy (1.56.0-1)
- R package: DNA copy number data analysis
- r-bioc-ebseq (1.22.1-2)
- R package for RNA-Seq Differential Expression Analysis
- r-bioc-ensembldb (2.6.5+dfsg-1)
- GNU R utilities to create and use an Ensembl based annotation database
- r-bioc-genefilter (1.64.0-1)
- methods for filtering genes from microarray experiments
- r-bioc-geneplotter (1.60.0-1)
- R package of functions for plotting genomic data
- r-bioc-genomeinfodb (1.18.1-1)
- BioConductor utilities for manipulating chromosome identifiers
- r-bioc-genomeinfodbdata (1.2.0-1)
- BioConductor species and taxonomy ID look up tables
- r-bioc-genomicalignments (1.18.1-1)
- BioConductor representation and manipulation of short genomic alignments
- r-bioc-genomicfeatures (1.34.3+dfsg-1)
- GNU R tools for making and manipulating transcript centric annotations
- r-bioc-genomicranges (1.34.0+dfsg-1)
- BioConductor representation and manipulation of genomic intervals
- r-bioc-go.db (3.7.0-1)
- annotation maps describing the entire Gene Ontology
- r-bioc-graph (1.60.0-1)
- handle graph data structures for BioConductor
- r-bioc-gviz (1.26.4-1)
- Plotting data and annotation information along genomic coordinates
- r-bioc-hilbertvis (1.40.0-1)
- GNU R package to visualise long vector data
- r-bioc-hypergraph (1.54.0-1)
- BioConductor hypergraph data structures
- r-bioc-impute (1.56.0-1)
- Imputation for microarray data
- r-bioc-interactivedisplaybase (1.20.0+dfsg-1)
- base package for enabling powerful shiny web displays of Bioconductor objects
- r-bioc-iranges (2.16.0-1)
- GNU R low-level containers for storing sets of integer ranges
- r-bioc-keggrest (1.22.0+dfsg-1)
- GNU R client-side REST access to KEGG
- r-bioc-limma (3.38.3+dfsg-1)
- linear models for microarray data
- r-bioc-makecdfenv (1.58.0-1)
- BioConductor CDF Environment Maker
- r-bioc-mergeomics (1.10.0-1)
- Integrative network analysis of omics data
- r-bioc-metagenomeseq (1.24.1-1)
- GNU R statistical analysis for sparse high-throughput sequencing
- r-bioc-multtest (2.38.0-1)
- Bioconductor resampling-based multiple hypothesis testing
- r-bioc-pcamethods (1.74.0-1)
- BioConductor collection of PCA methods
- r-bioc-phyloseq (1.26.1+dfsg-1)
- GNU R handling and analysis of high-throughput microbiome census data
- r-bioc-preprocesscore (1.44.0-1)
- BioConductor collection of pre-processing functions
- r-bioc-protgenerics (1.14.0-1)
- S4 generic functions for Bioconductor proteomics infrastructure
- r-bioc-qvalue (2.14.1-1)
- GNU R package for Q-value estimation for FDR control
- r-bioc-rbgl (1.58.1+dfsg-1)
- R interface to the graph algorithms contained in the BOOST library
- r-bioc-rsamtools (1.34.1-1)
- GNU R binary alignment (BAM), variant call (BCF), or tabix file import
- r-bioc-rtracklayer (1.42.1-2)
- GNU R interface to genome browsers and their annotation tracks
- r-bioc-s4vectors (0.20.1-2)
- BioConductor S4 implementation of vectors and lists
- r-bioc-savr (1.20.0-1)
- GNU R parse and analyze Illumina SAV files
- r-bioc-seqlogo (1.48.0-1)
- GNU R sequence logos for DNA sequence alignments
- r-bioc-shortread (1.40.0-1)
- GNU R classes and methods for high-throughput short-read sequencing data
- r-bioc-snpstats (1.32.0+dfsg-1)
- BioConductor SnpMatrix and XSnpMatrix classes and methods
- r-bioc-summarizedexperiment (1.12.0+dfsg-1)
- BioConductor assay container
- r-bioc-tfbstools (1.20.0+dfsg-1)
- GNU R Transcription Factor Binding Site (TFBS) Analysis
- r-bioc-variantannotation (1.28.10-1)
- BioConductor annotation of genetic variants
- r-bioc-xvector (0.22.0-1)
- BioConductor representation and manpulation of external sequences
- r-bioc-zlibbioc (1.28.0+dfsg-1)
- (Virtual) zlibbioc Bioconductor package
- r-cran-abind (1.4-5-1.2)
- GNU R abind multi-dimensional array combination function
- r-cran-acepack (1.4.1-2+b4)
- GNU R package for regression transformations
- r-cran-ade4 (1.7-13-1)
- GNU R analysis of ecological data
- r-cran-adegenet (2.1.1-2)
- GNU R exploratory analysis of genetic and genomic data
- r-cran-adegraphics (1.0-15-1)
- GNU R lattice-based package for the representation of multivariate data
- r-cran-adephylo (1.1-11-3)
- GNU R exploratory analyses for the phylogenetic comparative method
- r-cran-aer (1.2-6-1)
- Applied Econometrics with R
- r-cran-afex (0.22-1-2)
- GNU R package for analyzing factorial experiments using ANOVA or mixed models
- r-cran-alakazam (0.2.11-1)
- Immunoglobulin Clonal Lineage and Diversity Analysis
- r-cran-amelia (1.7.5-1+b2)
- GNU R package supporting multiple imputation of missing data
- r-cran-amore (0.2-15-3)
- GNU R: A MORE flexible neural network package
- r-cran-animation (2.6+dfsg-1)
- GNU R gallery of animations and utilities to create animations
- r-cran-ape (5.2-1)
- GNU R package for Analyses of Phylogenetics and Evolution
- r-cran-aplpack (1.3.2-1)
- Another Plot PACKage: stem.leaf, bagplot, faces, spin3R and others
- r-cran-arm (1.10-1-2)
- Data Analysis Using Regression and Multilevel/Hierarchical Models
- r-cran-askpass (1.1-1)
- safe password entry for GNU R, Git, and SSH
- r-cran-assertthat (0.2.0-2)
- GNU R easy pre and post assertions
- r-cran-backports (1.1.3-1)
- reimplementation of functions introduced since R-3.0.0
- r-cran-base64enc (0.1-3-2)
- GNU R package that provides tools for base64 encoding
- r-cran-base64url (1.4-1)
- GNU R fast and URL-safe Base64 encoder and decoder
- r-cran-batchjobs (1.7-2)
- GNU R batch computing
- r-cran-batchtools (0.9.11-2)
- GNU R tools for computation on batch systems
- r-cran-bayesfactor (0.9.12-4.2-1+b1)
- GNU R Bayes factors for t-tests, ANOVAs and contingency tables
- r-cran-bayesm (3.1-1-1)
- GNU R package for Bayesian inference
- r-cran-bayesplot (1.6.0-1)
- GNU R plotting for bayesian models
- r-cran-bbmisc (1.11-3)
- GNU R Miscellaneous helper functions for B. Bischl
- r-cran-bbmle (1.0.20-4)
- GNU R tools for general maximum likelihood estimation
- r-cran-bdsmatrix (1.3-3-2)
- GNU R routines for block diagonal symmetric matrices
- r-cran-beeswarm (0.2.3-3)
- bee swarm plot, an alternative to stripchart
- r-cran-bh (1.66.0-1)
- (Virtual) GNU R package to provide BH
- r-cran-biasedurn (1.07-3)
- GNU R Biased Urn model distributions
- r-cran-bibtex (0.4.2-2)
- GNU R Bibtex Parser
- r-cran-bigmemory (4.5.33-2)
- Manage Massive Matrices with Shared Memory and Memory-Mapped Files
- r-cran-bigmemory.sri (0.1.3-2)
- Shared resource interface for Bigmemory Project packages
- r-cran-bindr (0.1.1-2)
- Parametrized Active Bindings for GNU R
- r-cran-bindrcpp (0.2.2-2)
- GNU R 'Rcpp' Interface to Active Bindings
- r-cran-bio3d (2.3-4-2)
- GNU R package for biological structure analysis
- r-cran-biocmanager (1.30.4+dfsg-1)
- Access the Bioconductor Project Package Repository
- r-cran-bit (1.1-14-1+b1)
- GNU R class for vectors of 1-bit booleans
- r-cran-bit64 (0.9-7-3)
- GNU R S3 Class for Vectors of 64bit Integers
- r-cran-bitops (1.0-6-4)
- GNU R package implementing bitwise operations
- r-cran-blme (1.0-4-2)
- GNU R Bayesian linear mixed-effects models
- r-cran-blob (1.1.1-2)
- GNU R S3 Class for Representing Vectors of Binary Data ('BLOBS')
- r-cran-blockmodeling (0.3.4-1)
- Generalized and classical blockmodeling of valued networks
- r-cran-bms (0.3.4-4)
- GNU R package for Bayesian model averaging for linear models
- r-cran-bold (0.8.6+dfsg-2)
- GNU R interface to Bold Systems for genetic barcode data
- r-cran-boolnet (2.1.4-1)
- assembling, analyzing and visualizing Boolean networks
- r-cran-boot (1.3-20-2)
- GNU R package for bootstrapping functions from Davison and Hinkley
- r-cran-bradleyterry2 (1.0-8-2)
- GNU R package for using Bradley-Terry models
- r-cran-brew (1.0-6-3)
- GNU R templating framework for report generation
- r-cran-brglm (0.6.1-2)
- GNU R package for bias reduction in binomial-response GLMs
- r-cran-bridgesampling (0.6-0-1)
- GNU R bridge sampling for marginal likelihoods and Bayes factors
- r-cran-brobdingnag (1.2-6-1)
- Very Large Numbers in R
- r-cran-broom (0.5.1+dfsg-1)
- convert statistical analysis objects into tidy data frames with GNU R
- r-cran-ca (0.71-1)
- GNU R package for simple, multiple and joint correspondence analysis
- r-cran-cairo (1.5-9-3)
- GNU R graphics device using cairo graphics library
- r-cran-cairodevice (2.25-2)
- GNU R Cairo/Gtk2 device driver package
- r-cran-calibrate (1.7.2-3)
- Calibration of Scatterplot and Biplot Axes
- r-cran-callr (3.1.1-3)
- Call GNU R from GNU R
- r-cran-car (3.0-2-1)
- GNU R Companion to Applied Regression by John Fox
- r-cran-cardata (3.0.2-1)
- GNU R package for datasets for Companion to Applied Regression
- r-cran-caret (6.0-81-2)
- GNU R package for classification and regression training
- r-cran-catools (1.17.1.1-1)
- GNU R package providing various utility functions
- r-cran-cellranger (1.1.0-2)
- GNU R package to map spreadsheet cell ranges to rows and columns
- r-cran-checkmate (1.9.1-1)
- GNU R fast and versatile argument checks
- r-cran-chron (2.3-53-1)
- GNU R package for chronologically ordered objects
- r-cran-circlize (0.4.5-1)
- Circular Visualization
- r-cran-class (7.3-15-1)
- GNU R package for classification
- r-cran-classint (0.3-1-1)
- GNU R Choose Univariate Class Intervals
- r-cran-cli (1.0.1-1)
- GNU R helpers for developing command line interfaces
- r-cran-cliapp (0.1.0-1)
- create rich command line applications in GNU R
- r-cran-clipr (0.5.0-1)
- Read and Write from the System Clipboard
- r-cran-clisymbols (1.2.0-1)
- Unicode Symbols at the R Prompt
- r-cran-cluster (2.0.7-1-1+b3)
- GNU R package for cluster analysis by Rousseeuw et al
- r-cran-clustergeneration (1.3.4-2)
- GNU R random cluster generation (with specified degree of separation)
- r-cran-cmprsk (2.2-7-4+b1)
- GNU R subdistribution analysis of competing risks
- r-cran-coda (0.19-2-1)
- Output analysis and diagnostics for MCMC simulations in R
- r-cran-codetools (0.2-16-1)
- GNU R package providing code analysis tools
- r-cran-coin (1.2-2-4+b1)
- GNU R package providing conditional inference procedures
- r-cran-colorspace (1.4-0+dfsg-1)
- GNU R Color Space Manipulation
- r-cran-colourpicker (1.0+dfsg-2)
- GNU R colour picker tool for selecting colours in plots
- r-cran-combinat (0.0-8-6)
- GNU R package with utilities for combinatorics
- r-cran-commonmark (1.7-1)
- high performance CommonMark and Github markdown rendering in R
- r-cran-contfrac (1.1-12-1+b1)
- GNU R package providing various utilities for evaluating continued fractions
- r-cran-conting (1.6.1-2)
- GNU R package for Bayesian analysis of contingency tables
- r-cran-corpcor (1.6.9-2)
- GNU R for Estimation of Covariance and Correlation -- corpcor
- r-cran-crayon (1.3.4-4)
- GNU R colored terminal output
- r-cran-crosstalk (1.0.0+dfsg-3)
- GNU R inter-widget interactivity for HTML widgets
- r-cran-crul (0.7.0+dfsg-1)
- simple HTTP Client for GNU R
- r-cran-cubature (2.0.3-1)
- GNU R package for adaptive multivariate integration
- r-cran-curl (3.3+dfsg-1)
- GNU R modern and flexible web client for R
- r-cran-cvst (0.2-2-2)
- GNU R fast cross-validation via sequential testing
- r-cran-data.table (1.12.0+dfsg-1)
- GNU R extension of Data.frame
- r-cran-date (1.2.38-1+b1)
- GNU R package for date handling
- r-cran-dbi (1.0.0-2)
- GNU R package providing a generic database interface
- r-cran-dbitest (1.5-2-2)
- GNU R testing 'DBI' back ends
- r-cran-dbplyr (1.3.0-1)
- GNU R dplyr back end for databases
- r-cran-ddalpha (1.3.8-1)
- GNU R depth-based classification and calculation of data depth
- r-cran-deal (1:1.2-39-1)
- Learning Bayesian Networks with Mixed Variables
- r-cran-deldir (0.1-16-1)
- GNU R Delaunay Triangulation and Dirichlet (Voronoi) Tessellation
- r-cran-dendextend (1.9.0+dfsg-1)
- Extending 'dendrogram' Functionality in GNU R
- r-cran-deoptimr (1.0-8-1+b2)
- GNU R package for Diffential Evolution in pure R
- r-cran-desc (1.2.0-2)
- GNU R manipulation of DESCRIPTION files
- r-cran-desolve (1.21-1+b2)
- GNU R package providing functions that solve initial value problems
- r-cran-devtools (2.0.1-1)
- Tools to Make Developing R Packages Easier
- r-cran-diagnosismed (0.2.3-6)
- medical diagnostic test accuracy analysis toolkit
- r-cran-dichromat (1:2.0-0-2)
- GNU R color schemes for dichromats
- r-cran-digest (0.6.18-1)
- GNU R package for 'hash digest' of R data structures
- r-cran-dimred (0.2.2-1)
- GNU R framework for dimensionality reduction
- r-cran-diptest (0.75-7-1)
- Hartigan's Dip Test Statistic for Unimodality - Corrected
- r-cran-distory (1.4.3-2+b1)
- GNU R distance between phylogenetic histories
- r-cran-domc (1.3.5-2)
- GNU R parallel excution backend for %dopar% using multicore
- r-cran-doparallel (1.0.14-1)
- GNU R foreach parallel adaptor for the parallel package
- r-cran-dorng (1.7.1-1)
- GNU R generic reproducible parallel backend for 'foreach' loops
- r-cran-dosefinding (0.9-16-2+b1)
- Planning and Analyzing Dose Finding experiments
- r-cran-dosnow (1.0.16-2)
- GNU R parallel excution backend for %dopar% using snow
- r-cran-dotcall64 (1.0-0-1)
- Enhanced Foreign Function Interface Supporting Long Vectors
- r-cran-downloader (0.4-3)
- GNU R package for downloading files over http and https
- r-cran-dplyr (0.7.8-1)
- GNU R grammar of data manipulation
- r-cran-drr (0.0.3-2)
- GNU R dimensionality reduction via regression
- r-cran-dt (0.5+dfsg-1)
- GNU R wrapper of the JavaScript library 'DataTables'
- r-cran-dygraphs (1.1.1.6+dfsg-1)
- GNU R interface to 'Dygraphs' interactive time series charting library
- r-cran-dynlm (0.3.6-1)
- GNU R package for dynamic linear models and time series regression
- r-cran-e1071 (1.7-0.1-1)
- GNU R package with miscellaneous functions of the Dept of Statisics (e1071)
- r-cran-eaf (1.8-2)
- GNU R plots of the empirical attainment function
- r-cran-earth (4.7.0-1)
- GNU R multivariate adaptive regression splines
- r-cran-eco (4.0-1-2+b1)
- GNU R routines for Bayesian ecological inference
- r-cran-ecodist (2.0.1-1+b4)
- GNU R package for dissimilarity-based ecological analysis
- r-cran-effects (4.1.0-1)
- GNU R graphical and tabular effects display for glm models
- r-cran-ei (1.3-3-2)
- GNU R ecological inference
- r-cran-eipack (0.1-8-1)
- GNU R ecological inference and higher-dimension data management
- r-cran-ellipse (0.4.1-2)
- GNU R functions for drawing ellipses and ellipse-like confidence regions
- r-cran-elliptic (1.3-9-1)
- GNU R package providing elliptic and related functions
- r-cran-emmeans (1.3.2-1)
- GNU R estimated marginal means, aka least-squares means
- r-cran-emoa (0.5-0-2)
- GNU R evolutionary multiobjective optimization algorithms
- r-cran-energy (1.7-5-1)
- GNU R package for energy statistics for distribution comparison
- r-cran-epi (2.32-2)
- GNU R epidemiological analysis
- r-cran-epibasix (1.5-1)
- GNU R Elementary Epidemiological Functions
- r-cran-epicalc (2.15.1.0-4)
- GNU R Epidemiological calculator
- r-cran-epir (0.9-99-1)
- GNU R Functions for analysing epidemiological data
- r-cran-epitools (1:0.5-10-2)
- GNU R Epidemiology Tools for Data and Graphics
- r-cran-erm (0.16-2-1)
- GNU R package for 'extended Rasch modelling'
- r-cran-estimability (1.3-2)
- GNU R package providing tools for determining estimability of linear functions
- r-cran-etm (1.0.4-2)
- GNU R empirical transition matrix
- r-cran-evaluate (0.13-1)
- GNU R parsing and evaluation tools
- r-cran-evd (2.3-3-2)
- GNU R Functions for extreme value distributions
- r-cran-expm (0.999-3-1)
- GNU R Computation of the matrix exponential and related quantities
- (1.8.10-1)
- additional univariate and multivariate distributions for GNU R
- r-cran-factominer (1.41-2)
- Multivariate Exploratory Data Analysis and Data Mining
- r-cran-fail (1.3-3)
- GNU R File Abstraction Interface Layer (FAIL) mimicking a key-value store
- r-cran-fansi (0.4.0-1)
- GNU R ANSI control sequence aware string functions
- r-cran-fasianoptions (3042.82-1+b2)
- GNU R package for financial engineering -- fAsianOptions
- r-cran-fassets (3042.84-1+b1)
- GNU R package for financial engineering -- fAssets
- r-cran-fastcluster (1.1.25-2)
- Fast hierarchical clustering routines for GNU R
- r-cran-fastica (1.2-1-1+b2)
- GNU R package for ICA and Projection Pursuit
- r-cran-fastmatch (1.1-0-2)
- GNU R package for fast match replacement for repeated look-ups
- r-cran-fauxpas (0.2.0+dfsg-1)
- GNU R HTTP error helpers
- r-cran-fbasics (3042.89-2+b1)
- GNU R package for financial engineering -- fBasics
- r-cran-fbonds (3042.78-3)
- GNU R package for financial engineering -- fBonds
- r-cran-fcopulae (3042.82-1+b1)
- GNU R package for financial engineering -- fCopulae
- r-cran-fexoticoptions (3042.80-2)
- GNU R package for financial engineering -- fExoticOptions
- r-cran-fextremes (3042.82-2)
- GNU R package for financial engineering -- fExtremes
- r-cran-ffield (0.1.0-2)
- Force field simulation for a set of points
- r-cran-fgarch (3042.83.1-1)
- GNU R package for financial engineering -- fGarch
- r-cran-fields (9.6-3+b1)
- GNU R tools for spatial data
- r-cran-filehash (2.4-1-3)
- GNU R simple key-value database
- r-cran-fimport (3042.85-2)
- GNU R package for financial engineering -- fImport
- r-cran-fitbitscraper (0.1.8-5)
- Import your Fitbit data from the Fitbit's website into R
- r-cran-fitcoach (1.0-3)
- R package for analysis and retrieve data of Fitbit
- r-cran-flashclust (1.01-2-2+b1)
- Implementation of optimal hierarchical clustering
- r-cran-flexmix (2.3-14-1)
- GNU R flexible mixture modeling
- r-cran-fmultivar (3042.80-2)
- GNU R package for financial engineering -- fMultivar
- r-cran-fnn (1.1.2.2-1)
- GNU R fast nearest neighbor search algorithms and applications
- r-cran-fnonlinear (3042.79-1+b2)
- GNU R package for financial engineering -- fNonlinear
- r-cran-foptions (3042.86-1+b2)
- GNU R package for financial engineering -- fOptions
- r-cran-forcats (0.3.0-2)
- GNU R package for working with categorical variables (factors)
- r-cran-foreach (1.4.4-2)
- GNU R foreach looping support
- r-cran-foreign (0.8.71-1)
- GNU R package to read/write data from other stat. systems
- r-cran-formatr (1.5-3)
- Format R code automatically
- r-cran-formula (1.2-3-2)
- GNU R package for extended model formulas
- r-cran-fpc (2.1-11.1-1)
- GNU R flexible procedures for clustering
- r-cran-fportfolio (3042.83-1+b1)
- GNU R package for financial engineering -- fPortfolio
- r-cran-fregression (3042.82-1+b1)
- GNU R package for financial engineering -- fRegression
- r-cran-fs (1.2.6+dfsg-1)
- GNU R cross-platform file system operations
- r-cran-ftrading (3042.79-2)
- GNU R package for financial engineering -- fTrading
- r-cran-fts (0.9.9.2-1)
- GNU R interface to tslib
- r-cran-funitroots (3042.79-1+b2)
- GNU R package for financial engineering -- fUnitRoots
- r-cran-futile.logger (1.4.3-3)
- logging utility for GNU R
- r-cran-futile.options (1.0.1-2)
- GNU R futile options management
- r-cran-future (1.11.1.1+dfsg-1)
- R package: A Future API for R
- r-cran-g.data (2.4-3)
- GNU R package for delayed-data
- r-cran-gam (1.16-1)
- Generalized Additive Models for R
- r-cran-gbm (2.1.5-1)
- GNU R package providing Generalized Boosted Regression Models
- r-cran-gclus (1.3.2-1)
- GNU R clustering graphics
- r-cran-gdata (2.18.0-2)
- GNU R package with data manipulation tools by Greg Warnes et al
- r-cran-gdtools (0.1.7-1)
- GNU R utilities for graphical rendering
- r-cran-gee (4.13-19-2+b1)
- Generalized Estimation Equation Solver
- r-cran-geepack (1.2-1-2)
- Generalized Estimating Equation Package for R
- r-cran-genabel (1.8-0-3)
- GNU R package for genome-wide SNP association analysis
- r-cran-genabel.data (1.0.0-3)
- data package for genome-wide SNP association analysis
- r-cran-generics (0.0.2-2)
- GNU R common S3 generics not provided by base R methods
- r-cran-genetics (1.3.8.1.1-1)
- GNU R package for population genetics
- r-cran-geometry (0.3-6+dfsg-2)
- GNU R mesh generation and surface tesselation
- r-cran-geosphere (1.5-7-1)
- GNU R Spherical Trigonometry
- r-cran-getopt (1.20.2-1+b1)
- GNU R package providing command-line parsing functionality
- r-cran-ggally (1.4.0-1)
- GNU R extension to r-cran-ggplot2
- r-cran-ggeffects (0.8.0-1)
- GNU R create tidy data frames of marginal effects for 'ggplot'
- r-cran-ggplot2 (3.1.0-1)
- implementation of the Grammar of Graphics
- r-cran-ggridges (0.5.1-1)
- Ridgeline Plots in 'ggplot2'
- r-cran-ggsci (2.9-2)
- Scientific Journal and Sci-Fi Themed Color Palettes
- r-cran-ggvis (0.4.4+dfsg-1)
- GNU R interactive grammar of graphics
- r-cran-gh (1.0.1-1)
- GNU R Minimal client to access the 'GitHub' 'API'
- r-cran-git2r (0.24.0-1)
- GNU R access to Git repositories
- r-cran-glmmtmb (0.2.3-1)
- Generalized Linear Mixed Models using Template Model Builder
- r-cran-glmnet (2.0-16-2)
- Lasso and Elastic-Net Regularized Generalized Linear Models
- r-cran-globaloptions (0.1.0-1)
- Generate Functions to Get or Set Global Options
- r-cran-globals (0.12.4-1)
- R package: Identify Global Objects in R Expressions
- r-cran-glue (1.3.0-1)
- GNU R interpreted string literals
- r-cran-gmaps (0.2-4)
- GNU R support for producing geographic maps with grid graphics
- r-cran-gmm (1.6-2-2)
- GNU R generalized method of moments and generalized empirical likelihood
- r-cran-gmodels (2.18.1-1)
- GNU R package with tools for model fitting by Greg Warnes et al
- r-cran-gnm (1.1-0-1+b1)
- GNU R package for generalized nonlinear models
- r-cran-goftest (1.1-1-3)
- GNU R Classical Goodness-of-Fit Tests for Univariate Distributions
- r-cran-googlevis (0.6.3+dfsg-1)
- GNU R Interface to Google Charts
- r-cran-gower (0.1.2-2)
- GNU R Gower's Distance
- r-cran-gplots (3.0.1.1-1)
- GNU R package with tools for plotting data by Greg Warnes et al
- r-cran-gregmisc (2.1.5-2)
- GNU R package with miscellaneous functions by Greg Warnes et al
- r-cran-gridbase (0.4-7-4)
- GNU R Integration of base and grid graphics
- (2.3-2)
- GNU R package with extensions for the grid package
- r-cran-gsa (1.03.1-1)
- GNU R gene set analysis
- r-cran-gsl (1.9-10.3-3)
- GNU R wrapper for the GNU Scientific Library
- r-cran-gss (2.1-9-1+b2)
- GNU R package for multivariate estimation using smoothing splines
- r-cran-gtable (0.2.0-3)
- Arrange grobs in tables
- r-cran-gtools (3.8.1-1)
- GNU R package with R programming tools by Greg Warnes et al
- r-cran-guerry (1.6-1-2)
- maps, data and methods related to Guerry moral statistics
- r-cran-haplo.stats (1.7.9-2)
- GNU R package for haplotype analysis
- r-cran-haven (2.1.0-1)
- GNU R package to import/export SPSS, Stata and SAS files
- r-cran-hdf5 (1.6.10-4.1+b3)
- GNU R package interfacing the NCSA HDF5 library
- r-cran-heatmaply (0.15.2+dfsg-1)
- GNU R interactive cluster heat maps using 'plotly'
- r-cran-hexbin (1.27.2-2+b1)
- GNU R hexagonal binning routines
- r-cran-highr (0.7+dfsg-1)
- Syntax Highlighting for R Source Code
- r-cran-hmisc (4.2-0-1)
- GNU R miscellaneous functions by Frank Harrell
- r-cran-hms (0.4.2-2)
- GNU R pretty time of day
- r-cran-htmltable (1.13.1-1)
- GNU R package for advanced html tables
- r-cran-htmltools (0.3.6-2)
- GNU R tools for HTML
- r-cran-htmlwidgets (1.3+dfsg-1)
- GNU R HTML Widgets
- r-cran-httpcode (0.2.0-3)
- GNU R HTTP Status Code Helper
- r-cran-httpuv (1.4.5.1+dfsg-1)
- GNU R package of HTTP and WebSocket Server Library
- r-cran-httr (1.4.0-3)
- GNU R tools for working with URLs and HTTP
- r-cran-hwriter (1.3.2-3)
- HTML Writer - Outputs R objects in HTML format
- r-cran-hypergeo (1.2-13-3)
- GNU R package providing the Gaussian hypergeometric for complex numbers
- r-cran-igraph (1.2.3-1)
- GNU R network analysis and visualization
- r-cran-ini (0.3.1-1)
- Read and Write '.ini' Files
- r-cran-inline (0.3.15-2)
- GNU R package to inline C, C++, Fortran functions from R
- r-cran-int64 (1.1.2-4+b2)
- GNU R package for 64 bit integer types
- r-cran-interp (1.0-31-1)
- GNU R interpolation methods
- r-cran-ipred (0.9-8-1)
- GNU R improved predictors
- r-cran-irace (3.1-1)
- GNU R iterated racing for automatic algorithm configuration
- r-cran-irlba (2.3.2-3+b1)
- GNU R fast truncated SVD, PCA and symmetric eigendecomposition
- r-cran-iso (0.0-17-1)
- GNU R functions to perform isotonic regression
- r-cran-isocodes (2019.02.13-1)
- GNU R package providing tables for several ISO codes
- r-cran-isospec (1.9.1-5)
- Isotopic fine structure calculator for GNU R
- r-cran-isoweek (0.6-2-2)
- GNU R week of the year and weekday according to ISO 8601
- r-cran-iterators (1.0.10-1)
- GNU R iterator support for vectors, lists and other containers
- r-cran-its (1.1.8-7)
- GNU R package for handling irregular time series
- r-cran-jsonld (2.1+dfsg-1)
- GNU R JSON for linking data
- r-cran-jsonlite (1.6+dfsg-1)
- Robust, High Performance JSON Parser and Generator for R
- r-cran-kedd (1.0.3-1)
- Kernel Estimator+Bandwidth Selection - Density+Derivatives
- r-cran-kernlab (0.9-27-1)
- GNU R package for kernel-based machine learning lab
- r-cran-kernsmooth (2.23-15-3+b4)
- GNU R package for kernel smoothing and density estimation
- r-cran-kmi (0.5.4-1)
- GNU R Kaplan-Meier Multiple Imputation
- r-cran-knitr (1.21+dfsg-2)
- GNU R package for dynamic report generation using Literate Programming
- r-cran-labeling (0.3-3)
- GNU R Axis Labeling optimization
- r-cran-lambda.r (1.2.3-2)
- GNU R modeling data with functional programming
- r-cran-later (0.7.5+dfsg-2)
- GNU R utilities for delaying function execution
- r-cran-lattice (0.20-38-1)
- GNU R package for 'Trellis' graphics
- (0.6-28-2+b2)
- GNU R package of additional graphical displays based on lattice
- r-cran-lava (1.6.4-1)
- GNU R latent variable models
- r-cran-lavaan (0.6.3-1)
- GNU R package for latent variable analysis -- lavaan
- r-cran-lazyeval (0.2.1-3)
- GNU R lazy (non-standard) evaluation
- r-cran-leaps (3.0-2)
- Regression Subset Selection
- r-cran-learnbayes (2.15.1-2)
- GNU R functions for learning bayesian inference
- r-cran-lexrankr (0.5.0-2)
- extractive summarization of text with the LexRank algorithm
- r-cran-lhs (1.0.1-1)
- GNU R Latin Hypercube Samples
- r-cran-libcoin (1.0-2-1)
- GNU R linear test statistics for permutation inference
- r-cran-listenv (0.7.0-2)
- R package: Environments Behaving (Almost) as Lists
- r-cran-littler (0.3.6-1)
- GNU R scripting and command-line front-end
- r-cran-lme4 (1.1-20-3)
- GNU R package for linear mixed effects model fitting
- r-cran-lmertest (3.1-0-1)
- GNU R tests in Linear Mixed Effects Models
- r-cran-lmtest (0.9.36-1+b3)
- GNU R package for diagnostic checking in linear models
- r-cran-logspline (2.1.11-1+b1)
- GNU R package providing routines for the logspline density estimation
- r-cran-loo (2.0.0-2)
- GNU R leave-one-out cross-validation and WAIC for Bayesian models
- r-cran-lpsolve (5.6.13-3+b2)
- GNU R package providing linear program solvers
- r-cran-lsmeans (2.30-0-1)
- GNU R package providing least-squares means for various classes of models
- r-cran-lubridate (1.7.4-2)
- simplifies dealing with dates in R
- r-cran-luminescence (0.8.6-1)
- GNU R comprehensive luminescence dating data analysis
- r-cran-lwgeom (0.1-4+dfsg-2)
- GNU R bindings to selected 'liblwgeom' functions for simple features
- r-cran-magic (1.5-9-1)
- GNU R create and investigate magic squares
- r-cran-magick (2.0+dfsg-1)
- advanced graphics and image-processing in GNU R
- r-cran-magrittr (1.5-5)
- GNU R forward-pipe operator
- r-cran-maldiquant (1.18-1)
- GNU R package for quantitative analysis of mass spectrometry data
- r-cran-maldiquantforeign (0.12-1)
- GNU R package providing import/export routines for MALDIquant
- r-cran-manipulatewidgets (0.9.0-2)
- GNU R package for more interactivity in interactive charts
- r-cran-mapdata (2.3.0-2)
- GNU R support for producing geographic maps (supplemental data)
- r-cran-mapproj (1.2.6-2)
- GNU R support for cartographic projections of map data
- r-cran-maps (3.3.0-2)
- GNU R support for producing geographic maps
- r-cran-maptools (1:0.9-4+dfsg-1)
- GNU R Tools for reading and handling spatial objects
- r-cran-maptree (1.4-7-3)
- GNU R mapping, pruning, and graphing tree models
- r-cran-markdown (0.9+dfsg-1)
- GNU R package providing R bindings to the Sundown Markdown rendering library
- r-cran-mass (7.3-51.1-1)
- GNU R package of Venables and Ripley's MASS
- r-cran-matching (4.9-3-1+b1)
- multivariate and propensity score matching with balance optimization
- r-cran-matchit (3.0.2-2)
- GNU R package of nonparametric matching methods
- r-cran-matrix (1.2-15-1)
- GNU R package of classes for dense and sparse matrices
- r-cran-matrixcalc (1.0.3-4)
- GNU R functions for matrix calculations -- matrixcalc
- r-cran-matrixmodels (0.4-1-2)
- GNU R package for sparse and dense matrix models
- r-cran-matrixstats (0.54.0-1)
- GNU R methods that apply to rows and columns of a matrix
- r-cran-maxlik (1.3-4-4)
- GNU R maximum likelihood estimation
- r-cran-mclust (5.4.2-2)
- Gaussian Mixture Modelling for Model-Based Clustering
- r-cran-mcmc (0.9-5-3)
- GNU R package for Markov Chain Monte Carlo simulations
- r-cran-mcmcpack (1.4-4-1)
- R routines for Markov chain Monte Carlo model estimation
- r-cran-mda (0.4-10-2)
- GNU R mixture and flexible discriminant analysis
- r-cran-medadherence (1.03-5)
- GNU R Medication Adherence: Commonly Used Definitions
- r-cran-memoise (1.1.0-2)
- Memoise functions
- r-cran-mertools (0.4.1-1)
- GNU R tools for analyzing mixed effect regression models
- r-cran-metamix (0.3-1)
- GNU R bayesian mixture analysis for metagenomic community profiling
- r-cran-metrics (0.1.4-1)
- GNU R evaluation metrics for machine learning
- r-cran-mfilter (0.1.4-1)
- GNU R package providing miscellaneous time series filters
- r-cran-mgcv (1.8-27-1)
- GNU R package for multiple parameter smoothing estimation
- r-cran-mi (1.0-7)
- GNU R package for Missing Data Imputation and Model Checking -- mi
- r-cran-mime (0.6-1)
- R package which maps filenames to MIME Types
- r-cran-miniui (0.1.1.1-2)
- Shiny UI Widgets for Small Screens
- r-cran-minpack.lm (1.2-1-4+b1)
- GNU R Levenberg-Marquardt nonlinear least-squares algorithm found in MINPACK
- r-cran-minqa (1.2.4-1+b4)
- GNU R package for quadratic optimisation without derivatives
- r-cran-misc3d (0.8-4-3)
- GNU R collection of 3d plot functions and rgl-based isosurfaces
- r-cran-misctools (0.6-22-2)
- GNU R miscellaneous tools and utilities
- r-cran-mitools (2.3-1)
- GNU R tools for multiple imputation of missing data
- r-cran-mixtools (1.1.0-2)
- GNU R tools for analyzing finite mixture models
- r-cran-mlbench (2.1-1-3)
- GNU R Machine Learning Benchmark Problems
- r-cran-mlmetrics (1.1.1-2)
- GNU R machine learning evaluation metrics
- r-cran-mlmrev (1.0-7-1)
- GNU R Examples from Multilevel Modelling Software Review
- r-cran-mlr (2.13-1)
- Machine learning in GNU R
- r-cran-mnormt (1.5-5-2+b4)
- GNU R package providing multivariate normal and t distribution
- r-cran-mnp (3.1-0-2+b1)
- GNU R package for fitting multinomial probit (MNP) models
- r-cran-mockery (0.4.1.1+dfsg-1)
- mocking library for GNU R
- r-cran-mockr (0.1-2)
- mocking in GNU R
- r-cran-modelmetrics (1.2.2-1)
- GNU R Rapid Calculation of Model Metrics
- r-cran-modelr (0.1.3-1)
- GNU R modelling functions that work with the pipe
- r-cran-modeltools (0.2-22-1)
- GNU R package providing a collection of tools to deal with statistical models
- r-cran-msm (1.6.6-2+b1)
- GNU R Multi-state Markov and hidden Markov models in continuous time
- r-cran-multcomp (1.4-8-2)
- GNU R package for multiple comparison procedures
- r-cran-multcompview (0.1-7-2)
- GNU R visualizations of paired comparisons
- r-cran-multicore (0.2-1+b2)
- GNU R parallel processing on multi-core or multi-cpu machines
- r-cran-munsell (0.5.0-1)
- Utilities for using Munsell colors
- r-cran-mvnormtest (0.1-9-1+b2)
- GNU R package for multivariate normality test
- r-cran-mvtnorm (1.0-8-1+b1)
- GNU R package to compute multivariate Normal and T distributions
- r-cran-natserv (0.3.0+dfsg-2)
- GNU R 'NatureServe' Interface
- r-cran-ncdf4 (1.16-2+b1)
- GNU R interface to Unidata netCDF format data files
- r-cran-ncmeta (0.0.3-1)
- GNU R Straightforward 'NetCDF' Metadata
- r-cran-nleqslv (3.3.2-1+b2)
- GNU R package for solving systems of nonlinear equations
- r-cran-nlme (3.1.137-1+b3)
- GNU R package for (non-)linear mixed effects models
- r-cran-nloptr (1.2.1-1)
- GNU R package for interface to NLopt
- r-cran-nlp (0.2-0-1)
- Natural Language Processing Infrastructure for R
- r-cran-nmf (0.21.0-3)
- GNU R framework to perform non-negative matrix factorization
- r-cran-nnet (7.3-12-2+b2)
- GNU R package for feed-forward neural networks
- r-cran-nnls (1.4-3+b1)
- GNU R package for non-negative least squares (the Lawson-Hanson algorithm)
- r-cran-nortest (1.0-4-2)
- GNU R package with five tests for normality
- r-cran-numderiv (2016.8-1-2)
- GNU R package for accurate numerical derivatives
- r-cran-nws (2.0.0.3-5)
- GNU R package for distributed programming via NetWorkSpaces
- r-cran-openssl (1.2.2+dfsg-1)
- GNU R toolkit for encryption, signatures and certificates based on OpenSSL
- r-cran-openxlsx (4.1.0-1)
- GNU R package to read and write XLSX files
- r-cran-optparse (1.6.1-1)
- GNU/R Command line option parser
- r-cran-parallelmap (1.3-1)
- GNU R unified interface to parallelization back-ends
- r-cran-paramhelpers (1.12-1)
- GNU R helpers for parameters in black-box optimization and tuning
- r-cran-pbapply (1.3-4-2)
- GNU R package providing progress bars for vectorized R functions
- r-cran-pbdzmq (0.3.3+dfsg-1+b1)
- R bindings for ZeroMQ from the pbdR project
- r-cran-pbivnorm (0.6.0-3+b1)
- GNU R package for calculating probabilities from a bivariate normal CDF
- r-cran-pbkrtest (0.4-7-3)
- GNU R package for tests in linear mixed-effect models
- r-cran-pbmcapply (1.3.1-1)
- GNU R tracking the progress of Mc*pply with progress bar
- r-cran-permute (0.9-4-3)
- R functions for generating restricted permutations of data
- r-cran-phangorn (2.4.0-2+b1)
- GNU R package for phylogenetic analysis
- r-cran-pheatmap (1.0.12-1)
- GNU R package to create pretty heatmaps
- r-cran-phylobase (0.8.6-1)
- GNU R base package for phylogenetic structures and comparative data
- r-cran-phytools (0.6-60-1)
- GNU R phylogenetic tools for comparative biology
- r-cran-pillar (1.3.1-1)
- GNU R coloured formatting for columns
- r-cran-pkgbuild (1.0.2-1)
- find tools needed to build GNU R packages
- r-cran-pkgconfig (2.0.2-1)
- Private Configuration for 'R' Packages
- r-cran-pkgkitten (0.1.4-2)
- GNU R package to create simple packages
- r-cran-pkgload (1.0.2-1)
- simulate GNU R package installation and attach
- r-cran-pkgmaker (0.27-2)
- GNU R package development utilities
- r-cran-pki (0.1-5.1-1+b1 [amd64], 0.1-5.1-1 [arm64, armhf, i386])
- public key infrastucture for R based on the X.509 standard
- r-cran-plm (1.7-0-1)
- GNU R estimators and tests for panel data econometrics
- r-cran-plogr (0.2.0-2)
- GNU R C++ Logging Library
- r-cran-plotly (4.8.0+dfsg-2)
- create interactive web graphics via 'plotly.js' in GNU R
- r-cran-plotmo (3.5.2-1)
- GNU R plot a model's response and residuals
- r-cran-plotrix (3.7-4-1)
- GNU R package providing various plotting functions
- r-cran-pls (2.7-0-1)
- GNU R partial least squares and principal component regression
- r-cran-plumber (0.4.6-1)
- API Generator for GNU R
- r-cran-plyr (1.8.4-2)
- tools for splitting, applying and combining data
- r-cran-png (0.1-7-3)
- GNU R package to read and write PNG images
- r-cran-polspline (1.1.13-1+b1)
- GNU R package providing polynomial spline fitting
- r-cran-polyclip (1.9-1-1)
- GNU R Polygon Clipping
- r-cran-polycub (0.7.0-1)
- GNU R Cubature over Polygonal Domains
- r-cran-popepi (0.4.5-1)
- Functions for Epidemiological Analysis using Population Data
- r-cran-powerlaw (0.70.2-1)
- GNU R analysis of heavy tailed distributions
- r-cran-prabclus (2.2-7-1)
- GNU R clustering of presence-absence, abundance and multilocus genetic data
- r-cran-pracma (2.2.2-1)
- practical numerical math functions for GNU R
- r-cran-praise (1.0.0-3)
- GNU R praise users
- r-cran-prediction (0.3.6.2-1)
- GNU R tidy, type-safe 'prediction()' methods
- r-cran-prettycode (1.0.2-1)
- pretty print GNU R code in the terminal
- r-cran-prettyr (2.2-2-1)
- Pretty Descriptive Stats
- r-cran-prettyunits (1.0.2-3)
- GNU R pretty, human readable formatting of quantities
- r-cran-princurve (2.1.3-1)
- fit a principal curve in arbitrary dimension
- r-cran-processx (3.2.1-1)
- GNU R execute and control system processes
- r-cran-prodlim (2018.04.18-2)
- GNU R product-limit estimation for Censored Event History Analysis
- r-cran-profilemodel (0.5-9-3)
- GNU R tools for profiling inference functions
- r-cran-progress (1.2.0-1)
- GNU R terminal progress bars
- r-cran-promises (1.0.1-2)
- GNU R abstractions for promise-based asynchronous programming
- r-cran-proto (1.0.0-2)
- Prototype object-based programming
- r-cran-ps (1.3.0-1)
- GNU R list, query, manipulate system processes
- r-cran-pscbs (0.64.0-1)
- R package: Analysis of Parent-Specific DNA Copy Numbers
- r-cran-pscl (1.5.2-3)
- GNU R package for discrete data models
- r-cran-psy (1.1-4)
- GNU R procedures for psychometrics
- r-cran-psych (1.8.12-1)
- GNU R procedures for psychological, psychometric, and personality research
- r-cran-purrr (0.3.0-1)
- GNU R functional programming tools
- r-cran-purrrlyr (0.0.3-1)
- GNU R Tools at the Intersection of 'purrr' and 'dplyr'
- r-cran-pvclust (2.0-0-4)
- Hierarchical Clustering with P-Values via Multiscale Bootstrap
- r-cran-pwr (1.2-2-2)
- GNU R basic functions for power analysis
- r-cran-pwt (7.1.1-6)
- GNU R package for the Penn World Tables (version 5.6 to 7.1)
- r-cran-pwt8 (8.1.1-4)
- GNU R package for the Penn World Tables (version 8.x)
- r-cran-pwt9 (9.0-0-3)
- GNU R package for the Penn World Tables (version 9.x)
- r-cran-qap (0.1-1-1)
- GNU R heuristics for the quadratic assignment problem (QAP)
- r-cran-qqman (0.1.4-6)
- R package for visualizing GWAS results using Q-Q and manhattan plots
- r-cran-qtl (1.44-9-1)
- GNU R package for genetic marker linkage analysis
- r-cran-quadprog (1.5-5-3+b4)
- GNU R package for solving quadratic programming problems
- r-cran-quantmod (0.4-13-2)
- GNU R package for quantitative financial modeling framework
- r-cran-quantreg (5.38-1)
- GNU R package for quantile regression
- r-cran-qvcalc (0.9-1-2)
- GNU R quasi variances for factor effects in statistical models
- r-cran-r.cache (0.13.0-2)
- R package: Fast and Light-Weight Caching of Objects and Results
- r-cran-r.methodss3 (1.7.1-3)
- GNU R utility function for defining S3 methods
- r-cran-r.oo (1.22.0-2)
- GNU R object-oriented programming with or without references
- r-cran-r.utils (2.7.0-1)
- GNU R various programming utilities
- r-cran-r6 (2.4.0-1)
- R classes with reference semantics
- r-cran-randomfields (3.1.50-3+b1)
- GNU R simulation and analysis of random fields
- r-cran-randomfieldsutils (0.3.25-3+b1)
- utilities for the simulation and analysis of random fields
- r-cran-randomforest (4.6-14-2+b1)
- GNU R package implementing the random forest classificator
- r-cran-ranger (0.11.1-1)
- Fast Implementation of Random Forests
- r-cran-rappdirs (0.3.1-1+b1)
- GNU R application directories
- r-cran-raschsampler (0.8-8-2+b1)
- GNU R package for sampling binary matrices with fixed margins
- r-cran-raster (2.8-19-1)
- GNU R geographic data analysis and modeling
- r-cran-rcarb (0.1.2-1)
- GNU R dose rate modelling of carbonate-rich samples
- r-cran-rcmdcheck (1.3.2-2)
- Run 'R CMD check' from 'R' and Capture Results
- r-cran-rcmdr (2.5-1-1)
- GNU R platform-independent basic-statistics GUI
- r-cran-rcmdrmisc (2.5-1-1)
- GNU R package for miscellaneous Rcmdr utilities
- r-cran-rcolorbrewer (1.1-2-2)
- GNU R package providing suitable color palettes
- r-cran-rcompgen
- virtueel pakket geboden door r-base-core
- r-cran-rcpp (1.0.0-1)
- GNU R package for Seamless R and C++ Integration
- r-cran-rcppannoy (0.0.11-1)
- Rcpp bindings for Annoy (approximate nearest neighbors)
- r-cran-rcpparmadillo (0.9.200.7.0-1)
- GNU R package for Armadillo C++ linear algebra library
- r-cran-rcppeigen (0.3.3.5.0-1)
- GNU R package for Eigen templated linear algebra
- r-cran-rcppgsl (0.3.6-1)
- GNU R package for integration with the GNU GSL
- r-cran-rcppprogress (0.4.1-1)
- interruptible progress bar for C++ in GNU R packages
- r-cran-rcpproll (0.3.0-1)
- GNU R efficient rolling / windowed operations
- r-cran-rcurl (1.95-4.11-1)
- GNU R General network (HTTP/FTP/...) client interface
- r-cran-rdflib (0.2.2+dfsg-1)
- GNU R tools to manipulate and query semantic data
- r-cran-readbrukerflexdata (1.8.5-2)
- GNU R package to read Bruker Daltonics *flex format files
- r-cran-readmzxmldata (2.8.1-3)
- GNU R package to read mass spectrometry data in mzXML format
- r-cran-readr (1.3.1-1)
- GNU R package to read rectangular text data
- r-cran-readstata13 (0.9.2-1+b2)
- GNU R package to import 'Stata' data files
- r-cran-readxl (1.3.0-1)
- GNU R package to read Excel files
- r-cran-recipes (0.1.4-2)
- GNU R preprocessing tools to create design matrices
- r-cran-redland (1.0.17-10-1)
- RDF library bindings in GNU R
- r-cran-registry (0.5-2)
- GNU R package for registries
- r-cran-relimp (1.0-5-3)
- GNU R package for inference on relative importance of regressors
- r-cran-relsurv (2.2-3-1)
- GNU R relative survival
- r-cran-rematch (1.0.1-2)
- GNU R package to match regular expression with a nicer api
- r-cran-remotes (2.0.2-1)
- R Package Installation from Remote Repositories, Including 'GitHub'
- r-cran-rentrez (1.2.1-2)
- GNU R interface to the NCBI's EUtils API
- r-cran-repr (0.19.2-1)
- Serializable representations of R objects
- r-cran-reprex (0.2.1-2)
- Prepare Reproducible Example Code via the Clipboard
- r-cran-reshape (0.8.8-1)
- Flexibly reshape data
- r-cran-reshape2 (1.4.3-2)
- Flexibly reshape data: a reboot of the reshape package
- r-cran-reticulate (1.10+dfsg-1)
- R interface to Python modules, classes, and functions
- r-cran-rgenoud (5.8-3.0-1)
- R Version of GENetic Optimization Using Derivatives
- r-cran-rggobi (2.1.22-1)
- GNU R package for the GGobi data visualization system
- r-cran-rgl (0.99.16-3)
- GNU R package for three-dimensional visualisation using OpenGL
- r-cran-rglpk (0.6-4-1)
- GNU R interface to the GNU Linear Programming Kit
- r-cran-rglwidget (0.2.1-3)
- GNU R 'rgl' in 'htmlwidgets' Framework
- r-cran-rgtk2 (2.20.35-2)
- GNU R binding for Gtk2
- r-cran-rhandsontable (0.3.6+dfsg1-1)
- GNU R interface to the 'Handsontable.js' library
- r-cran-rinside (0.2.14-1+b2)
- GNU R package to embed R in C++ application
- r-cran-rio (0.5.16-1)
- GNU R package with Swiss-army knife for data i/o
- r-cran-ritis (0.7.6+dfsg-1)
- GNU R Integrated Taxonomic Information System client
- r-cran-rjags (1:4-8-1)
- R interface to the JAGS Bayesian statistics package
- r-cran-rjava (0.9-10-2+b1)
- GNU R low-level interface to Java
- r-cran-rjson (0.2.20-1)
- GNU R package for converting between R and JSON objects
- r-cran-rjsonio (1.3-1.1-1) [non-free]
- Serialize R objects to JSON, JavaScript Object Notation
- r-cran-rlang (0.3.1-2)
- Functions for Base Types and Core R and 'Tidyverse' Features
- r-cran-rlist (0.4.6.1-2)
- GNU R toolbox for non-tabular data manipulation
- r-cran-rlumshiny (0.2.2-1)
- GNU R 'Shiny' Applications for the R Package 'Luminescence'
- r-cran-rmarkdown (1.11+dfsg-1)
- convert R markdown documents into a variety of formats
- r-cran-rmpi (0.6-9-1)
- GNU R package interfacing MPI libraries for distributed computing
- r-cran-rms (5.1-3-1)
- GNU R regression modeling strategies by Frank Harrell
- r-cran-rmysql (0.10.16-1)
- GNU R package providing a DBI-compliant interface to MySQL
- r-cran-rncl (0.8.3-1)
- GNU R interface to the Nexus Class Library
- r-cran-rneos (0.3-2-2)
- GNU R package with XML-RPC interface to NEOS
- r-cran-rnetcdf (1.9-1-2+b1)
- GNU R package that provides an R interface to NetCDF datasets
- r-cran-rnexml (2.3.0-1)
- GNU R package for semantically rich I/O for the 'NeXML' format
- r-cran-rngtools (1.3.1-2)
- GNU R package for random number generators
- r-cran-rniftilib (0.0-35.r79-5)
- GNU/R interface to NIFTICLIB
- r-cran-robustbase (0.93-3-1)
- GNU R package providing basic robust statistics
- r-cran-rocr (1.0-7-4)
- GNU R package to prepare and display ROC curves
- r-cran-rodbc (1.3-15-1+b2)
- GNU R package for ODBC database access
- r-cran-rotl (3.0.6-1)
- GNU R interface to the 'Open Tree of Life' API
- r-cran-roxygen2 (6.1.1-1)
- in-line documentation for GNU R
- r-cran-rpact (1.0.0-1)
- Confirmatory Adaptive Clinical Trial Design and Analysis
- r-cran-rpart (4.1-13-1+b1)
- GNU R package for recursive partitioning and regression trees
- r-cran-rpostgresql (0.6-2+dfsg-2)
- GNU R package providing database interface and driver for PostgreSQL
- r-cran-rprojroot (1.3-2-2)
- GNU R finding files in project subdirectories
- r-cran-rprotobuf (0.4.13-1)
- GNU R package providing an interface to the Protocol Buffers API
- r-cran-rquantlib (0.4.7-1)
- GNU R package interfacing the QuantLib finance library
- r-cran-rredlist (0.5.0-2)
- GNU R IUCN Red List Client
- r-cran-rsclient (0.7-3-2+b3)
- GNU R package providing an Rserve client
- r-cran-rsdmx (1:0.5-13+dfsg-1)
- GNU R package for the Statistical Data and Metadata Exchange (SDMX) framework
- r-cran-rserve (1.7-3-3+b4)
- GNU R Rserve tcp/ip server and sample clients
- r-cran-rsolnp (1.16+dfsg-3)
- GNU R general non-linear optimization
- r-cran-rspectra (0.13-1-1)
- GNU R solvers for large-scale eigenvalue and SVD problems
- r-cran-rsprng (1.0-5+b2)
- GNU R interface to SPRNG (Scalable Parallel RNGs)
- r-cran-rsqlite (2.1.1-2+b1)
- Database Interface R driver for SQLite
- r-cran-rstan (2.18.2-1)
- GNU R interface to Stan
- r-cran-rstantools (1.5.1-1)
- tools for developing GNU R packages interfacing with 'Stan'
- r-cran-rstudioapi (0.9.0-1)
- GNU R package for access to the RStudio API
- r-cran-rsymphony (0.1-28-1+b2)
- GNU R interface to the SYMPHONY MILP solver
- r-cran-rtsne (0.15-1)
- GNU R T-Distributed Stochastic Neighbor Embedding using a Barnes-Hut
- r-cran-runit (0.4.32-2)
- GNU R package providing unit testing framework
- r-cran-rvest (0.3.2-1)
- Easily Harvest (Scrape) Web Pages
- r-cran-rwave (2.4-8-2)
- GNU R time-frequency analysis of 1-D signals
- r-cran-samr (3.0-1)
- GNU R significance analysis of microarrays
- r-cran-sandwich (2.5-0-1)
- GNU R package for model-robust standard error estimates
- r-cran-satellite (1.0.1-1)
- GNU R handling and manipulating remote sensing data
- r-cran-scales (1.0.0-2)
- Scale functions for visualization
- r-cran-scatterd3 (0.8.2+dfsg1-1)
- GNU R D3 JavaScript Scatterplot from R
- r-cran-scatterplot3d (0.3-41-2)
- GNU R package for Visualizing Multivariate Data
- r-cran-sdmtools (1.1-221-1)
- Species Distribution Modelling Tools
- r-cran-segmented (0.5-3.0-2)
- GNU R segmented relationships in regression models
- r-cran-selectr (0.4-1-1)
- Translate CSS Selectors to XPath Expressions
- r-cran-sem (3.1.9-2+b1)
- GNU R functions for fitting structural equation models -- sem
- r-cran-semtools (0.5.1-1)
- GNU R Tools for Structural Equation Modeling -- semTools
- r-cran-sendmailr (1.2-1-4)
- send email using GNU R
- r-cran-seqinr (3.4-5-2+b1)
- GNU R biological sequences retrieval and analysis
- r-cran-seriation (1.2-3-1)
- GNU R infrastructure for ordering objects using seriation
- r-cran-seroincidence (2.0.0-1)
- GNU R seroincidence calculator tool
- r-cran-sessioninfo (1.1.1-1)
- R Session Information
- r-cran-sf (0.7-2+dfsg-1)
- Simple Features for R
- r-cran-sfsmisc (1.1-3-1)
- GNU R utilities from 'Seminar fuer Statistik' ETH Zurich
- r-cran-shape (1.4.4-2)
- GNU R functions for plotting graphical shapes, colors
- r-cran-shazam (0.1.11-1)
- Immunoglobulin Somatic Hypermutation Analysis
- r-cran-shiny (1.2.0+dfsg-1)
- GNU R web application framework
- r-cran-shinybs (0.61-3)
- GNU R Twitter bootstrap components for Shiny
- r-cran-shinydashboard (0.7.1-1)
- GNU R create dashboards with 'Shiny'
- r-cran-shinyfiles (0.7.2-1)
- GNU R server-side file system viewer for shiny
- r-cran-shinyjs (1.0-2)
- Easily Improve the User Experience of Your Shiny Apps in Seconds
- r-cran-shinythemes (1.1.2+dfsg-1)
- Themes for Shiny
- r-cran-sjlabelled (1.0.16-1)
- GNU R labelled data utility functions
- r-cran-sjmisc (2.7.7-1)
- GNU R data and variable transformation functions
- r-cran-sjplot (2.6.2-1)
- GNU R data visualization for statistics in social science
- r-cran-sjstats (0.17.3-1)
- GNU R collection of convenient functions for statistical computations
- r-cran-slam (0.1-44-1)
- GNU R sparse lighweight arrays and matrices package
- r-cran-sm (2.2-5.6-1)
- GNU R package for kernel smoothing methods
- r-cran-sn (1.5-3-1)
- GNU R package providing skew-normal and skew-t distributions
- r-cran-snakecase (0.9.2-2)
- convert strings into any case for GNU R
- r-cran-snow (1:0.4.3-1)
- GNU R package for 'simple network of workstations'
- r-cran-snowballc (0.6.0-1)
- Snowball stemmers based on the C libstemmer UTF-8 library
- r-cran-snowfall (1.84-6.1-2)
- GNU R easier cluster computing (based on snow)
- r-cran-solrium (1.0.2+dfsg-1)
- general purpose R interface to 'Solr'
- r-cran-sourcetools (0.1.7-2)
- tools for reading, tokenizing and parsing R code
- r-cran-sp (1:1.3-1-1)
- GNU R classes and methods for spatial data
- r-cran-spam (2.2-1-1)
- GNU R functions for sparse matrix algebra
- r-cran-sparsem (1.77-1+b4)
- GNU R package for basic linear algebra for sparse matrices
- r-cran-spatial (7.3-11-2+b2)
- GNU R package for spatial statistics
- r-cran-spatstat (1.58-2-1)
- GNU R Spatial Point Pattern analysis, model-fitting, simulation, tests
- r-cran-spatstat.data (1.4-0-1)
- datasets for the package r-cran-spatstat
- r-cran-spatstat.utils (1.13-0-1)
- GNU R utility functions for r-cran-spatstat
- r-cran-spc (1:0.6.0-2)
- GNU R Statistical Process Control
- r-cran-spdata (0.3.0-1)
- GNU R datasets for spatial analysis
- r-cran-spdep (0.8-1+dfsg-1)
- GNU R spatial dependence: weighting schemes, statistics and models
- r-cran-spp (1.15.5-1)
- GNU R ChIP-seq processing pipeline
- r-cran-squarem (2017.10-1-2)
- Squared Extrapolation Methods for Accelerating EM-Like Monotone Algorithms
- r-cran-stabledist (0.7-1-2)
- GNU R package for stable distribution functions
- (2.18.1-1)
- C++ Header Files for Stan for GNU R
- r-cran-stars (0.2-0-1)
- scalable, spatiotemporal tidy arrays for GNU R
- r-cran-statmod (1.4.30-2+b1)
- GNU R package providing algorithms and functions for statistical modeling
- r-cran-stringdist (0.9.5.1-1)
- GNU R approximate string matching and string distance functions
- r-cran-stringi (1.2.4-2)
- GNU R character string processing facilities
- r-cran-stringr (1.4.0-1)
- Make it easier to work with strings
- r-cran-strucchange (1.5-1-3)
- GNU R package for structural change regression estimation
- r-cran-surveillance (1.16.2-1)
- GNU R package for the Modeling and Monitoring of Epidemic Phenomena
- r-cran-survey (3.35-1-1)
- GNU R analysis of complex survey samples
- r-cran-survival (2.43-3-1)
- GNU R package for survival analysis
- r-cran-svglite (1.2.1-1)
- GNU R 'SVG' graphics device
- r-cran-sys (2.1-1)
- Powerful and Reliable Tools for Running System Commands in GNU R
- r-cran-taxize (0.9.5+dfsg-2)
- GNU R taxonomic information from around the web
- r-cran-tcltk2 (1.2-11-2)
- GNU R package for Tcl/Tk additions
- r-cran-tcr (2.2.3-1)
- Advanced Data Analysis of Immune Receptor Repertoires
- r-cran-teachingdemos (2.10-3)
- GNU R Demonstrations for teaching and learning
- r-cran-tensor (1.5-3)
- GNU R Tensor product of arrays
- r-cran-testit (0.9-1)
- simple package for testing GNU R packages
- r-cran-testthat (2.0.1-1)
- GNU R testsuite
- r-cran-tfmpvalue (0.0.8-1)
- GNU R P-Value Computation for Position Weight Matrices
- r-cran-tgp (2.4-14-4+b1)
- GNU R Bayesian treed Gaussian process models
- r-cran-th.data (1.0-10-1)
- GNU R package for datasets by Torsten Hothorn
- r-cran-threejs (0.3.1+dfsg-2)
- GNU R interactive 3D scatter plots, networks and globes
- r-cran-tibble (2.0.1-1)
- GNU R Simple Data Frames
- r-cran-tidyr (0.8.2-1)
- GNU R package to easily tidy data
- r-cran-tidyselect (0.2.5-1)
- GNU R select from a set of strings
- r-cran-tidyverse (1.2.1-1)
- Easily Install and Load the 'Tidyverse'
- r-cran-tigger (0.3.1-1)
- Infers new Immunoglobulin alleles from Rep-Seq Data
- r-cran-tikzdevice (0.12-1)
- GNU R graphics output in LaTeX format
- r-cran-timedate (3043.102-1+b1)
- GNU R package for financial engineering -- timeDate
- r-cran-timeseries (3042.102-2)
- GNU R package for financial engineering -- timeSeries
- r-cran-tinytex (0.10-1)
- GNU R helper to compile LaTeX documents
- r-cran-tkrplot (0.0.24-1)
- GNU R embedded Tk plotting device package
- r-cran-tm (0.7-6-1)
- Text Mining functionality for R
- r-cran-tmb (1.7.15-1)
- GNU R template model builder: general random effect tool
- r-cran-tmvtnorm (1.4-10-3+b1)
- GNU R truncated multivariate normal and student t distribution
- r-cran-treescape (1.10.18+dfsg-1)
- GNU R Statistical Exploration of Landscapes of Phylogenetic Trees
- r-cran-treespace (1.1.3+dfsg-1)
- Statistical Exploration of Landscapes of Phylogenetic Trees
- r-cran-triebeard (0.3.0-3)
- GNU R radix trees in Rcpp
- r-cran-trimcluster (0.1-2.1-1)
- GNU R cluster analysis with trimming
- r-cran-truncdist (1.0-2-3)
- GNU R functions for truncated random variables
- r-cran-truncnorm (1.0-8-2+b1)
- GNU R truncated normal distribution
- r-cran-tseries (0.10-46-1)
- GNU R package for time-series analysis and comp. finance
- r-cran-tsp (1.1-6-1)
- GNU R traveling salesperson problem (TSP)
- r-cran-ttr (0.23-4-1)
- GNU R package to construct technical trading rules
- r-cran-ucminf (1.1-4-2)
- GNU R general-purpose unconstrained non-linear optimization
- r-cran-udunits2 (0.13-1)
- Udunits-2 Bindings for R
- r-cran-units (0.6-2-1)
- Measurement Units for R Vectors
- r-cran-urca (1.3-0-3+b1)
- GNU R package providing unit root and cointegration tests
- r-cran-urltools (1.7.2+dfsg-1)
- GNU R vectorised tools for URL handling and parsing
- r-cran-usethis (1.4.0-1)
- Automate Package and Project Setup
- r-cran-utf8 (1.1.4-1+b1)
- GNU R unicode text processing
- r-cran-uuid (0.1.2-9)
- Tools for generating and handling UUIDs
- r-cran-v8 (2.0+dfsg-1)
- Embedded JavaScript Engine for R
- r-cran-vcd (1:1.4-4-2)
- GNU R Visualizing Categorical Data
- (0.7-1-3)
- GNU R package providing extensions and additions to the vcd package
- r-cran-vcr (0.2.2+dfsg-1)
- GNU R record HTTP calls to disk
- r-cran-vegan (2.5-4+dfsg-3)
- Community Ecology Package for R
- r-cran-vgam (1.0-6-1)
- GNU R package for estimating vector generalized additive models
- r-cran-vioplot (0.3.0-1)
- GNU R toolbox for violin plots
- r-cran-viridis (0.5.1-2)
- GNU R package for color maps from matplotlib
- r-cran-viridislite (0.3.0-3)
- GNU R package for color maps from matplotlib (Lite Version)
- r-cran-vr
- virtueel pakket geboden door r-cran-mass
- r-cran-waveslim (1.7.5.1-1)
- GNU R wavelet routines for 1-, 2- and 3-D signal processing
- r-cran-wavethresh (4.6.8-2)
- GNU R wavelets statistics and transforms
- r-cran-wdi (2.5.1-1)
- GNU R package for accessing the World Development Indicators
- r-cran-webmockr (0.3.0-1)
- GNU R stubbing and setting expectations on 'HTTP' requests
- r-cran-webshot (0.5.1-1)
- GNU R take screenshots of web pages
- r-cran-webutils (0.6-2)
- GNU R utility functions for developing web applications
- r-cran-whatif (1.5-9-2)
- GNU R evaluate counterfactuals
- r-cran-whisker (0.3-2-2)
- GNU R mustache, logicless templating
- r-cran-wikidatar (1.4.0+dfsg-1)
- GNU R API Client Library for 'Wikidata'
- r-cran-wikipedir (1.5.0-2)
- GNU R MediaWiki API Wrapper
- r-cran-wikitaxa (0.3.0+dfsg-1)
- taxonomic information from 'Wikipedia' for GNU R
- r-cran-withr (2.1.2-3)
- GNU R package to run code 'With' temporarily modified global state
- r-cran-wordcloud (2.6-1)
- word clouds with GNU R
- r-cran-worrms (0.3.2+dfsg-1)
- GNU R client of World Register of Marine Species (WoRMS)
- r-cran-xfun (0.4-1)
- miscellaneous GNU R functions by 'Yihui Xie'
- r-cran-xml (3.98-1.17-1)
- GNU R package for XML parsing and generation
- r-cran-xml2 (1.2.0-3)
- GNU R XML parser
- r-cran-xopen (1.0.0-1)
- Open System Files, 'URLs', Anything
- r-cran-xslt (1.3-1)
- extensible style-sheet language transformations for GNU R
- r-cran-xtable (1:1.8-3-1)
- GNU R coerce data to LaTeX and HTML tables
- r-cran-xts (0.11-2-1)
- GNU R package for time series analysis -- xts
- r-cran-yaml (2.2.0-1)
- Methods to convert R data to YAML and back
- r-cran-zelig (5.1.6-2)
- GNU R package providing a unified front-end for estimating statistical models
- r-cran-zeligchoice (0.9-6-2)
- GNU R zelig choice models
- r-cran-zeligei (0.1-2-2)
- GNU R zelig ecological inference models
- r-cran-zeligverse (0.1.1-2)
- GNU R easily install and load stable zelig packages
- r-cran-zip (1.0.0-1)
- GNU R package to read and write XLSX files
- r-cran-zoo (1.8-4-1)
- GNU R package for totally ordered indexed observations
- r-doc-html (3.5.2-1)
- GNU R html manuals for statistical computing system
- r-doc-info (3.5.2-1)
- GNU R info manuals statistical computing system
- r-doc-pdf (3.5.2-1)
- GNU R pdf manuals for statistical computing system
- r-gnome
- virtueel pakket geboden door r-base-core
- r-mathlib (3.5.2-1)
- GNU R standalone mathematics library
- r-noncran-hmisc
- virtueel pakket geboden door r-cran-hmisc
- r-omegahat-ggobi
- virtueel pakket geboden door r-cran-rggobi
- r-omegahat-xmlrpc (0.3-0-2)
- GNU R package for Remote Procedure Calls via XML
- r-other-amsmercury (1.3.0-3)
- efficient calculation of accurate masses and abundances of isotopic peaks
- r-other-curvefdp (2.0-5)
- estimation of confidence levels for peptide identifications
- r-other-hms-dbmi-spp
- virtueel pakket geboden door r-cran-spp
- r-other-iwrlars (0.9-5-3+b1)
- least angle regression, lasso, positive lasso and forward stagewise
- r-other-mott-happy
- virtueel pakket geboden door r-other-mott-happy.hbrem
- r-other-mott-happy.hbrem (2.4-3)
- GNU R package for fine-mapping complex diseases
- r-other-nitpick (2.0-5)
- peak identification for mass spectrometry data
- r-other-x4r (1.0.1+git20150806.c6bd9bd-2)
- XMLA/MDX cube tool for R
- r-recommended (3.5.2-1)
- GNU R collection of recommended packages [metapackage]
- r10k (3.1.0-1)
- Puppet environment and module deployment
- r6rs-nanopass-dev (1.9+git20160429.g1f7e80b-2)
- embedded DSL for writing compilers in Scheme
- r8168-dkms (8.046.00-1) [non-free]
- dkms source for the r8168 network driver
- rabbit (2.2.1-4)
- presentation tool using RD, a simple text format
- rabbit-mode (2.2.1-4)
- Emacs-lisp rabbit-mode for writing RD document using Rabbit
- rabbiter (2.0.4-2)
- Twitter client for Rabbit
- rabbitmq-server (3.8.2-1+deb10u2) [security]
- AMQP server written in Erlang
- rabbitsign (2.1+dmca1-1+b2)
- application signing system for the TI-73/83+/84+ calculators
- rabbitvcs-cli (0.16-1.1)
- Command line interface for RabbitVCS
- rabbitvcs-core (0.16-1.1)
- Easy version control
- rabbitvcs-gedit (0.16-1.1)
- Gedit extension for RabbitVCS
- rabbitvcs-nautilus (0.16-1.1)
- Nautilus extension for RabbitVCS
- racc (1.4.14-2)
- Ruby LALR parser generator
- racket (7.2+dfsg1-2)
- extensible programming language in the Scheme family
- racket-common (7.2+dfsg1-2)
- extensible programming language in the Scheme family (shared files)
- racket-doc (7.2+dfsg1-2)
- extensible programming language in the Scheme family (documentation)
- racon (1.3.2-1+b1)
- consensus module for raw de novo DNA assembly of long uncorrected reads
- radeontool (1.6.3-1+b1)
- utility to control ATI Radeon backlight functions on laptops
- radeontop (1.1-2)
- Utility to show Radeon GPU utilization
- radiant (2.7+dfsg-2)
- explore hierarchical metagenomic data with zoomable pie charts
- radicale (2.1.11-6)
- simple calendar and addressbook server - daemon
- radioclk (1.0.pristine-2)
- simple ntp refclock daemon for MSF/WWVB/DCF77 time signals
- radiotray (0.7.3-6)
- online radio streaming player
- radium-compressor (0.5.1-3+b1)
- audio compressor for JACK
- radius-server
- virtueel pakket geboden door radsecproxy, freeradius
- radlib-dev (2.12.0-6)
- development file for librad0
- radon (2.3.1+dfsg-1)
- Python tool to compute code metrics (Python3)
- rados-objclass-dev (12.2.11+dfsg1-2.1+deb10u1) [security]
- RADOS object class development kit.
- radosgw (12.2.11+dfsg1-2.1+deb10u1) [security]
- REST gateway for RADOS distributed object store
- radsecproxy (1.7.2-1)
- RADIUS protocol proxy supporting RadSec
- radvd (1:2.17-2)
- Router Advertisement Daemon
- radvdump (1:2.17-2)
- dumps Router Advertisements
- rafkill (1.2.2-6)
- vertical shoot'em-up similar to Raptor: Call of the Shadows
- rafkill-data (1.2.2-6)
- graphics and audio data for rafkill
- ragel (6.10-1)
- compiles finite state machines into code in various languages
- raidutils (0.0.6-22)
- Transition Package, raidutils to dpt-i2o-raidutils
- rail (1.2.12-1)
- Replace Agent-string Internal Library
- rails (2:5.2.2.1+dfsg-1+deb10u5) [security]
- MVC ruby based framework geared for web application development (metapackage)
- rainbow (0.8.7-2)
- Bitfrost isolation shell
- rainbows (5.0.0-2)
- HTTP server for sleepy Rack applications
- raincat (1.1.1.2-4)
- 2D puzzle game featuring a fuzzy little cat
- raincat-data (1.1.1.2-4)
- 2D puzzle game featuring a fuzzy little cat - data files
- rainloop (1.12.1-2+deb10u1) [security]
- Simple, modern & fast web-based email client
- raintpl (3.1.0-1)
- easy and fast template engine for PHP
- rakarrack (0.6.1-5)
- Simple and easy guitar effects processor for GNU/Linux
- rake (12.3.1-3+deb10u1)
- ruby make-like utility
- rake-compiler (1.0.5-1)
- Rake-based Ruby Extension (C, Java) task generator
- rakudo (2018.12-5)
- Perl 6 implementation on top of Moar virtual machine
- rally (1.2.1+dfsg1-2)
- benchmark System for OpenStack - command line and configuration
- rambo-k (1.21+dfsg-2)
- Read Assignment Method Based On K-mers
- ramond (0.5-4)
- IPv6 Router Advertisement MONitoring Daemon
- rampler (1.1.0-1)
- module for sampling genomic sequences
- rancid (3.9-1)
- Really Awesome New Cisco confIg Differ
- rancid-cgi (3.9-1)
- looking glass CGI based on rancid tools
- rancid-core
- virtueel pakket geboden door rancid
- rancid-util
- virtueel pakket geboden door rancid
- randomplay (0.60+pristine-1)
- command-line based shuffle music player that remembers songs between sessions
- randomsound (0.2-5+b2)
- ALSA sound card related entropy gathering daemon
- randtype (1.13-11+b1)
- semi-random text typer
- ranger (1.9.2-4)
- Console File Manager with VI Key Bindings
- rapid-photo-downloader (0.9.13-1)
- Photo downloader (importer) from cameras, memory cards, other devices
- rapidjson-dev (1.1.0+dfsg2-5)
- fast JSON parser/generator for C++ with SAX/DOM style API
- rapidjson-doc (1.1.0+dfsg2-5)
- fast JSON parser/generator for C++ (API documentation)
- rapidsvn (0.12.1dfsg-3.1+b1)
- GUI client for Subversion
- rapmap (0.12.0+dfsg-3+b1)
- rapid sensitive and accurate DNA read mapping via quasi-mapping
- rapmap-dev (0.12.0+dfsg-3)
- rapmap - rapid sensitive and accurate DNA read mapping (some headers)
- rapmap-example-data (0.12.0+dfsg-3)
- example data for rapmap - rapid sensitive and accurate DNA read mapping
- raptor2-utils (2.0.14-1.1~deb10u2)
- Raptor 2 RDF parser and serializer utilities
- rar (2:6.23-1~deb10u1) [non-free] [security]
- Archiver for .rar files
- rarcrack (0.2-1+b1)
- Password cracker for rar archives
- rarpd (0.981107-9+b1)
- Reverse Address Resolution Protocol daemon
- rasdaemon (0.6.0-1.2)
- utility to receive RAS error tracings
- rasmol (2.7.6.0-1)
- visualization of biological macromolecules
- rasmol-doc (2.7.6.0-1)
- documentation for rasmol
- raspell (1.3-1+b9 [amd64, armhf, i386], 1.3-1+b7 [arm64])
- interface binding for the Aspell spelling checker
- raspi3-firmware (1.20190215-1+deb10u4) [non-free]
- Raspberry Pi 2 and 3 GPU firmware and bootloaders
- rasqal-utils (0.9.32-1+b1)
- Rasqal RDF Query utilities
- raster3d (3.0-3-5)
- tools for generating images of proteins or other molecules
- raster3d-doc (3.0-3-5)
- documents and example files for Raster3D
- rasterio (1.0.21-1)
- Command line tool for investigating geospatial rasters
- rasterlite2-bin (1.1.0~beta0+really1.0.0~rc0+devel1-2)
- command line tools for librasterlite2
- ratbagd (0.9.905-1)
- D-Bus daemon handling mouse configuration
- rate4site (3.0.0-6)
- detector of conserved amino-acid sites
- ratfor (1.0-16)
- Rational Fortran preprocessor for Fortran 77
- (2.3.22+b2)
- Creates X menus from the shell
- ratpoints (1:2.1.3-1+b2)
- find rational points on hyperelliptic curves
- ratpoison (1.4.9-1)
- keyboard-only window manager
- ratt (0.0~git20180127.c44413c-2+b10)
- Rebuild All The Things!
- rawdns (1.6~ds1-1+b15)
- raw DNS interface to the Docker API
- rawdog (2.23-2)
- RSS Aggregator Without Delusions Of Grandeur
- rawtherapee (5.5-1)
- raw image converter and digital photo processor
- rawtherapee-data (5.5-1)
- raw image converter and digital photo processor (data files)
- rawtran (1.1-1)
- RAW photo to FITS converter
- rawtran-doc (1.1-1)
- Documentation of Rawtran
- raxml (8.2.12+dfsg-1)
- Randomized Axelerated Maximum Likelihood of phylogenetic trees
- ray (2.3.1-6)
- de novo genome assemblies of next-gen sequencing data
- ray-doc (2.3.1-6)
- documentation for ray parallel de novo genome assembler
- (2.3.1-6)
- Scripts and XSL sheets for post-processing for ray
- razercfg (0.40+ds-1)
- Razer device configuration tool
- razor (1:2.85-4.2+b5)
- spam-catcher using a collaborative filtering network
- rbd-fuse (12.2.11+dfsg1-2.1+deb10u1) [security]
- FUSE-based rbd client for the Ceph distributed file system
- rbd-mirror (12.2.11+dfsg1-2.1+deb10u1) [security]
- Ceph daemon for mirroring RBD images
- rbd-nbd (12.2.11+dfsg1-2.1+deb10u1) [security]
- NBD-based rbd client for the Ceph distributed file system
- rbdoom3bfg (1.2.0+dfsg~git20181013-1)
- Doom3 BFG edition game engine
- rbenv (1.1.1-1)
- simple per-user Ruby version manager
- rblcheck (20020316-10)
- Tool to query DNSBL servers
- rbldnsd (0.998b~pre1-1)
- small nameserver daemon designed for DNSBLs
- rbootd (2.0-10+b2)
- Remote Boot Daemon
- rc (1.7.4-1+b2)
- implementation of the AT&T Plan 9 shell
- rcconf (3.2+nmu1)
- Debian Runlevel configuration tool
- rclone (1.45-3+deb10u1) [security]
- rsync for commercial cloud storage
- rclone-browser (1.2-1)
- Simple cross platform GUI for rclone
- rcm (1.3.3-2)
- tool to manage rc files (dotfiles)
- rcs (5.9.4-5)
- The GNU Revision Control System
- rcs-blame (1.3.1-4.1)
- display the last modification for each line in an RCS file
- rdate (1:1.2-6)
- sets the system's date from a remote host
- rdate-udeb (1:1.2-6)
- sets the system's date from a remote host
- rdesktop (1.8.6-2)
- RDP client for Windows NT/2000 Terminal Server and Windows Servers
- rdfind (1.4.1-1)
- find duplicate files utility
- rdiff (0.9.7-10+b1)
- Binary diff tool for signature-based differences
- rdiff-backup (1.2.8-7)
- remote incremental backup
- rdiff-backup-fs (1.0.0-5)
- Fuse filesystem for accessing rdiff-backup archives
- rdist (6.1.5-19)
- remote file distribution client and server
- rdkit-data (201809.1+dfsg-6)
- Collection of cheminformatics and machine-learning software (data files)
- rdkit-doc (201809.1+dfsg-6)
- Collection of cheminformatics and machine-learning software (documentation)
- rdma-core (22.1-1)
- RDMA core userspace infrastructure and documentation
- rdmacm-utils (22.1-1)
- Examples for the librdmacm library
- rdnssd (1.0.4-1)
- IPv6 recursive DNS server discovery daemon
- rdnssd-udeb (1.0.4-1)
- IPv6 recursive DNS server discovery daemon
- rdoc
- virtueel pakket geboden door ruby
- rdp-alignment (1.2.0-5)
- Ribosomal Database Project (RDP) alignment tools package
- rdp-classifier (2.10.2-4)
- extensible sequence classifier for fungal lsu, bacterial and archaeal 16s
- rdp-classifier-doc (2.10.2-4)
- documentation and examples for rdp-classifier
- rdp-readseq (2.0.2-6)
- Ribosomal Database Project (RDP) sequence reading and writing
- rdtool (0.6.38-4)
- RD document formatter
- rdtool-elisp (0.6.38-4)
- Emacs-lisp rd-mode for writing RD document
- rdup (1.1.15-1)
- utility to create a file list suitable for making backups
- re (0.1-7)
- Russian Anywhere -- Russian text converter
- re2c (1.1.1-1)
- tool for generating fast C-based recognizers
- read-edid (3.0.2-1+b1)
- hardware information-gathering tool for VESA PnP monitors
- readline-common (7.0-5)
- GNU readline en logbestand bibliotheken, gedeelde bestanden
- readline-doc (7.0-5)
- GNU readline and history libraries, documentation and examples
- readline-editor
- virtueel pakket geboden door ledit, rlfe, rlwrap
- readseq (1-13)
- Conversion between sequence formats
- realmd (0.16.3-2)
- DBus service for configuring kerberos and other online identities
- reapr (1.0.18+dfsg-4)
- universal tool for genome assembly evaluation
- rear (2.4+dfsg-1+deb10u1) [security]
- Bare metal disaster recovery and system migration framework
- rear-doc (2.4+dfsg-1+deb10u1) [security]
- Bare metal disaster recovery and system migration framework (documentation)
- reaver (1.6.5-1)
- brute force attack tool against Wifi Protected Setup PIN number
- rebar (2.6.4-2)
- Sophisticated build-tool for Erlang projects that follows OTP principles
- reboot-notifier (0.8)
- daily reboot notification mailer
- rebound (2.0.0-1)
- Command-line tool to fetch Stack Overflow results when program execution error
- rebuildd (0.4.2)
- build daemon aiming at rebuilding Debian packages
- recap (2.0.2-1)
- Generates reports of various information about the server
- reclass (1.4.1-3)
- hierarchical inventory backend for configuration management systems
- reclass-doc (1.4.1-3)
- reclass documentation
- recode (3.6-23)
- Character set conversion utility
- recode-doc (3.6-23)
- Documentation for Free recode
- recoll (1.24.3-3)
- Personal full text search package
- recollcmd (1.24.3-3)
- Command line programs for recoll
- recollgui (1.24.3-3)
- GUI program and elements for recoll
- recommonmark-scripts (0.4.0+ds-5)
- CommonMark utility for Docutils and Sphinx projects -- scripts
- recon-ng (4.9.6-1)
- Web Reconnaissance framework written in Python
- reconf-inetd (1.120603)
- maintainer script for programmatic updates of inetd.conf
- recordmydesktop (0.3.8.1+svn602-1+b2)
- Captures audio-video data of a Linux desktop session
- recoverdm (0.20-6)
- recover files on disks with damaged sectors
- recoverjpeg (2.6.3-1)
- recover JFIF (JPEG) pictures and MOV movies
- recutils (1.7-3)
- text-based databases called recfiles
- redeclipse (1.6.0-1)
- free, casual arena shooter
- redeclipse-common (1.6.0-1)
- common config files for the Red Eclipse FPS game
- redeclipse-data (1.6.0-1)
- data for the Red Eclipse FPS game
- redeclipse-server (1.6.0-1)
- server for the Red Eclipse FPS game
- redet (8.26-1.3)
- regular expression development and execution tool
- redet-doc (8.26-1.3)
- regular expression development and execution tool (documentation)
- redir (3.2-1)
- Redirect TCP connections
- redis (5:5.0.14-1+deb10u5) [security]
- Persistent key-value database with network interface (metapackage)
- redis-redisearch (1:1.2.1-4)
- Full-text and secondary search index engine module for Redis
- redis-redisearch-doc (1:1.2.1-4)
- Full-text and secondary search index engine module for Redis (documentation)
- redis-sentinel (5:5.0.14-1+deb10u5) [security]
- Persistent key-value database with network interface (monitoring)
- redis-server (5:5.0.14-1+deb10u5) [security]
- Persistent key-value database with network interface
- redis-tools (5:5.0.14-1+deb10u5) [security]
- Persistent key-value database with network interface (client)
- redland-utils (1.0.17-1.1+b1)
- Redland Resource Description Framework (RDF) Utility programs
- redshift (1.12-2)
- Adjusts the color temperature of your screen
- redshift-gtk (1.12-2)
- Adjusts the color temperature of your screen with GTK+ integration
- redshift-plasmoid
- virtueel pakket geboden door plasma-applet-redshift-control
- redsocks (0.5-2)
- arbitrary TCP connection redirector to a SOCKS or HTTPS proxy server
- ree (1.4.1-1)
- extract ROM extensions
- refind (0.11.3-1)
- boot manager for EFI-based computers
- reflex (0.2.0+git20181022.3df204f-1+b10)
- Run a command when files change
- refmac-dictionary (5.41-1)
- dictionary for macromolecular refinement and model building
- regexxer (0.10-4)
- visual search and replace tool using Perl Regex
- regina-normal (5.1-6+b1)
- mathematical software for low-dimensional topology
- regina-normal-dev (5.1-6+b1)
- development files for Regina, the topology software
- regina-normal-doc (5.1-6)
- documentation for Regina, the topology software
- regina-normal-mpi (5.1-6+b1)
- MPI utilities for Regina, the topology software
- regionset (0.1-3.1+b1)
- view and modify the region code of DVD drives
- registry-tools (2:4.9.5+dfsg-5+deb10u5) [security]
- tools for viewing and manipulating the Windows registry
- reglookup (1.0.1+svn287-7)
- utility to analysis for Windows NT-based registry
- reglookup-doc (1.0.1+svn287-7)
- developer documentation for libregfi and python-pyregfi
- reiser4progs (1.2.0-2)
- administration utilities for the Reiser4 filesystem
- reiserfsprogs (1:3.6.27-3)
- User-level tools for ReiserFS filesystems
- reiserfsprogs-udeb (1:3.6.27-3)
- User-level tools for ReiserFS filesystems
- rekall-core (1.6.0+dfsg-2)
- memory analysis and incident response framework
- rel2gpx (0.27-4)
- create GPX-track from OSM relation
- relational (2.5-2)
- Educational tool for relational algebra (graphical user interface)
- relational-cli (2.5-2)
- Educational tool for relational algebra (command line interface)
- relion-bin (1.4+dfsg-4)
- toolkit for 3D reconstructions in cryo-electron microscopy
- relion-bin+gui (1.4+dfsg-4)
- parallel toolkit for 3D reconstructions in cryo-electron microscopy
- relion-bin+mpi (1.4+dfsg-4)
- parallel toolkit for 3D reconstructions in cryo-electron microscopy
- relion-bin+mpi+gui (1.4+dfsg-4)
- parallel toolkit for 3D reconstructions in cryo-electron microscopy
- remake (4.1+dbg1.3~dfsg.1-2)
- GNU make fork with improved error reporting and debugging
- remctl-client (3.15-1+b3)
- Client for Kerberos-authenticated command execution
- remctl-server (3.15-1+b3)
- Server for Kerberos-authenticated command execution
- remembrance-agent (2.12-7+b2)
- Emacs mode to help find relevant texts
- remind (03.01.16-1)
- sophisticated calendar and alarm program
- reminiscence (0.2.1-2+b2) [contrib]
- free implementation of Flashback game engine
- remmina (1.3.3+dfsg-2)
- GTK+ Remote Desktop Client
- remmina-common (1.3.3+dfsg-2)
- Common files for Remmina
- remmina-dev (1.3.3+dfsg-2)
- Headers for Remmina
- remmina-plugin-exec (1.3.3+dfsg-2)
- EXEC plugin for Remmina
- remmina-plugin-nx (1.3.3+dfsg-2)
- NX plugin for Remmina
- remmina-plugin-rdp (1.3.3+dfsg-2)
- RDP plugin for Remmina
- remmina-plugin-secret (1.3.3+dfsg-2)
- Secret plugin for Remmina
- remmina-plugin-spice (1.3.3+dfsg-2)
- Spice plugin for Remmina
- remmina-plugin-telepathy (1.3.3+dfsg-2)
- Telepathy plugin for Remmina
- remmina-plugin-vnc (1.3.3+dfsg-2)
- VNC plugin for Remmina
- remmina-plugin-xdmcp (1.3.3+dfsg-2)
- XDMCP plugin for Remmina
- remote-logon-config-agent (0.10-1)
- Retrieve host and session information from remote broker servers
- remote-logon-service (1.0.2.90-3)
- DBus service for tracking available remote logon servers
- remote-tty (4.0-13+b2)
- multiuser "tip"/"cu" replacement with logging
- remotetea (1.0.7-3)
- Sun ONC/RPC support for Java
- remotetrx (17.12.2-4)
- Remote controller for radio transceivers
- renaissance-doc (0.9.0-4)
- GNUstep GUI Framework - documentation
- rename (1.10-1)
- Perl extension for renaming multiple files
- renameutils (0.12.0-7)
- Programs to make file renaming easier
- renattach (1.2.4-5)
- Rename attachments on the fly
- renderdoc (1.2+dfsg-2+deb10u1) [security]
- Stand-alone graphics debugging tool
- reniced (1.21-1)
- renice running processes based on regular expressions
- renpy (7.1.3+dfsg-2)
- framework for developing visual-novel type games
- renpy-demo (7.1.3+dfsg-2)
- framework for developing visual-novel type games - demo
- renpy-doc (7.1.3+dfsg-2)
- framework for developing visual-novel type games - doc
- renpy-thequestion (7.1.3+dfsg-2)
- simple and complete Ren'Py game
- renrot (1.2.0-0.2)
- Rename and rotate files according to EXIF tags
- rep (0.92.5-3+b4)
- lisp command interpreter
- rep-doc (0.92.5-3)
- documentation for the lisp command interpreter
- rep-gtk (1:0.90.8.2-3)
- GTK+ binding for librep
- repeatmasker-recon (1.08-4)
- finds repeat families from biological sequences
- repetier-host (0.85+dfsg-2)
- host controller for RepRap style 3D printers
- rephrase (0.2-3)
- Specialized passphrase recovery tool for GnuPG
- repmgr (4.2.0-2)
- replication manager for PostgreSQL (metapackage)
- repmgr-common (4.2.0-2)
- replication manager for PostgreSQL common files
- repo (1.13.2-1) [contrib]
- repository management tool built on top of git
- reportbug (7.5.3~deb10u2) [security]
- reports bugs in the Debian distribution
- reportbug-gtk (7.5.3~deb10u2) [security]
- reports bugs in the Debian distribution (GTK+ UI)
- reposurgeon (3.45-1)
- Tool for editing version-control repository history
- reprepro (5.3.0-1)
- Debian package repository producer
- reprof (1.0.1-6)
- protein secondary structure and accessibility predictor
- reprotest (0.7.8)
- Build software and check it for reproducibility
- reprounzip (1.0.10-1)
- tool for reproducing scientific experiments (unpacker)
- reprozip (1.0.14-2)
- tool for reproducing scientific experiments (packer)
- repsnapper (2.5a5-2)
- STL to GCode Converter and print software for RepRap machines
- reptyr (0.6.2-1.2)
- Tool for moving running programs between ptys
- request-tracker4 (4.4.3-2+deb10u3) [security]
- extensible trouble-ticket tracking system
- rerun (0.13.0-1)
- tool to launch commands and restart them on filesystem changes
- resample (1.8.1-1+b2)
- Resamples a sound file using a FIR filter
- rescue-check (1.76)
- enter d-i rescue mode if requested
- rescue-mode (1.76)
- mount requested partition and start a rescue shell
- residualvm (0.3.1+dfsg-1) [contrib]
- interpreter to play LucasArts' Lua-based 3D adventures
- residualvm-data (0.3.1+dfsg-1) [contrib]
- interpreter to play LucasArts' Lua-based 3D adventures (data files)
- resolvconf (1.79)
- name server information handler
- resolvconf
- virtueel pakket geboden door openresolv
- resolvconf-admin (0.3-1)
- setuid helper program for setting up the local DNS
- resource-agents (1:4.2.0-2+deb10u2)
- Cluster Resource Agents
- resource-agents-dev
- virtueel pakket geboden door resource-agents
- resource-agents-paf (2.2.1-1)
- PostgreSQL resource agent for Pacemaker
- resource-file-generator
- virtueel pakket geboden door mono-devel
- rest2web (0.5.2~alpha+svn-r248-2.3)
- web site builder using ReStructured Text as basic content format
- rest2web-doc (0.5.2~alpha+svn-r248-2.3)
- documentation for rest2web
- restartd (0.2.3-1+b1)
- Process checker and/or restarter
- restic (0.9.4+ds-2+deb10u1) [security]
- backup program with multiple revisions, encryption and more
- restorecond (2.8-1)
- SELinux core policy utilities (restorecond utilities)
- restricted-ssh-commands (0.4-1)
- Restrict SSH users to a predefined set of commands
- retext (7.0.4-1)
- Simple text editor for Markdown and reStructuredText
- retriever
- virtueel pakket geboden door media-retriever, cdrom-retriever, net-retriever
- retroarch (1.7.3+dfsg1-1)
- Simple frontend for the libretro library
- retroarch-assets (1.3.6+git20160731+dfsg1-2)
- RetroArch assets for XMB, GLUI and Zarch
- (0.10-1)
- Simple twitter bot to retweet
- rev-plugins (0.7.1-3)
- reverb LADSPA plugin
- revolt (0.0+git20180813.6b10d57-1)
- better desktop integration for Riot.im
- rex (1.6.0-1)
- deployment and configuration management tool
- rexical (1.0.5-2+deb10u1) [security]
- Lexical scanner generator for Ruby
- rexima (1.4-8+b2)
- simple ncurses/command-line mixer
- rfcdiff (1.45-1)
- compares two internet draft files and outputs the difference
- rfdump (1.6-6)
- tool to decode RFID tag data
- rfkill (2.33.1-0.1+deb10u1) [security]
- tool for enabling and disabling wireless devices
- rgbpaint (0.8.7-6+b1)
- simple pixel-based painting program
- rgrep
- virtueel pakket geboden door grep
- rgxg (0.1.1-5)
- command-line tool to generate regular expressions
- rhash (1.3.8-1)
- utility for computing hash sums and magnet links
- rheolef (7.0-2+b1)
- efficient Finite Element environment
- rheolef-doc (7.0-2)
- efficient Finite Element environment - documentation
- rhino (1.7.7.1-1)
- JavaScript engine written in Java
- rhinote (0.7.4-3)
- virtual sticky-notes for your desktop
- rhythmbox (3.4.3-2)
- muziekspeler en -beheerder voor GNOME
- rhythmbox-data (3.4.3-2)
- data files for rhythmbox
- rhythmbox-dev (3.4.3-2)
- development files for the rhythmbox music player
- rhythmbox-doc (3.4.3-2)
- documentation files for the rhythmbox music player
- rhythmbox-plugin-alternative-toolbar (0.18.3-1)
- Enhanced play controls and interface for Rhythmbox
- rhythmbox-plugin-cdrecorder (3.4.3-2)
- burning plugin for rhythmbox music player
- rhythmbox-plugins (3.4.3-2)
- plugins for rhythmbox music player
- ri (1:2.5.1)
- Ruby Interactive reference
- ri-li (2.0.1+ds-9)
- toy train simulation game
- ri-li-data (2.0.1+ds-9)
- toy train simulation game - data files
- ricochet (0.9)
- multi-user networked version of the Ricochet Robots game
- ricochet-im (1.1.4-3)
- anonymous metadata-resistant instant messaging
- riece (9.0.0-9)
- IRC client for Emacs
- riemann-c-client (1.10.4-2)
- Client utility for the Riemann event stream processor
- ries (2018.08.05-1)
- find algebraic equations, given their solution
- rifiuti (20040505-3)
- MS Windows recycle bin analysis tool
- rifiuti2 (0.6.1-6)
- replacement for rifiuti, a MS Windows recycle bin analysis tool
- rig (1.11-1+b2)
- Random identity generator
- rinetd (0.62.1sam-1.1)
- Internet TCP redirection server
- ring (20190215.1.f152c98~ds1-1+deb10u2) [security]
- transitional package for jami
- ring-daemon (20190215.1.f152c98~ds1-1+deb10u2) [security]
- transitional package for jami-daemon
- rinse (3.3)
- RPM installation environment
- rio (1.07-12+b1)
- Command line Diamond Rio MP3 player controller
- ripe-atlas-tools (2.3.0-2)
- command-line interface for RIPE Atlas
- ripe-atlas-tools-doc (2.3.0-2)
- command-line interface for RIPE Atlas (documentation)
- ripgrep (0.10.0-2)
- Recursively searches directories for a regex pattern
- ripit (4.0.0~beta20140508-1)
- Textbased audio CD ripper
- ripmime (1.4.0.10.debian.1-2)
- Extract attachments out of MIME encoded emails
- ripper (0.0~git20150415.0.bd1a682-3+b11)
- scrape licenses out of files
- ripperx (2.8.0-2)
- GTK-based audio CD ripper/encoder
- ristretto (0.8.3-1)
- Lichtgewicht afbeeldingsviewer voor de Xfce-werkomgeving
- rkflashtool (0~20160324-2)
- Tools for flashing Rockchip devices
- rkhunter (1.4.6-5)
- rootkit, backdoor, sniffer and exploit scanner
- rkward (0.7.0b-1.1)
- KDE frontend to the R statistics language
- rkward-data (0.7.0b-1.1)
- KDE frontend to the R statistics language - data files
- rlfe (7.0-5)
- Front-end using readline to "cook" input lines for other programs
- rlinetd (0.9.1-3)
- gruesomely over-featured inetd replacement
- rlplot (1.5-4)
- GUI application for generating publication quality graphs
- rlpr (2.05-5)
- A utility for lpd printing without using /etc/printcap
- rlvm (0.14-3+b2)
- RealLive virtual machine clone
- rlwrap (0.43-1+b1)
- readline feature command line wrapper
- rmagic (2.21-5)
- Report Magic for Analog
- rmail (8.15.2-14~deb10u1)
- MTA->UUCP remote mail handler
- rman (3.2-7+b1)
- PolyglotMan - Reverse compile man pages
- rmligs-german (20161207-7)
- remove incorrectly used ligatures from LaTeX documents
- rmlint (2.8.0-3)
- Extremely fast tool to remove filesystem lint
- rmlint-doc (2.8.0-3)
- HTML documentation for rmlint
- rmlint-gui (2.8.0-3)
- GTK+ frontend to rmlint
- rna-star (2.7.0a+dfsg-1)
- ultrafast universal RNA-seq aligner
- rnahybrid (2.1.2-5)
- Fast and effective prediction of microRNA/target duplexes
- rnetclient (2017.1-1)
- Send the Brazilian Income Tax Report to the Brazilian Tax Authority
- rng-tools (2-unofficial-mt.14-1+b2)
- Daemon to use a Hardware TRNG
- rng-tools (= 2-unofficial-mt.14-3)
- virtueel pakket geboden door rng-tools-debian
- rng-tools-debian (2-unofficial-mt.14-3)
- daemon to use a Hardware TRNG (classic version)
- rng-tools5 (5-4)
- Daemon to use a Hardware TRNG
- roaraudio (1.0~beta12-2)
- sound server for audio mixing
- roaraudio-server
- virtueel pakket geboden door roaraudio, muroard
- roarclients (1.0~beta12-2)
- Collection of basic clients for the RoarAudio Sound System
- roarplaylistd (0.1.9-7)
- RoarAudio PlayList Daemon (RPLD)
- roarplaylistd-client
- virtueel pakket geboden door roarplaylistd-tools
- roarplaylistd-codechelper-gst (0.1.9-7)
- roarplaylistd helper script for GStreamer codecs
- roarplaylistd-dev (0.1.9-7)
- RoarAudio PlayList Daemon (RPLD) (plugin development files)
- roarplaylistd-tools (0.1.9-7)
- Tools to control RoarAudio PlayList Daemon (RPLD)
- roary (3.12.0+dfsg-2)
- high speed stand alone pan genome pipeline
- robin-map-dev (0.5.0-1)
- C++ implementation of a fast hash map and hash set
- robocode (1.9.3.3-3)
- Java programming game based on battle tanks
- robocut (1.0.11-1)
- Control program for Graphtec cutting plotters
- robotfindskitten (2.7182818.701-1+b1)
- Zen Simulation of robot finding kitten
- robustirc-bridge (1.8-1+b10)
- bridge between IRC and RobustIRC
- rockdodger (1.1.3-2)
- Fly through an asteroid field avoiding rocks and greeblies
- rocksdb-tools (5.17.2-3)
- persistent Key-Value Store for Flash and RAM Storage (tools)
- rocksndiamonds (4.1.1.0+dfsg-1) [contrib]
- arcade-style game
- rocs (4:17.08.3-1)
- graph theory IDE
- roffit (0.7~20120815+gitbbf62e6-1)
- convert nroff manual pages into HTML
- rofi (1.5.1-1)
- window switcher, run dialog and dmenu replacement
- rofi-dev (1.5.1-1)
- development files for rofi
- roguenarok (1.0-3)
- versatile and scalable algorithm for rogue taxon identification
- rolldice (1.16-1+b2)
- virtual dice roller
- rollup (0.50.0-6)
- Next-generation ES6 module bundler
- rolo (014-1)
- text-based (vCard) contact management software
- ronn (0.8.0-2+deb10u1)
- tool to build manuals from Markdown
- roodi (5.0.0-2)
- Ruby object oriented design inferometer
- root-tail (1.2-4)
- Displays select log files in the X root window
- rootskel (1.131+10u1)
- Skeleton root filesystem used by debian-installer
- rootskel-gtk (1.41)
- Additions for graphical installs to skeleton root filesystem (debian-installer)
- ros-actionlib-msgs (1.12.7-1)
- Messages relating to Robot OS actionlib, definitions
- ros-base (1.12)
- Python Robot OS base metapackage
- ros-base-dev (1.12)
- Robot OS base development metapackage
- ros-base-lisp-dev (1.12)
- Lisp Robot OS base development metapackage
- ros-base-python-dev (1.12)
- Python Robot OS base development metapackage
- ros-cmake-modules (0.4.1-2)
- Robot OS CMake Modules
- ros-core (1.12)
- Robot OS core metapackage
- ros-core-dev (1.12)
- Robot OS core development metapackage
- ros-core-lisp-dev (1.12)
- Lisp Robot OS core development metapackage
- ros-core-python-dev (1.12)
- Python Robot OS core development metapackage
- ros-core-rosbuild-dev (1.12)
- Robot OS rosbuild core development metapackage
- ros-desktop (1.12)
- Robot OS desktop metapackage
- ros-desktop-dev (1.12)
- Robot OS desktop development metapackage
- ros-desktop-full (1.12)
- Robot OS desktop-full metapackage
- ros-desktop-full-dev (1.12)
- Robot OS desktop-full development metapackage
- ros-desktop-full-lisp-dev (1.12)
- LISP Robot OS desktop-full development metapackage
- ros-desktop-full-python-dev (1.12)
- Python Robot OS desktop-full development metapackage
- ros-desktop-lisp-dev (1.12)
- LISP Robot OS desktop development metapackage
- ros-desktop-python-dev (1.12)
- Python Robot OS desktop development metapackage
- ros-diagnostic-msgs (1.12.7-1)
- Messages relating to Robot OS diagnostic, definitions
- ros-environment (1.2.1-2)
- Robot OS environment hooks
- ros-geometry-msgs (1.12.7-1)
- Messages relating to Robot OS geometry, definitions
- ros-map-msgs (1.13.0-8)
- Definitions of map-related ROS Messages
- ros-message-generation (0.4.0-3)
- Generates build-time messaging dependencies for Robot OS packages
- ros-message-runtime (0.4.12-6)
- Generates runtime messaging dependencies for Robot OS package
- ros-mk (1.14.4-6)
- Robot OS make file helpers
- ros-move-base-msgs (1.13.0-8)
- Definitions of move-base-related ROS Messages
- ros-nav-msgs (1.12.7-1)
- Messages relating to Robot OS nav, definitions
- ros-opencv-apps (1.12.0-2)
- opencv_apps Robot OS package - apps
- ros-pcl-msgs (0.2.0-8)
- Definitions of PCL-related Robot OS Messages
- ros-perception (1.12)
- Python Robot OS perception metapackage
- ros-perception-dev (1.12)
- Robot OS perception development metapackage
- ros-perception-lisp-dev (1.12)
- LISP Robot OS perception development metapackage
- ros-perception-python-dev (1.12)
- Python Robot OS perception development metapackage
- ros-robot (1.12)
- Python Robot OS robot metapackage
- ros-robot-dev (1.12)
- Robot OS robot development metapackage
- ros-robot-lisp-dev (1.12)
- LISP Robot OS robot development metapackage
- ros-robot-python-dev (1.12)
- Python Robot OS robot development metapackage
- ros-robot-state-publisher (1.13.6-7)
- Robot OS robot_state_publisher
- ros-roscpp-msg (1.14.3+ds1-5+deb10u3)
- Robot OS definitions of roscpp messages
- ros-rosgraph-msgs (1.11.2-9)
- Messages relating to the Robot OS Computation Graph, definitions
- ros-sensor-msgs (1.12.7-1)
- Messages relating to Robot OS sensor, definitions
- ros-shape-msgs (1.12.7-1)
- Messages relating to Robot OS shape, definitions
- ros-simulators (1.12)
- Python Robot OS simulators metapackage
- ros-simulators-dev (1.12)
- Robot OS simulators development metapackage
- ros-simulators-lisp-dev (1.12)
- LISP Robot OS simulators development metapackage
- ros-simulators-python-dev (1.12)
- Python Robot OS simulators development metapackage
- ros-std-msgs (0.5.11-5)
- Message definitions for Standard Robot OS Messages
- ros-std-srvs (1.11.2-9)
- Robot OS Common service definitions, definitions
- ros-stereo-msgs (1.12.7-1)
- Messages relating to Robot OS stereo, definitions
- ros-tf2-msgs (0.6.5-3)
- Robot OS messages for tf2 transform library - definitions
- ros-topic-tools-srvs (1.14.3+ds1-5+deb10u3)
- service templates files for libtopic-tools (Robot OS)
- ros-trajectory-msgs (1.12.7-1)
- Messages relating to Robot OS trajectory, definitions
- ros-visualization-msgs (1.12.7-1)
- Messages relating to Robot OS visualization, definitions
- ros-viz (1.12)
- Python Robot OS viz metapackage
- ros-viz-dev (1.12)
- Robot OS viz development metapackage
- ros-viz-python-dev (1.12)
- Python Robot OS viz development metapackage
- rosbash (1.14.4-6)
- Assorted shell commands for using Robot OS with bash
- rosbuild (1.14.4-6)
- scripts for managing the Robot OS build system
- rosegarden (1:18.12-1)
- music editor and MIDI/audio sequencer
- roslang (1.14.4-6)
- Common metapackage for all Robot OS client libraries
- roslisp (1.9.22-1)
- Lisp client library for Robot OS
- rosout (1.14.3+ds1-5+deb10u3)
- Robot OS system-wide logging mechanism
- rospack-tools (2.5.2-1+b1)
- command-line tool for retrieving information about Robot OS packages
- rotix (0.83-5+b2)
- generate rotational obfuscations
- rott (1.1.2+svn287-3) [contrib]
- SDL-Port of Rise of the Triad
- rotter (0.9-3+b2)
- JACK client for transmission recording and audio logging
- roundcube (1.3.17+dfsg.1-1~deb10u5) [security]
- skinnable AJAX based webmail solution for IMAP servers - metapackage
- roundcube-core (1.3.17+dfsg.1-1~deb10u5) [security]
- skinnable AJAX based webmail solution for IMAP servers
- roundcube-mysql (1.3.17+dfsg.1-1~deb10u5) [security]
- metapackage providing MySQL dependencies for RoundCube
- roundcube-pgsql (1.3.17+dfsg.1-1~deb10u5) [security]
- metapackage providing PostgreSQL dependencies for RoundCube
- roundcube-plugins (1.3.17+dfsg.1-1~deb10u5) [security]
- skinnable AJAX based webmail solution for IMAP servers - plugins
- (1.3.8-20190219)
- skinnable AJAX based webmail solution - extra plugins
- roundcube-sqlite3 (1.3.17+dfsg.1-1~deb10u5) [security]
- metapackage providing SQLite dependencies for RoundCube
- routino (3.2-5)
- Set of tools to find a path between two points
- routino-common (3.2-5)
- Routino data
- routino-www (3.2-5)
- Web frontend for routino
- rovclock (0.6e-7+b1)
- utility to control frequency rates of your Radeon card
- rover (0.3.3)
- text-based light-weight frontend for update-alternatives
- rows (0.3.1-3)
- common, beautiful interface to tabular data, no matter the format
- rox-filer (1:2.11-1)
- simple graphical file manager for X11
- rpcbind (1.2.5-0.3+deb10u1)
- converts RPC program numbers into universal addresses
- rpi.gpio-common (0.6.5-1)
- Module to control Raspberry Pi GPIO channels (common files)
- rpl (1.5.7-1)
- intelligent recursive search/replace utility
- rplay-client (3.3.2-17)
- rplay network audio system - basic clients
- rplay-contrib (3.3.2-17)
- rplay network audio system - contributed binaries
- rplay-server (3.3.2-17)
- rplay network audio system - server
- rpm (4.14.2.1+dfsg1-1)
- package manager for RPM
- rpm-common (4.14.2.1+dfsg1-1)
- common files for RPM
- rpm-i18n (4.14.2.1+dfsg1-1)
- localization and localized man pages for rpm
- rpm2cpio (4.14.2.1+dfsg1-1)
- tool to convert RPM package to CPIO archive
- rr (5.2.0-4)
- application execution recorder, player and debugger
- rrdcached (1.7.1-2)
- data caching daemon for RRDtool
- rrdcollect (0.2.10-2+b3)
- Round-Robin-Database Collecting Daemon
- rrdcollect-dbg (0.2.10-2+b3)
- debugging symbols for rrdcollect
- rrdtool (1.7.1-2)
- time-series data storage and display system (programs)
- rrdtool-dbg (1.7.1-2)
- time-series data storage and display system (debugging symbols)
- rrdtool-tcl (1.7.1-2)
- time-series data storage and display system (Tcl interface)
- rrep (1.3.6-1)
- recursive pattern replacement utility
- rrootage (0.23a-12+b1)
- arcade-style space shooting game
- rrootage-data (0.23a-12)
- space shooting game - data files
- rs (20181225-1)
- reshape a data array
- rsakeyfind (1:1.0-5)
- locates BER-encoded RSA private keys in memory images
- rsbackup (5.1-1+b1)
- rsync-based backup utility
- rsbackup-graph (5.1-1+b1)
- Graphics for rsync-based backup utility
- rsem (1.3.1+dfsg-1)
- RNA-Seq by Expectation-Maximization
- rsh-client (0.17-20)
- client programs for remote shell connections
- rsh-client
- virtueel pakket geboden door openssh-client
- rsh-redone-client (85-2+b1)
- Reimplementation of rsh and rlogin
- rsh-redone-server (85-2+b1)
- Reimplementation of rshd and rlogind
- rsh-server (0.17-20)
- server program for remote shell connections
- rsh-server
- virtueel pakket geboden door rsh-redone-server
- rsibreak (4:0.12.9-1)
- utility to help prevent repetitive strain injury
- rsnapshot (1.4.2-1)
- local and remote filesystem snapshot utility
- rsound-server
- virtueel pakket geboden door roaraudio
- rspamd (1.8.1-2+b2)
- Rapid spam filtering system
- (2019-01-13-1)
- web service generating ATOM feeds for websites that don't have them
- (0.9.1-6.1+b3)
- Really Slick Screensavers GLX Port
- (1:3.9-4.1)
- receive RSS feeds by email
- (1.2-3)
- IRC bot that watches an RSS/Atom feed and relays it to a channel
- (1.8-1+b1)
- console RSS reader that monitors a feed and outputs new entries
- rst2pdf (0.93-7)
- ReportLab-based reStructuredText to PDF renderer
- rstat-client (4.0.1-9)
- client for rstatd
- rstatd (4.0.1-9)
- displays uptime information for remote machines
- rsync (3.1.3-6)
- fast, versatile, remote (and local) file-copying tool
- rsyslog (8.1901.0-1+deb10u2)
- reliable system and kernel logging daemon
- rsyslog-czmq (8.1901.0-1+deb10u2)
- ZeroMQ protocol support for rsyslog via CZMQ
- rsyslog-doc (8.1901.0-1)
- documentation for rsyslog
- rsyslog-elasticsearch (8.1901.0-1+deb10u2)
- Elasticsearch output plugin for rsyslog
- rsyslog-gnutls (8.1901.0-1+deb10u2)
- TLS protocol support for rsyslog
- rsyslog-gssapi (8.1901.0-1+deb10u2)
- GSSAPI authentication and encryption support for rsyslog
- rsyslog-hiredis (8.1901.0-1+deb10u2)
- Redis output plugin for rsyslog
- rsyslog-kafka (8.1901.0-1+deb10u2)
- Apache Kafka support for rsyslog
- rsyslog-mongodb (8.1901.0-1+deb10u2)
- MongoDB output plugin for rsyslog
- rsyslog-mysql (8.1901.0-1+deb10u2)
- MySQL output plugin for rsyslog
- rsyslog-pgsql (8.1901.0-1+deb10u2)
- PostgreSQL output plugin for rsyslog
- rsyslog-relp (8.1901.0-1+deb10u2)
- RELP protocol support for rsyslog
- rt-app (0.3-2)
- Test application which simulates a real-time periodic load
- rt-tests (1.0-3)
- Test programs for rt kernels
- rt4-apache2 (4.4.3-2+deb10u3) [security]
- Apache 2 specific files for request-tracker4
- rt4-clients (4.4.3-2+deb10u3) [security]
- mail gateway and command-line interface to request-tracker4
- rt4-db-mysql (4.4.3-2+deb10u3) [security]
- MySQL database backend for request-tracker4
- rt4-db-postgresql (4.4.3-2+deb10u3) [security]
- PostgreSQL database backend for request-tracker4
- rt4-db-sqlite (4.4.3-2+deb10u3) [security]
- SQLite database backend for request-tracker4
- rt4-doc-html (4.4.3-2+deb10u3) [security]
- HTML documentation for request-tracker4
- rt4-extension-calendar (1.01-1.1)
- Calendar view for Request Tracker 4
- rt4-extension-customfieldsonupdate (1.02-1)
- edit ticket's custom fields on reply/comment (Request Tracker)
- rt4-extension-jsgantt (1.03-1.1)
- Gantt charts for your tickets (for RT4)
- rt4-extension-nagios (1.01-1)
- merge and resolve Nagios tickets in RT
- rt4-extension-repeatticket (1.10-5)
- Repeat tickets in Request Tracker 4 based on schedule
- rt4-extension-smsnotify (1.04-1)
- Send SMS notifications from RT via SMS::Send
- rt4-fcgi (4.4.3-2+deb10u3) [security]
- External FastCGI support for request-tracker4
- rt4-standalone (4.4.3-2+deb10u3) [security]
- Standalone web server support for request-tracker4
- rtags (2.21-3)
- C/C++ client/server indexer with integration for Emacs
- rtax (0.984-6)
- Classification of sequence reads of 16S ribosomal RNA gene
- rtc-modules
- virtueel pakket geboden door kernel-image-4.19.0-20-686-di, kernel-image-4.19.0-21-686-pae-di, kernel-image-4.19.0-20-686-pae-di, kernel-image-4.19.0-21-686-di
- rtcw (1.51.b+dfsg1-3) [contrib]
- game engine for Return to Castle Wolfenstein
- rtcw-common (1.51.b+dfsg1-3) [contrib]
- common files for Return to Castle Wolfenstein
- rtcw-server (1.51.b+dfsg1-3) [contrib]
- standalone server for Return to Castle Wolfenstein
- rtirq-init (20150216-2)
- startup script for realtime-preempt enabled kernels
- rtkit (0.11-6)
- Realtime Policy and Watchdog Daemon
- rtklib (2.4.3+dfsg1-1)
- Real Time Kinematic and other advanced GPS positioning techniques
- rtklib-doc (2.4.3+dfsg1-1)
- Real Time Kinematic and advanced GPS positioning techniques manual
- rtklib-qt (2.4.3+dfsg1-1)
- Tools for standard and precise positioning with GNSS QT interface
- rtl-sdr (0.6-1)
- Software defined radio receiver for Realtek RTL2832U (tools)
- rtmpdump (2.4+20151223.gitfa8646d.1-2)
- small dumper for media content streamed over the RTMP protocol
- rtorrent (0.9.7-1)
- ncurses BitTorrent client based on LibTorrent from rakshasa
- rtpg-www (0.2.11-3)
- web based front end for rTorrent
- rtr-tools (0.6.3-1)
- RPKI-RTR command line tools
- rttool (1.0.3.0-6)
- RT table formatter
- rtv (1.25.1+dfsg-1)
- Simple Reddit terminal browser
- rubber (1.5.1-1)
- automated system for building LaTeX documents
- rubberband-cli (1.8.1-7)
- audio time-stretching and pitch-shifting utility
- rubberband-ladspa (1.8.1-7)
- LADSPA plugin for audio pitch-shifting
- rubberband-vamp (1.8.1-7)
- Vamp plugins using Rubber Band
- rubiks (20070912-3)
- Small collection of Rubik's cube solvers
- rubocop (0.52.1+dfsg-1)
- Ruby static code analyzer
- ruby (1:2.5.1)
- Interpreter of object-oriented scripting language Ruby (default version)
- ruby-ace-rails-ap (4.1.1-1)
- ajax.org Cloud9 Editor (Ace) for the Rails asset pipeline
- ruby-actioncable (2:5.2.2.1+dfsg-1+deb10u5) [security]
- WebSocket framework for Rails (part of Rails)
- ruby-actionmailer (2:5.2.2.1+dfsg-1+deb10u5) [security]
- email composition, delivery, and receiving framework (part of Rails)
- ruby-actionpack (2:5.2.2.1+dfsg-1+deb10u5) [security]
- web-flow and rendering framework putting the VC in MVC (part of Rails)
- ruby-actionpack-action-caching (1.2.0-2)
- action caching for Action Pack
- ruby-actionpack-page-caching (1.1.0-1)
- static page caching for Action Pack (removed from core in Rails 4.0)
- ruby-actionpack-xml-parser (2.0.1-3)
- XML parameters parser for Action Pack
- ruby-actionview (2:5.2.2.1+dfsg-1+deb10u5) [security]
- framework for handling view template lookup and rendering (part of Rails)
- ruby-active-model-serializers (0.10.8-1)
- Making it easy to serialize models for client-side use
- ruby-activejob (2:5.2.2.1+dfsg-1+deb10u5) [security]
- job framework with pluggable queues
- ruby-activeldap (5.2.2-2+deb10u1)
- ActiveLdap is a object-oriented API to LDAP
- ruby-activeldap-doc (5.2.2-2+deb10u1)
- ActiveLdap is a object-oriented API to LDAP -- API documentation
- ruby-activemodel (2:5.2.2.1+dfsg-1+deb10u5) [security]
- toolkit for building modeling frameworks (part of Rails)
- ruby-activemodel-serializers-xml (1.0.2-2)
- xml serialization for active model
- ruby-activerecord (2:5.2.2.1+dfsg-1+deb10u5) [security]
- object-relational mapper framework (part of Rails)
- ruby-activerecord-import (0.28.2-3)
- Bulk-loading extension for ActiveRecord
- ruby-activestorage (2:5.2.2.1+dfsg-1+deb10u5) [security]
- Local and cloud file storage framework (part of Rails)
- ruby-activesupport (2:5.2.2.1+dfsg-1+deb10u5) [security]
- Support and utility classes used by the Rails 4.1 framework
- ruby-acts-as-api (1.0.1-2)
- makes creating XML/JSON responses in Rails 3 easy and fun
- ruby-acts-as-list (0.9.15-1)
- sorting, reordering capabilities to active_record models
- ruby-acts-as-taggable-on (6.0.0-3)
- provides advanced tagging for Rails
- ruby-acts-as-tree (2.8.0-1)
- simple tree behaviour to active_record models
- ruby-addressable (2.5.2-1)
- alternative URI implementation for Ruby
- ruby-adsf (1.4.1+dfsg1-1)
- tiny static file server
- ruby-ae (1.8.2-1)
- assertive expressive (ae) is an assertions library
- ruby-aes-key-wrap (1.0.1-1)
- Ruby implementation of AES Key Wrap
- ruby-afm (0.2.2-1)
- Ruby library to read Adobe Font Metrics files
- ruby-after-commit-queue (1.3.0-2)
- run callbacks after database transaction
- ruby-aggregate (0.2.2-2)
- Ruby class for accumulating aggregate statistics
- ruby-airbrussh (1.3.1-2+deb10u1)
- Concise log formatter for Capistrano and SSHKit
- ruby-akismet (2.0.0-1)
- Ruby client for the Akismet API
- ruby-algebrick (0.7.4-1)
- Algebraic types and pattern matching for Ruby
- ruby-all-dev (1:2.5.1)
- Ruby development environment (all versions supported in Debian)
- ruby-amazon-ec2 (0.9.17-3)
- Ruby library for accessing Amazon EC2
- ruby-ami (2.4.0-1)
- Ruby client library for the Asterisk Management Interface
- ruby-amq-client
- virtueel pakket geboden door ruby-amqp
- ruby-amq-protocol (2.3.0-1)
- AMQP 0.9.1 encoder & decoder
- ruby-amqp (1.6.0-1)
- feature-rich, asynchronous AMQP client
- ruby-ansi (1.5.0-1)
- ANSI escape codes at your fingertips
- ruby-appraisal (0.5.1-2)
- Find out what your Ruby gems are worth
- ruby-appraiser (0.2.0-3)
- simple rubygems subcommand for Gemfile
- ruby-appraiser-reek (1.0.0-1)
- Run Reek inside RubyAppraiser
- ruby-appraiser-rubocop (1.0.1-1)
- Run Rubocop inside RubyAppraiser
- ruby-archive-tar-minitar (0.6.1-1)
- Provides POSIX tarchive management for Ruby - transitional package
- ruby-archive-zip (0.11.0-1)
- Simple, extensible, pure Ruby ZIP archive support
- ruby-arel (9.0.0-2)
- SQL AST manager for Ruby
- ruby-aruba (0.14.8-1)
- Cucumber extension for CLI applications
- ruby-asana (0.6.0-1)
- official Ruby client for the Asana API
- ruby-ascii85 (1.0.3-1)
- Ruby library to encode/decode the Ascii85 format
- ruby-asciidoctor (1.5.8-1)
- AsciiDoc to HTML rendering for Ruby (core libraries)
- ruby-asciidoctor-pdf (1.5.0~alpha.17.dev-5)
- Converts AsciiDoc documents to PDF using Prawn
- ruby-asciidoctor-plantuml (0.0.8-1)
- extension for Asciidoctor to enable support for PlantUML diagrams
- ruby-asetus (0.3.0-1)
- configuration library with YAML/JSON/TOML backends
- ruby-asset-sync (2.6.0-1)
- synchronises assets in a Rails app and Amazon/Rackspace cloud
- ruby-ast (2.3.0-1)
- Ruby library for working with abstract syntax trees
- ruby-atk (3.3.2-1)
- ATK bindings for the Ruby language
- ruby-atomic (1.1.16-2+b8 [amd64, armhf, i386], 1.1.16-2+b7 [arm64])
- atomic reference implementation for JRuby, Rubinius, and MRI
- ruby-attr-required (1.0.0-2)
- attr_required and attr_optional support for Ruby
- ruby-augeas (1:0.5.0-3+b6)
- Augeas bindings for the Ruby language
- ruby-autoprefixer-rails (8.6.5+dfsg-3)
- Rails integration for the autoprefixer tool
- ruby-avl-tree (1.1.3-1)
- AVL and red-black trees in Ruby
- ruby-awesome-nested-set (3.0.0-1)
- awesome nested set implementation for Active Record
- ruby-awesome-print (1.8.0-1)
- pretty print Ruby objects with proper indentation and colors
- ruby-aws (2.10.2-5)
- AWS Ruby Library for interfacing with Amazon Web Services
- ruby-aws-sdk (1.67.0-2)
- Amazon Web Services SDK for Ruby
- ruby-axiom-types (0.1.1-1)
- Ruby module for abstract types for logic programming
- ruby-babosa (1.0.2-2)
- library for creating slugs
- ruby-backbone-on-rails (1.2.0.0-2)
- simple library for using Backbone with Rails
- ruby-backports (3.11.1-2)
- backports of new features for older versions of Ruby
- ruby-bacon (1.2.0-6)
- Small RSpec clone
- ruby-barby (0.6.6-1)
- Ruby library for barcode generation
- ruby-barrier (1.0.2-1)
- Ruby threads synchronization using a barrier method
- ruby-base32 (0.3.2-3)
- Ruby extension for base32 encoding and decoding
- ruby-base62 (1.0.0-3)
- base62 encoding and decoding library for Ruby
- ruby-batch-loader (1.2.2-1)
- Powerful tool to avoid N+1 DB or HTTP queries
- ruby-bcrypt (3.1.12-1)
- Ruby binding for the bcrypt() password hashing algorithm
- ruby-bcrypt-pbkdf (1.0.0-2)
- Ruby library for OpenBSD's bcrypt_pdkfd
- ruby-beaker-hostgenerator (1.1.22-1)
- command line utility designed to generate beaker host config files
- ruby-beaneater (1.0.0-1)
- simple beanstalkd client for Ruby
- ruby-beautify (0.97.4-4)
- CLI binary and module that will pretty up Ruby code
- ruby-beefcake (1.0.0-1)
- sane Google Protocol Buffers library for Ruby
- ruby-benchmark-ips (1.2.0+git.20130609.e47e416-2)
- iterations per second enhancement to Benchmark
- ruby-benchmark-ips-doc (1.2.0+git.20130609.e47e416-2)
- iterations per second enhancement to Benchmark (documentation)
- ruby-benchmark-suite (1.0.0+git.20130122.5bded6-2)
- enhancements to the standard library benchmark.rb
- ruby-benchmark-suite-doc (1.0.0+git.20130122.5bded6-2)
- enhancements to the standard library benchmark.rb (documentation)
- ruby-bert (1.1.6-1+b5)
- BERT serializiation for Ruby
- ruby-bindata (2.3.5-1)
- declarative way to read and write binary file formats
- ruby-bindex (0.5.0-1)
- Bindings for your Ruby exceptions
- ruby-binding-of-caller (0.7.2+debian1-3)
- retrieve the binding of a method's caller
- ruby-bio (1.5.2-1)
- Ruby tools for computational molecular biology
- ruby-blade (0.7.1-1)
- Sprockets Toolkit for Building and Testing JavaScript Libraries
- ruby-blade-qunit-adapter (2.0.1-2)
- Blade adapter for the QUnit JavaScript testing framework
- ruby-blade-sauce-labs-plugin (0.7.3+dfsg-1)
- Blade Runner plugin for Sauce Labs (saucelabs.com)
- ruby-blankslate (3.1.3-1)
- Ruby library providing a class with no predefined methods
- ruby-blockenspiel (0.5.0-3)
- Comprehensive implementation of Ruby DSL blocks
- ruby-bluefeather (0.41-5)
- Extend Markdown Converter written in Ruby
- ruby-bogus (0.1.6-2)
- library creating fakes to make isolated unit tests reliable
- ruby-bootsnap (1.3.0-1)
- Boot large ruby/rails apps faster
- ruby-bootstrap-form (2.7.0-1)
- makes it easy to style forms using Twitter Bootstrap 3+
- ruby-bootstrap-sass (3.3.5.1-5.1)
- Twitter's Bootstrap, converted to Sass
- ruby-bootstrap-switch-rails (3.3.4-1)
- asset gemification of the bootstrap-switch plugin
- ruby-bourne (1.6.0-2)
- Adds test spies to mocha
- ruby-brandur-json-schema (0.19.1-1)
- JSON schema/hyperschema parser and validator for Ruby
- ruby-brass (1.2.1-1)
- bare-metal Ruby assertion system standard
- ruby-broccoli (1.62-1)
- Ruby extension for Broccoli
- ruby-browser (2.5.3-1)
- browser detection for Ruby
- ruby-bsearch (1.5-10)
- binary search library for Ruby
- ruby-bson (4.3.0-1)
- Ruby implementation of BSON
- ruby-bson-ext (4.3.0-1)
- transitional dummy package
- ruby-buff-config (2.0.0-2)
- Ruby library providiing a simple configuration class
- ruby-buff-extensions (2.0.0-1)
- Ruby library that provides extra features to core Ruby classes
- ruby-buff-ignore (1.2.0-1)
- Ruby helper library for parsing and managing an ignore file
- ruby-buff-ruby-engine (0.1.0-2)
- Ruby library that allows one to query the platform running Ruby
- ruby-buff-shell-out (0.2.0-1)
- Ruby library fo issuing shell commands and collecting the output
- ruby-buftok (0.2.0-1)
- extracts token delimited entities from a sequence of arbitrary inputs
- ruby-build (20170726-1)
- tool to compile and install different versions of Ruby
- ruby-builder (3.2.3-1)
- Ruby library to facilitate programmatic generation of XML markup
- ruby-builder-doc (3.2.3-1)
- Ruby library to facilitate programmatic generation of XML markup
- ruby-bundler (1.17.3-3+deb10u1)
- Manage Ruby application dependencies (runtime)
- ruby-bunny (2.9.2-1)
- Synchronous Ruby AMQP client
- ruby-byebug (10.0.2-1)
- Ruby fast debugger - base + CLI
- ruby-cabin (0.8.1-1)
- experiments in structured and contextual logging
- ruby-cairo (1.16.2-1)
- Cairo bindings for the Ruby language
- ruby-cairo-gobject (3.3.2-1)
- CairoGObject bindings for the Ruby language
- ruby-capture-output (1.0.0-2)
- Ruby library to grab given IO output and return it as a string
- ruby-capybara (3.12.0-1)
- integration testing tool for Rack based web applications
- ruby-carrierwave (1.3.1-2)
- Ruby file upload library
- ruby-case-transform (0.2-1)
- Transform values to different cases
- ruby-cassiopee (0.1.13-1)
- Library to index strings and to search with exact or approximate match
- ruby-cat (0.2.1-1)
- Create Ruby objects in a sandbox
- ruby-celluloid (0.16.0-5)
- actor-based concurrent object framework for ruby
- ruby-celluloid-essentials (0.20.5-1)
- internally used Celluloid tools and superstructural dependencies
- (0.20.5-1)
- Celluloid expansion, testing, and example classes
- ruby-celluloid-fsm (0.20.5-1)
- Celluloid Finite State Machines
- ruby-celluloid-io (0.16.2-5)
- Ruby library providing an event-driven IO system for Celluloid actors
- ruby-celluloid-pool (0.20.5-1)
- actor pool based on Celluloid
- ruby-celluloid-supervision (0.20.5-1)
- Supervision support for Celluloid
- ruby-certificate-authority (0.2.0~434c15cd-1)
- tool to manage the core functions outlined in RFC-3280 for PKI
- ruby-cfpropertylist (2.2.8-1)
- Manipulate binary and XML property lists as defined by apple
- ruby-character-set (1.1.2-1)
- Build, read, write and compare sets of Unicode codepoints
- ruby-charlock-holmes (0.7.6-1)
- Ruby character encoding detection library
- ruby-chef-config (13.8.7-4)
- Chef configuration library
- ruby-cheffish (13.1.0-2)
- library to manipulate Chef in Chef
- ruby-childprocess (0.9.0-1)
- Ruby library for controlling external programs running in the background
- ruby-chromedriver-helper (2.1.0-7)
- Easy installation and use of chromedriver
- ruby-chronic (0.10.2-3)
- natural language date parser
- ruby-chronic-duration (0.10.6-1)
- simple Ruby natural language parser for elapsed time
- ruby-chunky-png (1.3.8-1)
- pure ruby library for read/write, chunk-level access to PNG files
- ruby-citrus (3.0.2-1)
- Parsing Expressions for Ruby
- ruby-clamp (1.1.1-1)
- minimal framework for Ruby command-line utilities
- ruby-classifier (1.3.4-2)
- Ruby module to allow Bayesian and other types of classifications
- ruby-classifier-reborn (2.2.0-1)
- Successor of Ruby::Classifier
- ruby-clean-test (1.0.0-1)
- Get unit tests readable and fluent
- ruby-cleanroom (1.0.0-1)
- Ruby module for safer evaluation of Ruby DSLs
- ruby-client-side-validations (3.2.6+gh-1)
- client side validations made easy for your Rails v3.1+ apps
- ruby-climate-control (0.0.3-1)
- library to easily manage environment variables
- ruby-cliver (0.3.2-3)
- cross-platform version constraints for cli tools
- ruby-clutter (3.3.2-1)
- Clutter bindings for the Ruby language
- ruby-clutter-gdk (3.3.2-1)
- Clutter-Gdk bindings for the Ruby language
- ruby-clutter-gtk (3.3.2-1)
- Clutter-Gtk bindings for the Ruby language
- ruby-cmdparse (2.0.6-1)
- Advanced command line parsing Ruby module supporting sub-commands
- ruby-cocaine (0.5.8-1)
- library for running command line commands in Ruby
- ruby-cocoon (1.2.6-2)
- tool to handle nested forms using jQuery
- ruby-codemirror-rails (5.16.0-1)
- use codemirror with rails
- ruby-coderay (1.1.2-2)
- Ruby library for syntax highlighting
- ruby-coercible (1.0.0-2)
- powerful, flexible and configurable coercion library
- ruby-coffee-rails (4.2.2-1)
- Coffee Script adapter for the Rails asset pipeline
- ruby-coffee-script (2.4.1-1)
- Ruby CoffeeScript Compiler
- ruby-coffee-script-source (1.10.0-1)
- CoffeeScript Compiler - Ruby integration
- ruby-color (1.8-2)
- Ruby library to provide RGB, CMYK and other colorspace support
- ruby-colorator (1.1.0-1)
- String core extensions for terminal coloring
- ruby-colored (1.2-2)
- library to add colors or attributes to text
- ruby-colorize (0.8.1-1)
- color methods to Ruby String class
- ruby-columnize (0.9.0-1)
- Ruby library to format arrays as column-aligned strings
- ruby-combustion (1.0.0-2)
- elegant rails engine testing
- ruby-commander (4.4.5-1)
- Ruby command-line interface library
- ruby-commonmarker (0.17.9-1)
- CommonMark parser and renderer - Written in C, wrapped in Ruby
- ruby-compat-resource (12.10.5-1)
- cookbook with some features of Chef 12.5 in previous 12.X releases
- ruby-concurrent (1.0.5-3)
- modern concurrency tools for Ruby
- ruby-concurrent-ext (1.0.5-1+b2)
- C extensions to optimize concurrent-ruby under MRI
- ruby-configurate (0.3.1-1)
- Flexible configuration system for Ruby
- ruby-connection-pool (2.2.2-1)
- generic connection pool for ruby
- ruby-contest (0.1.3-3)
- Ruby library to write declarative tests using nested contexts
- ruby-contracts (0.16.0-1)
- Contracts (a assert like) for Ruby
- ruby-cookiejar (0.3.3-1)
- client-side HTTP cookie library
- ruby-coveralls (0.8.22-2)
- Ruby implementation of the Coveralls API
- ruby-crack (0.4.3-3)
- Ruby library to parse XML and JSON
- ruby-crass (1.0.2-2)
- CSS parser based on the CSS Syntax Level 3 draft
- ruby-crb-blast (0.6.9-2)
- Run conditional reciprocal best blast
- ruby-creole (0.5.0-2)
- Creole common wiki markup language to HTML converter
- ruby-cri (2.15.2-1)
- library for building easy-to-use commandline tools
- ruby-cri-doc (2.15.2-1)
- library for building easy-to-use commandline tools - documentation
- ruby-css-parser (1.6.0-1)
- Ruby CSS parser library
- ruby-cssmin (1.0.3-4)
- Ruby library for minifying CSS
- ruby-cstruct (1.0.1-2)
- simulation of the C language's struct
- ruby-csv (3.0.2-1)
- CSV reader and writer
- ruby-cucumber-core (1.5.0-1)
- core library for the Cucumber application
- ruby-cucumber-expressions (5.0.13-1)
- simple patterns for matching Step Definitions
- ruby-cucumber-wire (0.0.1-3)
- Wire protocol for Cucumber (a ruby acceptance testing framework)
- ruby-curb (0.9.7-1)
- Ruby libcurl bindings
- ruby-curses (1.2.4-1+b1)
- curses binding for Ruby
- ruby-cutest (1.2.1-2)
- Run ruby tests independantly
- ruby-daemons (1.1.9-2)
- Ruby daemons library
- ruby-dalli (2.7.9-1)
- memcached client library for Ruby
- ruby-data-migrate (5.3.1-1)
- migrate data alongside schema changes for rake tasks
- ruby-database-cleaner (1.7.0-1)
- clean databases to ensure a clean state for testing
- ruby-dataobjects (0.10.16-1)
- Unified interface to various databases for Ruby
- ruby-dataobjects-mysql (0.10.16-2+b3)
- MySQL adapter for ruby-dataobjects
- ruby-dataobjects-postgres (0.10.16-1+b5)
- PostgreSQL adapter for ruby-dataobjects
- ruby-dataobjects-sqlite3 (0.10.16-1+b5)
- SQLite adapter for ruby-dataobjects
- ruby-dbf (3.0.5-1)
- small fast Ruby library for reading database files
- ruby-dbus (0.15.0-1)
- Ruby module for interaction with D-Bus
- ruby-ddmemoize (1.0.0-1)
- Ruby library providing support for memoizing functions
- ruby-ddmetrics (1.0.1-1)
- Non-timeseries measurements for Ruby programs
- ruby-ddplugin (1.0.2-1)
- plugins management for Ruby apps
- ruby-debian (0.3.9+b8)
- ruby interface for dpkg
- ruby-debug-inspector (0.0.2-1.1+b5)
- Ruby wrapper for the MRI 2.0 debug_inspector API
- ruby-declarative (0.0.10-1)
- DSL for nested schemas
- ruby-declarative-option (0.1.0-1)
- Dynamic options for declarative to evaluate at runtime
- ruby-deep-merge (1.1.1-1)
- recursively merge Hash elements in Ruby
- ruby-default-value-for (3.1.0-1)
- provides a way to specify default values for ActiveRecord models
- ruby-delayed-job (4.1.5-1)
- database-backed asynchronous priority queue system
- ruby-delayed-job-active-record (4.1.3-1)
- ActiveRecord backend for DelayedJob
- ruby-delayer (0.0.2-5)
- Ruby library providing delay the processing
- ruby-delayer-deferred (2.0.0-3)
- Ruby implementation of JSDeferred
- ruby-delorean (2.1.0-2)
- lets you travel in time with Ruby by mocking Time.now
- ruby-dependor (1.0.1-2)
- classes and modules that simplifing dependency injection in Ruby
- ruby-descendants-tracker (0.0.4-2)
- Ruby module that adds descendant tracking to a class
- ruby-dev (1:2.5.1)
- Header files for compiling extension modules for Ruby (default version)
- ruby-device-detector (1.0.1-2)
- Precise and fast user agent parser and device detector
- ruby-devise (4.5.0-3)
- Flexible authentication solution for Rails with Warden
- ruby-devise-lastseenable (0.0.6-1)
- make devise update last_seen flag on user
- ruby-devise-token-authenticatable (0.5.2-1)
- token based authentication for devise
- ruby-diaspora-federation (0.2.5-2)
- diaspora* federation library
- ruby-diaspora-federation-json-schema (0.2.5-1)
- diaspora federation json schemas
- ruby-diaspora-federation-rails (0.2.5-3)
- diaspora* federation rails engine
- ruby-diaspora-prosody-config (0.0.7-1)
- Diaspora Configuration Wrapper For Prosodoy
- ruby-did-you-mean (1.2.1-1)
- smart error messages for Ruby > 2.3
- ruby-diff-lcs (1.3-1)
- McIlroy-Hunt longest common subsequence algorithm implementation
- ruby-diffy (3.2.1-1)
- convenient way to diff strings in Ruby
- ruby-directory-watcher (1.5.1-3)
- Watch directory/files and Generate events by file change
- ruby-dirty-memoize (0.0.4-1)
- Similar to ruby-memoize, but for mutable and parameterizable objects
- ruby-discordrb-webhooks (3.3.0-1)
- blackst0ne's fork of webhook client for discordrb
- ruby-distribution (0.7.3+dfsg-1)
- Ruby library to work with probability distributions
- ruby-diva (0.3.2-1)
- Implementation of expression for handling things for mikutter
- ruby-dnsruby (1.61.2-1)
- Ruby DNS and DNSSEC client library
- ruby-docile (1.1.5-2)
- Docile keeps your Ruby DSLs tame and well-behaved
- ruby-docker-api (1.22.2-1)
- Ruby gem to interact with docker.io remote API
- ruby-domain-name (0.5.20160216-2)
- Domain Name manipulation library for Ruby
- ruby-doorkeeper (4.4.2-1+deb10u1) [security]
- OAuth 2 provider for Rails and Grape
- ruby-dotenv (2.4.0-1)
- configuration variable loader
- ruby-eb (2.6-3+b8 [amd64, armhf, i386], 2.6-3+b6 [arm64])
- EB library interface for the Ruby
- ruby-ecasound (2.9.1-7)
- multitrack-capable audio recorder and effect processor (ruby bindings)
- ruby-ed25519 (1.2.4-1)
- efficient digital signature library providing the Ed25519 algorithm
- ruby-eim-xml (0.0.4-4)
- Easy IMplemented XML by Ruby
- ruby-ejs (1.1.1-2)
- EJS (Embedded JavaScript) template compiler
- ruby-elasticsearch (1.0.12-1)
- Ruby client for connecting to an Elasticsearch cluster
- ruby-elasticsearch-api (1.0.12-1)
- Ruby implementation of the Elasticsearch REST API
- ruby-elasticsearch-transport (1.0.12-1)
- low-level Ruby client for connecting to Elasticsearch
- ruby-em-hiredis (0.3.1-1)
- fast and simple Redis client for EventMachine
- ruby-em-hiredis-doc (0.3.1-1)
- fast and simple Redis client for EventMachine (documentation)
- ruby-em-http-request (1.1.5-2)
- EventMachine based, async HTTP Request client
- ruby-em-mongo (0.5.1-1)
- Ruby EventMachine driver for MongoDB
- ruby-em-redis (0.3.0+gh-2)
- eventmachine-based implementation of the Redis protocol
- ruby-em-socksify (0.3.1-1)
- EventMachine SOCKSify shim: adds SOCKS support to any protocol
- ruby-em-spec (0.2.7-1)
- BDD for Ruby/EventMachine
- ruby-em-synchrony (1.0.5-2)
- fiber aware EventMachine libraries
- ruby-em-websocket (0.5.1-2)
- EventMachine based, async, Ruby WebSocket server
- ruby-email-reply-parser (0.5.8-2)
- Small library to parse plain text email content
- ruby-email-reply-trimmer (0.1.6-1)
- Library to trim replies from plain text email
- ruby-email-spec (1.6.0-1)
- easily test email in rspec and cucumber and minitest
- ruby-email-validator (1.4.0-1)
- email validator for Rails 3+
- ruby-emot (0.0.4-1)
- Yet another emoji handler.
- ruby-encryptor (3.0.0-2)
- wrapper for the standard Ruby OpenSSL library
- ruby-entypo-rails (3.0.0-1)
- rails asset pipeline plugin providing Entypo icons
- ruby-enum (0.7.2-1)
- Enum-like behavior for Ruby
- ruby-enumerize (2.2.2-1)
- enumerated attributes with internationalisation
- ruby-equalizer (0.0.11-2)
- Ruby module to define equality, equivalence and inspection methods
- ruby-errbase (0.0.3-1)
- Common exception reporting for a variety of services
- ruby-erubi (1.7.1-1)
- Small ERB Implementation
- ruby-erubis (2.7.0-3)
- fast and extensible eRuby implementation which supports multi-language
- ruby-escape (0.2-5)
- HTML/URI/shell escaping utilities for Ruby
- ruby-escape-utils (1.2.1-1+b1)
- Faster string escaping routines for your web apps
- ruby-et-orbi (1.1.7-1)
- Time zones for fugit and rufus-scheduler
- ruby-ethon (0.9.0-2)
- libcurl wrapper using ffi
- ruby-eventmachine (1.0.7-4.2)
- Ruby/EventMachine library
- ruby-exception-notification (4.0.1-1)
- exception notification support for Rails and Rack apps
- ruby-excon (0.60.0-1)
- Ruby library for creating fast, persistent, HTTP(S) connections
- ruby-execjs (2.6.0-1)
- Run JavaScript code from Ruby
- ruby-exif (0.1.2-21+b9 [amd64, armhf, i386], 0.1.2-21+b7 [arm64])
- Ruby library for EXIF tag parsing
- ruby-expression-parser (0.9.1-4)
- mathematical expression parser for Ruby
- ruby-expression-parser-doc (0.9.1-4)
- mathematical expression parser for Ruby (documentation)
- ruby-extendmatrix (0.3.1-3)
- Enhancements to the Ruby Matrix module
- ruby-extlib (0.9.16-1)
- general Ruby class extensions for DataMapper and Merb
- ruby-facade (1.1.0-1)
- facade pattern aide for Ruby
- ruby-facets (2.9.3-2)
- extensive additions and extensions library for Ruby
- ruby-facets-doc (2.9.3-2)
- extensive additions and extensions library for Ruby -- API documentation
- ruby-factory-bot (4.11.1-1)
- Framework and DSL for defining and using model instance factories
- ruby-factory-girl (4.7.0-2)
- framework and DSL for defining and using model instance factories
- ruby-fakefs (0.14.2-1)
- Fake file system to be used in unit tests
- ruby-faker (1.9.1-1)
- easily generate fake data
- ruby-fakeredis (0.5.0-1)
- In-memory driver for redis-rb, useful for development and test environments
- ruby-fakeweb (1.3.0+git20170806+dfsg1-1.1)
- tool for faking responses to HTTP requests
- ruby-faraday (0.13.1-2)
- HTTP/REST API client library
- ruby-faraday-cookie-jar (0.0.6-1)
- Manages client-side cookie jar for Faraday HTTP client
- ruby-faraday-middleware (0.12.2-1)
- various middleware for Faraday HTTP/REST library
- ruby-faraday-middleware-multi-json (0.0.6-2)
- response JSON parser using MultiJson and FaradayMiddleware
- ruby-fast-blank (1.0.0-1+b1)
- Fast String blank? implementation
- ruby-fast-gettext (2.0.0-1)
- Fast GetText implementation for Ruby
- ruby-fast-stemmer (1.0.2-1+b10 [amd64, armhf, i386], 1.0.2-1+b7 [arm64])
- Fast Porter stemmer based on a C version of algorithm for Ruby
- ruby-fast-xs (0.8.0-3+b10)
- ruby extension for escaping text
- ruby-fastimage (2.1.4-1)
- Ruby library for finding the size or type of image with minimal dependency
- ruby-faye (1.2.4-1)
- Simple pub/sub messaging for the web
- ruby-faye-websocket (0.10.7-1)
- Standards-compliant WebSocket client and server
- ruby-fcgi (0.9.2.1-2+b3)
- FastCGI library for Ruby
- ruby-feature (1.4.0-1)
- Feature Toggle library for ruby
- ruby-feedparser (0.9.4-1)
- Ruby library to parse ATOM/RSS feeds
- ruby-ferret (0.11.8.6-2+b2)
- full text search engine library for Ruby
- ruby-ffi (1.9.10debian-1+b4)
- load dynamic libraries, bind functions from within ruby code
- ruby-ffi-bit-masks (0.1.1-1)
- Adds support for bit-masked types in FFI
- ruby-ffi-rzmq (2.0.6-2)
- FFI based Ruby bindings for ZeroMQ (ØMQ) networking library
- ruby-ffi-rzmq-core (1.0.6-1)
- FFI wrapper around the ZeromMQ (ØMQ) networking library for Ruby
- ruby-ffi-yajl (2.3.1-1+b1)
- Ruby FFI wrapper around YAJL 2.x
- ruby-fftw3 (1.0.2-2)
- Ruby interface to the FFTW Ver.3 library
- ruby-file-tail (1.2.0-1)
- Ruby library for following still-growing files
- ruby-filepath (0.7-1)
- small library to manipulate paths
- ruby-filesystem (0.5-5.1+b8 [amd64, armhf, i386], 0.5-5.1+b7 [arm64])
- Ruby extension for file-system information
- ruby-fission (0.5.0-2)
- Command line tool to manage VMware Fusion VMs
- ruby-fix-trinity-output (1.0.0-2)
- Fix output fastq files from trinityrnaseq
- ruby-fixwhich (1.0.2-2)
- ruby module that emulates the 'which' program
- ruby-flexmock (2.0.4-1)
- simple and flexible mock objects for testing
- ruby-flipper (0.13.0-3)
- Feature flipper for ANYTHING
- ruby-flipper-active-record (= 0.13.0-3)
- virtueel pakket geboden door ruby-flipper
- ruby-flipper-active-support-cache-store (= 0.13.0-3)
- virtueel pakket geboden door ruby-flipper
- ruby-flot-rails (0.0.7-1)
- jQuery Flot for Rails Asset pipeline
- ruby-flowdock (0.7.1-1)
- Ruby library for using Flowdock's API
- ruby-fog-aliyun (0.3.2-2)
- Fog provider for Aliyun Web Services
- ruby-fog-aws (3.3.0-5)
- module for the 'fog' gem to support Amazon Web Services
- ruby-fog-core (2.1.0-3)
- shared classes and tests for fog providers and services
- ruby-fog-google (1.8.2-1)
- module for the 'fog' library to support Google cloud services
- ruby-fog-json (1.2.0-1)
- JSON parsing for fog providers
- ruby-fog-libvirt (0.6.0-1)
- Module for the 'fog' gem to support libvirt
- ruby-fog-local (0.6.0-1)
- Module for the 'fog' gem to support local filesystem storage
- ruby-fog-openstack (1.0.8-2)
- module for 'fog' library to support OpenStack instances
- ruby-fog-profitbricks (0.0.1-2)
- library to use ProfitBricks cloud in applications
- ruby-fog-rackspace (0.1.6-2)
- Rackspace support for Fog
- ruby-fog-storm-on-demand (0.1.1-2)
- module for the 'fog' library to support StormOnDemand
- (0.0.3-2)
- library for using Terremark vCloud in applications
- ruby-fog-vmfusion (0.1.0-2)
- module for the 'fog' library to support VMWARE FUSION
- ruby-fog-xml (0.1.1-5)
- XML parsing for fog providers
- ruby-fogbugz (0.2.1-3)
- Ruby wrapper for the Fogbugz API
- ruby-font-awesome-rails (4.7.0.4-1)
- library providing font-awesome icon font via rails asset pipeline
- ruby-foreman (0.82.0-2)
- Process manager for applications with multiple components
- ruby-formatador (0.2.5-2)
- Ruby STDOUT text formatting library
- ruby-forwardable-extended (2.6.0-1)
- Forwardable with hash and instance variable extensions
- ruby-fssm (0.2.10-3)
- Ruby library firing events when the state of monitored paths changes
- ruby-ftw (0.0.44-1)
- Ruby For The Web - APIs for client and server web stuff
- ruby-fugit (1.1.7-1)
- Time tools for Ruby and flor
- ruby-full (1:2.5.1)
- Ruby full installation (default version)
- ruby-fusefs (0.7.0-4+b9 [amd64, armhf, i386], 0.7.0-4+b7 [arm64])
- library to easily define a filesystem in Ruby using fuse
- ruby-fuubar (2.0.0-3)
- instafailing RSpec progress bar formatter
- ruby-fuzzyurl (0.8.0-1)
- non-strict parsing, construction, and wildcard-matching of URLs
- ruby-gd (0.8.0-7+b10 [amd64, armhf, i386], 0.8.0-7+b9 [arm64])
- Extension library to use GD graphics library from Ruby
- ruby-gdk-pixbuf2 (3.3.2-1)
- Gdk-Pixbuf 2 bindings for the Ruby language
- ruby-gdk3 (3.3.2-1)
- GDK 3 bindings for the Ruby language
- ruby-gelf (1.4.0-4)
- Ruby GELF library - Graylog2 Extended Log Format library for Ruby
- ruby-gemojione (3.3.0-1)
- gem to provide EmojiOne
- ruby-generator-spec (0.9.3-1)
- Test Rails generators with RSpec
- ruby-geos (3.7.1-1)
- GEOS bindings for Ruby
- ruby-get-process-mem (0.2.0-2)
- get memory usage of a process in Ruby
- ruby-gettext (3.2.9-1)
- gettext for Ruby
- ruby-gettext-i18n-rails (1.8.0-1)
- Simple FastGettext Rails integration
- ruby-gettext-i18n-rails-js (1.3.0+dfsg-2)
- make your .po files available to client side javascript as JSON
- ruby-gettext-setup (0.30-2)
- fast_gettext helper for Ruby
- ruby-gherkin (4.0.0-2)
- lexer and parser for the Gherkin language in Ruby
- ruby-gio2 (3.3.2-1)
- GIO bindings for the Ruby language
- ruby-gir-ffi (0.14.1-1)
- FFI-based GObject binding using the GObject Introspection Repository
- ruby-git (1.2.8-1+deb10u1) [security]
- Ruby interface to the Git revision control system
- ruby-gitaly (0.123.0+dfsg-2)
- Auto-generated gRPC client for gitaly
- ruby-gitaly-proto (= 0.123.0+dfsg-2)
- virtueel pakket geboden door ruby-gitaly
- ruby-github-api (0.18.2-1)
- Ruby client for the official GitHub API
- ruby-github-linguist (6.4.0-2)
- detection and highlight of the programming language of source code
- ruby-github-markdown (0.6.9-2+b2)
- Markdown parser for GitHub.com
- ruby-github-markup (1.7.0+dfsg-2)
- GitHub Markup rendering
- ruby-gitlab (4.8.0-1)
- Ruby wrapper and CLI for the GitLab API
- ruby-gitlab-flowdock-git-hook (1.0.1-4)
- Git Post-Receive hook for Flowdock
- ruby-gitlab-sidekiq-fetcher (0.3.0-1)
- Reliable fetch extension for Sidekiq
- ruby-gli (2.14.0-1)
- Build command-suite CLI apps without a lot of syntax
- ruby-glib2 (3.3.2-1)
- GLib 2 bindings for the Ruby language
- ruby-globalid (0.4.2+REALLY.0.3.6-1)
- reference models by URI for Ruby on Rails
- ruby-gnome2 (3.3.2-1)
- GNOME-related bindings for the Ruby language
- ruby-gnome2-dev (3.3.2-1)
- GNOME-related bindings for the Ruby language (development files)
- ruby-gnuplot (2.6.2-2)
- Ruby library to interact with gnuplot
- ruby-gobject-introspection (3.3.2-1)
- GObject Introspection bindings for the Ruby language
- ruby-god (0.13.7-3)
- Fully configurable process monitoring
- ruby-gollum-lib (4.2.7.5-3)
- simple, Git-powered wiki
- ruby-gollum-rugged-adapter (0.4.4.1-2)
- Adapter for Gollum to use Rugged (libgit2) at the backend
- ruby-gon (6.2.1-1)
- Ruby library to send data to JavaScript from a Ruby application
- ruby-google-api-client (0.23.4-2)
- Client for accessing Google APIs
- ruby-google-protobuf (3.6.1.3-2+deb10u1) [security]
- Protocol Buffers
- ruby-googleapis-common-protos-types (1.0.1-1)
- Common protobuf types used in Google APIs
- ruby-googleauth (0.5.1-2)
- Google Auth Library for Ruby
- ruby-googlecharts (1.6.12-1) [contrib]
- Ruby library to generate charts using Google Chart API
- ruby-gpgme (2.0.18-1)
- Ruby GPGME binding
- ruby-grack (2.0.2-2)
- Git Smart HTTP Server Rack implementation in Ruby/Rack
- ruby-graffiti (2.3.1-1)
- Relational RDF store for Ruby
- ruby-grape (1.1.0-2)
- Simple Ruby framework for building REST-like APIs
- ruby-grape-entity (0.7.1-1)
- Entity support to API frameworks, such as Grape
- ruby-grape-logging (1.7.0-1)
- request logging support for Grape
- ruby-grape-path-helpers (1.1.0-1)
- Route path helpers for Grape
- ruby-graphiql-rails (1.4.10-1) [contrib]
- mountable GraphiQL endpoint for Rails
- ruby-graphql (1.8.4-1)
- GraphQL language and runtime for Ruby
- ruby-graphviz (1.2.3-1)
- Ruby interface to the GraphViz graphing tool
- ruby-grib (0.4.0-2+b2)
- Ruby interface to the ECMWF GRIB API
- ruby-grit (2.8.2-2)
- Ruby Git bindings
- ruby-grit-ext (0.8.1-2)
- utf-8 support extension for grit
- ruby-grpc (1.16.1-1)
- GRPC system in Ruby
- ruby-grpc-tools (1.16.1-1)
- Development tools for Ruby gRPC
- ruby-gruff (0.6.0-1)
- Ruby library to create beautiful graphs for one or multiple datasets
- ruby-gsl (2.1.0.3+dfsg1-2)
- Ruby bindings for the GNU Scientific Library (GSL)
- ruby-gssapi (1.2.0-1)
- FFI wrapper around the system GSSAPI library
- ruby-gstreamer (3.3.2-1)
- GStreamer bindings for the Ruby language
- ruby-gtk2 (3.3.2-1)
- GTK+ 2 bindings for the Ruby language
- ruby-gtk3 (3.3.2-1)
- GTK+ 3 bindings for the Ruby language
- ruby-gtksourceview4 (3.3.2-1)
- GtkSourceView4 bindings for the Ruby language
- ruby-guard (2.15.0-3)
- Commandline to easily handle events on file system modifications
- ruby-guard-compat (1.2.1-2)
- Test helper for testing custom Guard plugins
- ruby-guard-shell (0.7.1-2)
- Guard plugin for running shell commands
- ruby-guestfs (1:1.40.2-2)
- guest disk image management system - Ruby bindings
- ruby-gyoku (1.3.1-1)
- translates Ruby hashes to XML
- ruby-haml (5.0.4-3)
- Elegant, structured XHTML/XML templating engine
- ruby-haml-contrib (1.0.0.1-2)
- Elegant, structured XHTML/XML templating engine - addons
- ruby-haml-rails (1.0.0-2)
- Haml Generator for Rails
- ruby-hamlit (2.9.2-2)
- high performance Haml implementation
- ruby-hamster (3.0.0-2)
- Efficient, immutable, thread-safe collection classes for Ruby
- ruby-handlebars-assets (2:0.23.3+dfsg-2)
- compile Handlebars templates in the Rails asset pipeline
- ruby-hangouts-chat (0.0.5-2)
- Library for sending messages to Hangouts Chat rooms
- ruby-hashdiff (0.2.3-1)
- library for computing the smallest difference between two hashes
- ruby-hashery (2.1.2-1)
- facets-bread collection of Hash-like classes
- ruby-hashie (3.5.5-2)
- small collection of tools that make hashes more powerful
- ruby-hashie-forbidden-attributes (0.1.1-1)
- strong parameter detection with Hashie and Forbidden Attributes
- ruby-hdfeos5 (1.2-9+b1)
- Ruby interface to the HDF-EOS5 library
- ruby-health-check (2.6.0-1)
- Simple health check of Rails app for uptime monitoring
- ruby-highline (1.7.8-1)
- high-level interactive IO Ruby library
- ruby-hike (1.2.1-3)
- Ruby library to find files in a set of paths
- ruby-hikidoc (0.1.0-2)
- text-to-HTML conversion tool for web writers
- ruby-hipchat (1.5.2-3)
- Ruby library to interact with HipChat
- ruby-hiredis (0.6.1-2+deb10u1)
- Redis driver for Ruby using Hiredis
- ruby-hitimes (1.2.1-3+b5)
- fast, high resolution timer for recording performance metrics
- ruby-hivex (1.3.18-1+deb10u1)
- Ruby bindings for hivex
- ruby-hmac (0.4.0-5)
- Ruby interface for HMAC algorithm
- ruby-hocon (1.2.5-1)
- Ruby port of the Typesafe Config library
- ruby-hoe (3.16.0-1)
- rake/rubygems helper for project Rakefiles
- ruby-hpricot (0.8.6-6+b4)
- fast, enjoyable HTML parser
- ruby-html-pipeline (2.8.4-1)
- GitHub HTML processing filters and utilities
- ruby-html2haml (2.2.0-1)
- Converts HTML into Haml
- ruby-html2text (0.2.0-1)
- convert HTML into plain text
- ruby-htmlentities (4.3.3-1)
- Ruby library for handling HTML entities
- ruby-htree (0.8+dfsg-3)
- HTML/XML tree library for Ruby
- ruby-http (3.3.0-2)
- easy-to-use client library for making http requests from Ruby
- ruby-http-accept-language (2.1.1-2)
- Ruby library that finds out which locale the user prefers
- ruby-http-connection (1.4.4-1)
- HTTP helper library for Ruby
- ruby-http-cookie (1.0.3-1)
- Ruby library to handle HTTP Cookies based on RFC 6265
- ruby-http-form-data (2.1.0-2)
- utility-belt to build form data request bodies
- ruby-http-parser.rb (0.6.0-4+deb10u1)
- Simple callback-based HTTP request/response parser
- ruby-http-parser.rb-doc (0.6.0-4+deb10u1)
- Simple callback-based HTTP request/response parser (documentation)
- ruby-httparty (0.16.2+dfsg1-3+deb10u1) [security]
- quick web service consumption from any Ruby class
- ruby-httpauth (0.2.1+gh-1)
- Ruby library for the HTTP authentication protocol (RFC 2617)
- ruby-httpclient (2.8.3-3+deb10u1)
- HTTP client library for ruby
- ruby-i18n (1.5.3-1+deb10u1)
- I18n and localization solution for Ruby
- ruby-i18n-inflector (2.6.7-1)
- enhance i18n backend with inflections
- ruby-i18n-inflector-rails (1.0.7-4)
- I18n Inflector bindings for Rails
- ruby-icalendar (2.4.1-2)
- ruby implementation of the iCalendar specification (RFC-5545)
- ruby-ice-cube (0.12.1-1)
- Ruby Date Recurrence Library
- ruby-ice-nine (0.11.2-1)
- Ruby module to deep freeze objects
- ruby-image-science (1.3.0-1)
- Clean Ruby library to provide simple transformations on images
- ruby-in-parallel (0.1.17-1)
- lightweight Ruby library with very simple syntax for parallelization
- ruby-indentation (0.1.1-2)
- Ruby extensions for Array and String classes
- ruby-inflecto (0.0.2-1)
- Ruby library to transform words from singular to plural
- ruby-influxdb (0.2.3-2)
- library for InfluxDB
- ruby-iniparse (1.4.2-1)
- library for parsing INI documents
- ruby-inline (3.12.4-2)
- Ruby library for embedding C/C++ external module code
- ruby-innertube (1.1.0-4)
- thread-safe re-entrant resource pool
- ruby-insist (1.0.0-1)
- assertion library for both testing and for production code
- ruby-instance-storage (1.0.0-3)
- Ruby Library for managing class instances with dictionary
- ruby-instantiator (0.0.7-1)
- Ruby library to instantiate an arbitrary class
- ruby-integration (0.1.0-1)
- Numerical integration for Ruby, with a simple interface
- ruby-introspection (0.0.4-1)
- Ruby library for the inspection of method definitions on objects
- ruby-io-like (0.3.0-1)
- Provides the functionality of an IO object to any class
- ruby-ipaddress (0.8.3-2)
- Ruby library to manipulate IPv4/IPv6 addresses
- ruby-iso8601 (0.12.1-1)
- Ruby parser to work with ISO 8601 dateTimes and durations
- ruby-jar-dependencies (0.3.10-2)
- manage jar dependencies for Ruby gems
- ruby-jbuilder (2.7.0-1)
- creation of JSON structures via a Builder-style DSL
- ruby-jekyll-coffeescript (1.0.1-2)
- CoffeeScript converter for Jekyll
- ruby-jekyll-feed (0.3.1-1)
- Atom feed generator for Jekyll
- ruby-jekyll-gist (1.5.0-1)
- Liquid tag for displaying GitHub Gists in Jekyll sites
- ruby-jekyll-paginate (1.1.0-1)
- Default pagination generator for Jekyll
- ruby-jekyll-sass-converter (1.5.2-1)
- Basic Sass converter for Jekyll
- ruby-jekyll-test-plugin (0.1.0-1)
- facility to test Jekyll plugins
- ruby-jekyll-test-plugin-malicious (0.2.0-1)
- Malicious test plugin for Jekyll
- ruby-jekyll-watch (2.0.0-1)
- Rebuild your Jekyll site when a file changes
- ruby-jeweler (2.0.1-3)
- Opinionated tool for creating and managing RubyGem projects
- ruby-jira (1.5.0-1)
- Atlassian JIRA REST API client
- ruby-jnunemaker-matchy (0.4.0-1)
- RSpec-like matcher system for use in Test::Unit
- ruby-joiner (0.4.2-1)
- builds activerecord joins from association paths
- ruby-journey (1.0.4-2)
- Journey is a router for Ruby on Rails
- ruby-jquery-atwho-rails (1.5.4+dfsg-1)
- jquery plugin for @mentions
- ruby-jquery-datatables-rails (3.4.0+dfsg-1)
- jquery datatables for rails
- ruby-jquery-rails (4.3.3-1)
- jQuery for Rails 3+
- ruby-jquery-scrollto-rails (1.4.3+dfsg-1)
- library to use jQuery scrollTo with Rails
- ruby-js-image-paths (0.1.1-1)
- Rails image paths in your JS
- ruby-js-regex (3.1.1-1)
- Converts Ruby regexes to JavaScript regexes
- ruby-js-routes (1.4.4-1)
- Brings Rails named routes to javascript
- ruby-json (2.1.0+dfsg-2+deb10u1)
- JSON library for Ruby
- ruby-json-jwt (1.9.4-1)
- JSON Web Token and its family in Ruby
- ruby-json-schema (2.8.1-1)
- Ruby JSON Schema Validator
- ruby-json-spec (1.1.5-1)
- Ruby library that provides JSON helpers for RSpec and Cucumber
- ruby-jsonapi-renderer (0.1.3-1)
- Render JSONAPI documents
- ruby-jsonify (0.4.1-3)
- builder-style engine to turn Ruby objects into JSON
- ruby-jwt (1.5.6-1)
- JSON Web Token implementation in Ruby
- ruby-kakasi
- virtueel pakket geboden door ruby-kakasi-ffi
- ruby-kakasi-ffi (1.0.2-2)
- KAKASI interface for Ruby with Fiddle/DL/FFI
- ruby-kaminari (1.0.1-4+deb10u1)
- pagination engine plugin for Rails 3+ and other modern frameworks
- ruby-kaminari-actionview (1.0.1-4+deb10u1)
- Kaminari Action View adapter
- ruby-kaminari-activerecord (1.0.1-4+deb10u1)
- Kaminari Active Record adapter
- ruby-kaminari-core (1.0.1-4+deb10u1)
- Kaminari's core pagination library
- ruby-kgio (2.11.2-1+b1)
- Kinder, gentler I/O for Ruby
- ruby-kitchen-docker (2.7.0-1)
- Docker Driver for Test Kitchen
- ruby-kitchen-salt (0.4.0-2)
- salt provisioner for test-kitchen
- ruby-kpeg (1.0.0-1)
- KPeg is a simple PEG library for Ruby
- ruby-kramdown (1.17.0-1+deb10u2)
- Fast, pure-Ruby Markdown-superset converter
- ruby-kramdown-rfc2629 (1.2.9-2)
- generate XML2RFC XML from Markdown
- ruby-kubeclient (4.2.0-2)
- client for Kubernetes REST API
- ruby-kyotocabinet (1.32-2+b5)
- Straightforward implementation of DBM - Ruby bindings
- ruby-lapack (1.8.1-1+b1)
- Ruby wrapper of LAPACK
- ruby-launchy-shim (2.3.0.1)
- helper class for launching a web browser
- ruby-ldap (0.9.20-1)
- OpenLDAP library binding for Ruby
- ruby-letter-opener (1.7.0-1)
- Preview mail in the browser instead of sending
- ruby-levenshtein (0.2.2-2+b9 [amd64, armhf, i386], 0.2.2-2+b7 [arm64])
- calculates the Levenshtein distance between two sequences
- ruby-libprelude (4.1.0-4.2)
- Security Information and Events Management system [ Ruby bindings ]
- ruby-librarian (0.6.4-1)
- framework for writing bundlers
- ruby-librdf (1.0.17.1+dfsg-1.3+b6)
- Ruby language bindings for the Redland RDF library
- ruby-libvirt (0.7.1-1)
- Ruby bindings for libvirt
- ruby-libxml (3.1.0-1)
- Ruby Bindings for LibXML2
- ruby-licensee (8.9.2-1)
- program to detect open source project licenses
- ruby-liquid (4.0.1-1)
- Ruby library for rendering safe HTML and email templates
- ruby-listen (3.1.5-1)
- Ruby library listening to file modifications
- ruby-little-plugger (1.1.4-1)
- module that provides Gem based plugin management
- ruby-locale (2.1.2-1)
- Locale library for Ruby
- ruby-lockfile (2.1.3-1)
- create NFS-safe lockfiles
- ruby-log4r (1.1.10-4)
- Logging library for Ruby
- ruby-logger-application (0.0.2-1)
- add logging support to Ruby application
- ruby-logging (2.2.2-1)
- flexible and extendable logging library for Ruby
- ruby-logging-rails (0.6.0-3)
- Logging for rail applications
- ruby-logify (0.2.0-1)
- Lightweight logging library for Ruby
- ruby-lograge (0.10.0-1)
- Tame Rails' multi-line logging into a single line per request
- ruby-loofah (2.2.3-1+deb10u2) [security]
- manipulation and transformation of HTML/XML documents and fragments
- ruby-luabridge (0.8.0-1+b4)
- access Lua from Ruby
- ruby-lumberjack (1.0.13-1)
- Lumberjack is a logging implementation in Ruby
- ruby-mab (0.0.3-1)
- templating engine for writing HTML in pure Ruby
- ruby-macaddr (1.7.1-2)
- library to read system mac address
- ruby-magic (0.2.9-1)
- Ruby FFI bindings to libmagic
- ruby-mail (2.7.1+dfsg1-1)
- Ruby library to handle email generation, parsing and sending
- ruby-mail-gpg (0.4.0-2)
- GPG/MIME encryption plugin for the Ruby Mail Library
- ruby-mail-room (0.9.1-2)
- Forward mail from gmail IMAP to a callback URL or job worker, simply
- ruby-mapscript (7.2.2-1)
- MapServer library for Ruby
- ruby-marcel (0.3.2-1)
- Simple mime type detection
- ruby-marisa (0.2.5-2+b1)
- Ruby bindings for MARISA
- ruby-markdown-it-html5-embed (1.0.0+dfsg-2)
- Markdown-it plugin for embedding audio/video in the HTML5 way
- ruby-markerb (1.1.0-3)
- multipart templates made easy with Markdown + ERb
- ruby-maruku (0.7.3-1)
- Markdown-superset interpreter written in Ruby
- ruby-mathml (0.14-4)
- TeX formula to MathML conversion library for Ruby
- ruby-maven-libs (3.3.9+ds-1)
- Ruby library that provides access to a Maven installation
- ruby-mecab (0.99.6-3+b2)
- mecab binding for Ruby language
- ruby-mechanize (2.7.6-1+deb10u1)
- library used for automating interaction with websites
- ruby-memfs (1.0.0-1)
- MemFs provides an in-memory fake file system that can be used for tests
- ruby-memoist (0.16.0-2)
- Ruby library providing method memoization
- ruby-memoizable (0.4.2-1)
- memoize method return values
- ruby-mercenary (0.3.6-1)
- Lightweight and flexible library for writing command-line apps in Ruby
- ruby-messagebus-api (3.0.7+git.20130130.97b34ece.REALLY.1.0.3-2)
- Send email through the Message Bus service
- ruby-metaclass (0.0.4-1)
- Ruby library adding a metaclass method to all Ruby objects
- ruby-metaid (1.0-8)
- Some additional methods to make metaprogramming easier
- ruby-method-source (0.9.2-1)
- Retrieve the sourcecode for a method as a Ruby string
- ruby-metriks (0.9.9.6-1)
- experimental threadsafe, low-impact measurement library for Ruby
- ruby-middleware (0.1.0-1)
- middleware abstraction for Ruby.
- ruby-mime (0.4.4-2)
- MIME library for Ruby
- ruby-mime-types (3.2.2-1)
- guess MIME type of files
- ruby-mime-types-data (3.2015.1120-1)
- registry for information about MIME media type definitions
- ruby-mimemagic (0.3.2+dfsg-1)
- fast MIME detection by extension or content
- ruby-mini-exiftool (2.9.0-2)
- wrapper for exiftool command-line
- ruby-mini-magick (4.9.2-1+deb10u1)
- wrapper for ImageMagick with a small memory footprint
- ruby-mini-mime (1.0.1-1)
- Lightweight mime type implementation
- ruby-minimization (0.2.1-2)
- Ruby library providing minimization algorithms
- ruby-minitar (0.6.1-1)
- Provides POSIX tarchive management for Ruby
- ruby-minitest (5.11.3-1)
- Ruby test tools supporting TDD, BDD, mocking, and benchmarking
- ruby-minitest-around (0.4.1-1)
- Around block for minitest
- ruby-minitest-excludes (2.0.0-1)
- Ruby unit tests helper library for MiniTest framework
- ruby-minitest-focus (1.1.2-1)
- provides ability to focus on a few tests easily
- ruby-minitest-hooks (1.4.0-1)
- Around and before_all/after_all/around_all hooks for Minitest
- ruby-minitest-reporters (1.0.19-1)
- creates customizable Minitest output formats
- ruby-minitest-shared-description (1.0.0-2)
- Support for shared specs and shared spec subclasses for Minitest
- ruby-minitest-stub-const (0.4-1)
- Stub constants for the duration of a block in MiniTest
- ruby-minitest-utils (0.2.4+debian-1)
- utilities for minitest
- ruby-mixlib-archive (0.4.1-1)
- simple interface to various archive formats
- ruby-mixlib-authentication (1.4.1-1)
- Simple Ruby mixin for creating a logger object
- ruby-mixlib-cli (1.7.0-1)
- Ruby mixin for creating command line applications
- ruby-mixlib-config (2.2.6-1)
- Simple class based config mechanism for Ruby
- ruby-mixlib-install (3.11.7-1)
- Mixin to help with omnitruck installs
- ruby-mixlib-log (1.7.1-1)
- Simple Ruby mixin for creating a logger object
- ruby-mixlib-shellout (2.2.6-1)
- mixin library for subprocess management, output collection
- ruby-mixlib-versioning (1.1.0-1)
- Ruby library to parse, compare and manipulate version strings
- ruby-mizuho (0.9.20+dfsg-1)
- documentation formatting tool
- ruby-mmap2 (2.2.7-1+b2)
- Mmap class implement memory-mapped file objects for Ruby 2.x
- ruby-mobile-fu (1.4.0+github-2)
- detect mobile requests from mobile devices in your Rails app
- ruby-mocha (1.7.0-1)
- Mocking and stubbing library for Ruby
- ruby-mocha-doc (1.7.0-1)
- Mocking and stubbing library for Ruby - documentation
- ruby-molinillo (0.6.4-1)
- generic dependency resolution algorithm
- ruby-momentjs-rails (2.20.1-2)
- wraps the Moment.js library in a rails engine for asset pipeline
- ruby-moneta (1.0.0-5)
- Ruby interface to multiple key/value stores
- ruby-mongo (2.5.1-1)
- Ruby driver for MongoDB
- ruby-mono-logger (1.1.0-3)
- lock-free logger for Ruby
- ruby-mousetrap-rails (1.4.6-6)
- integrate Mousetrap javascript library with Rails Asset Pipeline
- ruby-mp3tag (1.0-12)
- Ruby library for manipulating ID3V1.1 tags in MP3
- ruby-mpi (0.3.0-1+b9)
- Ruby binding of MPI
- ruby-msgpack (1.1.0-1+b2)
- Ruby library for MessagePack
- ruby-mtrc (0.0.4-1)
- library to accumulate metrics and extract basic statistics
- ruby-multi-json (1.12.1-1)
- Ruby library to provide easy switching between different JSON backends
- ruby-multi-test (0.1.2-1)
- library to control autorun feature of test frameworks
- ruby-multi-xml (0.6.0-1)
- generic swappable back-end for XML parsing in Ruby
- ruby-multibitnums (0.1.4-2+b9 [amd64, armhf, i386], 0.1.4-2+b7 [arm64])
- extension library for integers with arbitrary bit lengths
- ruby-multibitnums-dbg (0.1.4-2+b9 [amd64, armhf, i386], 0.1.4-2+b7 [arm64])
- extension library for integers with arbitrary bit lengths (debugging symbols)
- ruby-multipart-parser (0.1.1-2)
- simple parser for multipart MIME messages
- ruby-multipart-post (2.0.0-1)
- multipart form post accessory for Net::HTTP
- ruby-mustache (1.0.2-1)
- Mustache is a framework-agnostic way to render logic-free views
- ruby-mustermann (1.0.0-4)
- use patterns like regular expressions
- ruby-mustermann-grape (1.0.0-1)
- Grape syntax for Mustermann
- ruby-mysql2 (0.5.2-1)
- simple, fast MySQL library for Ruby
- ruby-nakayoshi-fork (0.0.4-1)
- solves CoW friendly problem on MRI 2.2 and later
- ruby-nanotest (0.9.4.1-1)
- Exteremely minimal test framework
- ruby-narray (0.6.1.2-2+b1)
- Numerical N-dimensional Array library for Ruby
- ruby-narray-miss (1.4.0-2)
- Additional class with processing of missing value to NArray
- ruby-naught (1.1.0-1)
- Naught is a toolkit for building Null Objects
- ruby-ncurses (1.4.9-1+b3)
- ruby extension for the ncurses C library
- ruby-nenv (0.3.0-2)
- convenient wrapper for Ruby's ENV
- ruby-neovim (0.7.1-1)
- Ruby client for Neovim
- ruby-nested-form (0.3.2-2)
- conveniently handle multiple models in a single form
- ruby-net-dns (0.8.0-1)
- Pure Ruby DNS library
- ruby-net-http-digest-auth (1.4-2)
- RFC 2617 Digest Access Authentication implementation
- ruby-net-http-persistent (2.9.4-2)
- Manages persistent connections using Net::HTTP
- ruby-net-irc (0.0.9-3)
- Ruby IRC library (Client, Server and many IRC gateways to webservice)
- ruby-net-ldap (0.16.1-1)
- LDAP client library for Ruby
- ruby-net-scp (1.2.1-5)
- pure ruby implementation of the SCP protocol
- ruby-net-sftp (1:2.1.2-4)
- Ruby implementation of the SFTP protocol
- ruby-net-ssh (1:5.1.0-1)
- Ruby implementation of the SSH protocol
- ruby-net-ssh-gateway (2.0.0-1)
- Ruby library for tunneling connections to servers with ssh
- ruby-net-ssh-krb (0.4.0-1)
- Kerberos support for ruby-net-ssh
- ruby-net-ssh-multi (1.2.1-3)
- Ruby library for making multiple SSH connections to remote systems
- ruby-net-telnet (0.1.1-2)
- telnet client library
- ruby-netaddr (1.5.1-2)
- manipulating network addresses
- ruby-netcdf (0.7.2-3+b1)
- Ruby interface of netCDF library
- ruby-netrc (0.11.0-3)
- Ruby library to read and write netrc files
- ruby-nfc (3.1.2-1)
- ruby wrapper for the libnfc
- ruby-ngraph (6.08.00-1.1)
- Library for using ngraph-gtk Ruby programs
- ruby-nio4r (2.3.1-1)
- Ruby library providing a selector API for monitoring IO objects
- ruby-nokogiri (1.10.0+dfsg1-2+deb10u1) [security]
- HTML, XML, SAX, and Reader parser for Ruby
- ruby-nokogiri-diff (0.2.0-1)
- calculate the differences between two XML/HTML documents
- ruby-nokogumbo (1.4.2+ds-1+b5)
- Nokogiri interface to the Gumbo HTML5 parser
- ruby-nori (2.6.0-1)
- XML to Hash translator
- ruby-notiffany (0.1.1-2)
- Wrapper libray for most popular notification libraries
- ruby-notify (0.5.2-2)
- Desktop notification command on cross platform
- ruby-notmuch (0.28.4-1)
- Ruby interface to the notmuch mail search and index library
- ruby-ntlm (0.6.1-2)
- NTLM authentication client for Ruby
- ruby-numerizer (0.1.1-1)
- parse numbers in natural language from strings (ex forty two)
- ruby-numru-misc (0.1.2-2)
- Miscellaneous functions and classes to help Ruby programming
- ruby-numru-units (1.9.0-1)
- Ruby class library to handle units of physical quantities
- ruby-oauth (0.5.4-1)
- Ruby library for OAuth core
- ruby-oauth2 (1.4.1-1)
- ruby wrapper for the OAuth 2.0 protocol
- ruby-obexftp (0.24-5+b5)
- ruby binding to the object exchange file transfer library
- ruby-octokit (4.13.0-1)
- Ruby toolkit for working with the GitHub API
- ruby-odbc (0.99998-1+b1)
- ODBC Binding for Ruby
- ruby-oembed (0.12.0-2)
- oEmbed for Ruby
- ruby-ogginfo (0.7.2-2)
- Ruby library for accessing low-level information on ogg files
- ruby-oily-png (1.2.1~dfsg-1+b2)
- native mixin to speed up ChunkyPNG
- ruby-oj (3.7.6-1)
- fast JSON parser and serializer for Ruby
- ruby-ole (1.2.11.8-1)
- Ruby library for read/write access for OLE compound documents
- ruby-omniauth (1.8.1-1)
- flexible authentication system utilizing Rack middleware
- ruby-omniauth-auth0 (2.0.0-1)
- Omniauth OAuth2 strategy for the Auth0 platform
- ruby-omniauth-authentiq (0.3.3-1)
- Authentiq strategy for OmniAuth
- ruby-omniauth-azure-oauth2 (0.0.9-2)
- Windows Azure Active Directory OAuth2 strategy for OmniAuth
- ruby-omniauth-bitbucket (0.0.2-1)
- Oauth2 bitbucket strategy for OmniAuth
- ruby-omniauth-cas3 (1.1.4-2)
- CAS 3.0 Strategy for OmniAuth
- ruby-omniauth-crowd (2.4.0-1)
- OmniAuth provider for Atlassian Crowd REST API
- ruby-omniauth-facebook (4.0.0-2)
- Oauth2 facebook strategy for OmniAuth
- ruby-omniauth-github (1.3.0-1)
- GitHub strategy for the Ruby OmniAuth library
- ruby-omniauth-gitlab (1.0.2-1)
- OAuth2 strategy for authenticating to GitLab service
- ruby-omniauth-google-oauth2 (0.5.3-1)
- Google OAuth2 strategy for OmniAuth 1.x
- ruby-omniauth-jwt (0.0.2-1)
- JSON Web Token (JWT) is a simple way to send verified information
- ruby-omniauth-kerberos (0.3.0-3)
- OmniAuth strategy for Kerberos
- ruby-omniauth-ldap (2.0.4-2)
- LDAP strategy for Ruby OmniAuth library
- ruby-omniauth-multipassword (0.4.2-1)
- OmniAuth strategy to use different password strategies
- ruby-omniauth-oauth (1.1.0-2)
- generic OAuth (1.0/1.0a) strategy for OmniAuth
- ruby-omniauth-oauth2 (1.5.0-1)
- abstract OAuth2 strategy for OmniAuth
- ruby-omniauth-oauth2-generic (0.2.2-1)
- generic OmniAuth strategy for OAuth2 providers
- ruby-omniauth-openid (1.0.1-4)
- openid strategy for OmniAuth
- ruby-omniauth-remote-user (0.1.3-1)
- Omniauth extrategy for Remote-User HTTP header
- ruby-omniauth-saml (1.10.0-1)
- generic SAML strategy for OmniAuth
- ruby-omniauth-shibboleth (1.3.0-1)
- OmniAuth Shibboleth strategies for OmniAuth
- ruby-omniauth-tumblr (1.2-1)
- OmniAuth strategy for Tumblr
- (1.4.0-1)
- OmniAuth strategy for Twitter
- ruby-omniauth-wordpress (0.2.2-1)
- Wordpress strategy for OmniAuth
- ruby-open-graph-reader (0.6.2+dfsg-1)
- OpenGraph protocol parser
- ruby-open-uri-redirections (0.2.1-1)
- openuri patch to allow redirections between HTTP and HTTPS
- ruby-open4 (1.3.4-1)
- library for managing child processes in Ruby
- ruby-openid (2.7.0debian-1)
- Ruby library for verifying and serving OpenID identities
- ruby-openid-connect (1.1.6-2)
- OpenID Connect Server & Client Library
- ruby-openstack (2.0.2-1)
- OpenStack Ruby API
- ruby-org (0.9.12-2)
- Emacs org-mode parser for Ruby
- ruby-origami (2.0.0-1)
- PDF analysis and manipulation tool (Ruby library)
- ruby-origin (2.2.0-1)
- Simple DSL for MongoDB query generation
- ruby-orm-adapter (0.5.0-2)
- single point of entry for using basic features of ruby ORMs
- ruby-os (0.9.6-1)
- Simple and easy way to know if you're on windows or not (reliably)
- ruby-ox (2.10.0-1)
- fast XML parser and object serializer
- ruby-packetfu (1.1.11-2)
- mid-level packet manipulation library for Ruby
- ruby-paint (0.8.6-2)
- terminal paint library with 256 color and effect support
- ruby-pango (3.3.2-1)
- Pango bindings for the Ruby language
- ruby-parallel (1.12.1-2)
- library to run any kind of code in parallel processes
- ruby-paranoia (2.4.1-1)
- re-implementation of acts_as_paranoid for Rails 3
- ruby-parse-cron (0.1.4-1)
- parse cron expressions and calculate next job occurrence
- ruby-parseconfig (1.0.2-1)
- Simple standard configuration file parser for Ruby
- ruby-parser (3.11.0-1)
- Ruby parser written in pure Ruby
- ruby-parslet (1.8.2-2)
- Parser construction library with great error reporting in Ruby
- ruby-passenger (5.0.30-1.1)
- transitional dummy package for passenger
- ruby-passenger-doc (5.0.30-1.1)
- transitional dummy package for passenger-doc
- ruby-password (0.5.3-4+b8 [amd64, armhf, i386], 0.5.3-4+b6 [arm64])
- Ruby library for handling passwords
- ruby-pathname2 (1.8.1-1)
- alternate implementation of the Pathname class
- ruby-pathutil (0.16.1-1)
- Faster pure Ruby implementation of Pathname with extra bits
- ruby-pcaprub (0.12.4-1+b2)
- Ruby bindings for LBL Packet Capture library (libpcap)
- ruby-pdf-core (0.8.1-1)
- Ruby library to render PDF documents
- ruby-pdf-inspector (1.3.0-1)
- Ruby library for analyzing PDF output
- ruby-pdf-reader (2.1.0-1)
- Ruby library for accessing the content of PDF files
- ruby-peach (0.5.1-3)
- parallel execution of each and map in Ruby
- ruby-peek (1.0.1-1)
- Take a peek into your Rails application
- ruby-peek-gc (0.0.2-1)
- Take a peek into the GC info of your Rails application
- ruby-peek-host (1.0.0-1)
- Take a peek into the host which served your Rails request
- ruby-peek-performance-bar (1.3.0-1)
- peek into MySQL queries made during your application's requests
- ruby-peek-pg (1.3.0-1)
- Take a peek into the Postgres queries made during your application's requests
- ruby-peek-rblineprof (0.2.0-1)
- rails integration for rblineprof
- ruby-peek-redis (1.2.0-1)
- Take a peek into the Redis calls made within your Rails application
- ruby-pg (1.1.3-3)
- PostgreSQL interface for Ruby
- ruby-pgplot (0.1.9-3+b2) [contrib]
- Ruby interface to the PGPLOT graphics library
- ruby-pkg-config (1.3.4-1)
- pkg-config implementation for Ruby
- ruby-plist (3.2.0-1)
- all-purpose property list manipulation library
- ruby-pluggaloid (1.1.1-2)
- Extensible plugin system for mikutter
- ruby-po-to-json (1.0.1-1)
- convert gettext PO files to JSON
- ruby-poltergeist (1.18.1-1)
- PhantomJS driver for Capybara
- ruby-polyglot (0.3.4-1)
- Custom language loaders for specified file extensions
- ruby-poppler (3.3.2-1)
- Ruby bindings for the libpoppler-glib library
- ruby-posix-spawn (0.3.13-2+b1)
- Ruby Implementation of posix_spawn(2) for faster process spawning
- ruby-power-assert (1.1.1-1)
- library showing values of variables and method calls in an expression
- ruby-powerbar (1.0.18-1)
- progressbar library for Ruby
- ruby-powerpack (0.1.1-4)
- Useful extensions to core Ruby classes
- ruby-prawn (2.2.0+dfsg-1)
- fast, nimble PDF generation library for Ruby
- ruby-prawn-doc (2.2.0+dfsg-1)
- fast, nimble PDF generation library for Ruby (documentation)
- ruby-prawn-icon (2.3.0-4)
- Provides icon fonts for PrawnPDF
- ruby-prawn-manual-builder (0.2.0-1)
- tool to write manuals for Prawn and Prawn accessories
- ruby-prawn-svg (0.28.0-3)
- SVG renderer for Prawn PDF library
- ruby-prawn-table (0.2.2-1)
- table support for the Prawn PDF generation library
- ruby-premailer (1.8.6-2)
- Preflight for HTML e-mail
- ruby-process-daemon (1.0.1-2)
- Defines the daemon functionality using a Ruby class
- ruby-prof (0.17.0+dfsg-3+b1)
- Fast Ruby profiler
- ruby-progressbar (1.9.0-2)
- Text progress bar library for Ruby
- ruby-prometheus-client-mmap (0.9.4-1)
- suite of instrumentation metric primitives
- ruby-proxifier (1.0.3-1)
- add support for HTTP or SOCKS proxies
- ruby-pry-byebug (3.6.0-1)
- step-by-step debugging and stack navigation capabilities in pry using byebug
- ruby-psych (3.1.0-1)
- libyaml wrapper for Ruby
- ruby-public-suffix (3.0.3+ds-1)
- Domain name parser based on the Public Suffix List
- ruby-pundit (2.0.0-1)
- object oriented authorization for rails
- ruby-puppet-forge (2.2.9-2)
- Access the Puppet Forge API from Ruby
- ruby-puppet-syntax (2.4.1-2)
- Syntax checks for Puppet manifests, templates, and Hiera YAML
- ruby-puy-ruby-sasl
- virtueel pakket geboden door ruby-sasl
- ruby-pygments
- virtueel pakket geboden door ruby-pygments.rb
- ruby-pygments.rb (1.2.0-4)
- pygments wrapper for Ruby
- ruby-qdbm (1.8.78-9+b1)
- QDBM Database Libraries for Ruby
- ruby-raabro (1.1.6-1)
- Very dumb PEG parser library
- ruby-rabl (0.13.1-1)
- Ruby templating library with JSON, BSON, XML and MessagePack support
- ruby-rabl-rails (0.4.1-1)
- fast Rails 3+ templating system with JSON and XML support
- ruby-rack (2.0.6-3+deb10u4) [security]
- modular Ruby webserver interface
- ruby-rack-accept (0.4.5-3)
- suite of tools for interpreting HTTP Accept family for Ruby/Rack
- ruby-rack-attack (4.4.1-1)
- Block & throttle abusive requests
- ruby-rack-cache (1.2-4)
- HTTP Caching for Rack
- ruby-rack-cors (1.0.2-1+deb10u1)
- enable Cross-Origin Resource Sharing in Rack apps
- ruby-rack-flash3 (1.0.5-2)
- Flash hash for Ruby Rack applications
- ruby-rack-google-analytics (1.2.0-2)
- Simple Rack middleware to inject the Google Analytics tracking code
- ruby-rack-mobile-detect (0.4.0-4)
- rack middleware for ruby webapps to detect mobile devices
- ruby-rack-mount (0.8.3-3)
- Stackable dynamic tree based Rack router
- ruby-rack-oauth2 (1.9.2-1)
- Rack interface for an OAuth 2.0
- ruby-rack-openid (1.4.2-1)
- Ruby Rack library to provide access to OpenID
- ruby-rack-piwik (0.3.0-2)
- piwik Analytics racking in your Ruby-Rack based project
- ruby-rack-protection (2.0.5-4+deb10u2) [security]
- Protects against typical web attacks for Rack apps
- ruby-rack-proxy (0.6.1-2)
- request/response rewriting HTTP proxy rack app
- ruby-rack-rewrite (1.5.1-1)
- rack middleware for enforcing rewrite rules
- ruby-rack-ssl (1.4.1-2)
- SSL/TLS extension for Ruby Rack
- ruby-rack-test (0.7.0-1)
- Simple testing API built on Rack
- ruby-rack-timeout (0.4.2-1)
- Abort requests that are taking too long.
- ruby-raemon (0.3.0+git.2012.05.18.b78eaae57c-1)
- framework for building UNIX daemons
- ruby-rails (2:5.2.2.1+dfsg-1+deb10u5) [security]
- MVC ruby based framework geared for web application development
- ruby-rails-assets-autosize (4.0.2-5)
- autosize javascript library for rails applications
- ruby-rails-assets-blueimp-gallery (2.33.0-1)
- blueimp Gallery for rails
- ruby-rails-assets-bootstrap (3.3.7-1)
- bootstrap for rails projects
- ruby-rails-assets-bootstrap-markdown (2.10.0-1)
- bootstrap-markdown for rails applications
- ruby-rails-assets-colorbox
- virtueel pakket geboden door ruby-rails-assets-jquery-colorbox
- ruby-rails-assets-corejs-typeahead (1.2.1-1)
- Fast and fully-featured autocomplete search library
- ruby-rails-assets-diaspora-jsxc (0.1.5+dfsg2~develop.7-3)
- XMPP javascript client for diaspora
- ruby-rails-assets-emojione (2.2.6-2)
- provide emojione javascript for rails applications
- ruby-rails-assets-favico.js (0.3.10+dfsg-1)
- provides favico.js to rails apps via asset pipeline
- ruby-rails-assets-fine-uploader (5.13.0-1)
- Official bower build for FineUploader/fine-uploader
- ruby-rails-assets-highlightjs (9.12.0-2)
- highlightjs for rails applications
- ruby-rails-assets-jakobmattsson-jquery-elastic (1.6.11~dfsg-1)
- jquery-elastic plugin for rails applications
- ruby-rails-assets-jeresig-jquery.hotkeys (0.2.0-4)
- jQuery Hotkeys for rails applications
- ruby-rails-assets-jquery (3.3.1-1)
- This package provides jQuery, jQuery UI and the jQuery-ujs driver for Rails 3
- ruby-rails-assets-jquery-colorbox (1.6.3~dfsg-5)
- jQuery lightbox and modal window plugin
- ruby-rails-assets-jquery-fullscreen (1.1.4~dfsg-2)
- provide jquery-fullscreen via rails assets pipeline
- ruby-rails-assets-jquery-fullscreen-plugin (0.5.0+dfsg-1)
- jQuery fullscreen plugin for rails applications
- ruby-rails-assets-jquery-idletimer (1.0.1-3)
- provide jquery-idletimer via rails asset pipeline
- ruby-rails-assets-jquery-nicescroll (3.6.6-1)
- provide jquery.nicescroll via rails asset pipeline
- ruby-rails-assets-jquery-placeholder (2.3.1-2)
- provide jquery-placeholder via asset pipeline
- ruby-rails-assets-jquery-textchange (0.2.3-1)
- provide jQuery TextChange Plugin via rails asset pipeline
- ruby-rails-assets-jquery-ui (1.11.4-1)
- provide jquery.ui via rails assets pipeline
- ruby-rails-assets-jquery.are-you-sure (1.9.0-1)
- Light "dirty forms" JQuery Plugin
- ruby-rails-assets-jquery.slimscroll (1.3.6+dfsg-1)
- provide slimScroll jQuery plugin via rails asset pipeleine
- ruby-rails-assets-markdown-it (8.4.2-2)
- markdown parser as a rails asset
- ruby-rails-assets-markdown-it--markdown-it-for-inline (0.1.1-1)
- Inline tokens iterator for markdown-it markdown parser
- ruby-rails-assets-markdown-it-diaspora-mention (1.2.0-1)
- diaspora* mentions for markdown-it markdown parser
- ruby-rails-assets-markdown-it-hashtag (0.4.0-1)
- hashtag for markdown-it markdown parser via rails pipeline
- ruby-rails-assets-markdown-it-sanitizer (0.4.3-1)
- sanitizer for markdown-it markdown parser via rails pipeline
- ruby-rails-assets-markdown-it-sub (1.0.0-2)
- markdown parser subscript plugin as a rails asset
- ruby-rails-assets-markdown-it-sup (1.0.0-2)
- markdown parser superscript plugin as a rails asset
- ruby-rails-assets-perfect-scrollbar (1.4.0-2)
- Minimalistic but perfect custom scrollbar plugin
- ruby-rails-assets-punycode (1.3.2-2)
- provide punycode converter via rails asset pipeline
- ruby-rails-assets-underscore (1.8.3+dfsg-1)
- underscore.js for rails applications
- ruby-rails-deprecated-sanitizer (1.0.3-3)
- HTML sanitizer API extracted from Action View
- ruby-rails-dom-testing (2.0.3-3)
- SOM and Selector assertions for Rails applications
- ruby-rails-html-sanitizer (1.0.4-1+deb10u2) [security]
- HTML sanitization for Rails applications
- ruby-rails-i18n (5.1.2-2)
- common locale data and translations for Rails i18n
- ruby-rails-observers (0.1.5-1)
- toolkit to build Rails observers (part of Rails)
- ruby-rails-timeago (2.16.0-1)
- Rails Helper to create time tags usable for jQuery Timeago plugin
- ruby-rails-tokeninput (1.7.0-1)
- jquery tokeninput automated install
- ruby-railties (2:5.2.2.1+dfsg-1+deb10u5) [security]
- tools for creating, working with, and running Rails applications
- ruby-rainbow (3.0.0-2)
- extension of Ruby String class enabling colored text on ANSI terminals
- ruby-raindrops (0.19.0-1+b2)
- Real-time stats for preforking Rack servers
- ruby-rash-alt (0.4.3-1)
- simple extension to Hashie::Mash for rubyified keys
- ruby-rb-inotify (0.9.10-1)
- Ruby wrapper for Linux's inotify, using FFI
- ruby-rblineprof (0.3.7-2)
- line-profiler for ruby
- ruby-rbnacl (5.0.0-4)
- Ruby binding to the Networking and Cryptography (NaCl) library
- ruby-rbnacl-libsodium
- virtueel pakket geboden door ruby-rbnacl
- ruby-rbpdf (1.19.5+ds.1-1)
- Ruby library for PDF generation
- ruby-rbpdf-font (1.19.5+ds.1-1)
- Ruby library for PDF generation (font definitions)
- ruby-rbtrace (0.4.10-1)
- rbtrace: like strace but for ruby code
- ruby-rbvmomi (1.8.2-1)
- Ruby interface to the VMware vSphere API
- ruby-rc4 (0.1.5-3)
- Ruby library implementing the RC4 algorithm
- ruby-rchardet (1.6.1-1)
- Character encoding auto-detection for Ruby
- ruby-rd (0.6.38-4)
- RDTool library for Ruby - library
- ruby-rdiscount (2.1.8-1+b5)
- Discount Markdown Processor for Ruby
- ruby-re2 (1.1.1-2+b3)
- Ruby bindings to re2
- ruby-recaptcha (4.11.1-2)
- Ruby helpers for the reCAPTCHA API
- ruby-recursive-open-struct (1.1.0-1)
- OpenStruct subclass that returns nested hash attributes as RecursiveOpenStructs
- ruby-redcarpet (3.4.0-4+deb10u1)
- Fast, safe and extensible Markdown to (X)HTML parser for Ruby
- ruby-redcloth (4.3.2-3+deb10u1) [security]
- Textile module for Ruby
- ruby-redis (3.3.5-1)
- Ruby client library for Redis
- ruby-redis-actionpack (5.0.1-2)
- Redis session store for ActionPack
- ruby-redis-activesupport (5.0.4-1)
- Redis store for ActiveSupport::Cache
- ruby-redis-namespace (1.6.0-1)
- Namespaces commands when multiple apps access same redis server
- ruby-redis-rack (2.0.2-2)
- Redis Store for Rack
- ruby-redis-rails (5.0.2-3)
- Redis stores for Ruby on Rails
- ruby-redis-store (1.3.0-3)
- redis stores for Ruby frameworks
- ruby-ref (2.0.0-1)
- library implements weak, soft, and strong references in Ruby
- ruby-regexp-parser (1.2.0-1)
- Scanner, lexer, parser for ruby's regular expressions
- ruby-regexp-property-values (0.3.4-1)
- Inspect property values supported by Ruby's regex engine
- ruby-remcached (0.4.1-3)
- Ruby EventMachine memcached client
- ruby-remctl (3.15-1+b3)
- Ruby extension for Kerberos-authenticated command execution
- ruby-remotipart (1.2.1-2)
- enables remote multipart forms (AJAX style file uploads) with jquery-rails
- ruby-representable (3.0.4-1)
- Renders and parses JSON/XML/YAML documents from and to Ruby objects
- ruby-request-store (1.3.0-1)
- per-request global variable storage for Rack-based web servers
- ruby-responders (2.4.0-3)
- set of Rails responders to dry up your application
- ruby-rest-client (2.0.2-3.1)
- simple REST client for Ruby
- ruby-rethtool (0.0.5-1)
- partial wrapper around the SIOCETHTOOL ioctl
- ruby-retriable (3.0.1-2)
- Retriable is an simple DSL to retry failed code blocks
- ruby-retryable (2.0.4-1)
- module that allows one to retry a code block
- ruby-rgen (0.8.0-1.1)
- Ruby Modelling and Generator Framework
- ruby-rgfa (1.3.1+dfsg-1)
- parse, edit and write GFA format graphs in Ruby
- ruby-riddle (2.3.1-2~deb10u1)
- Ruby API for Sphinx Search
- ruby-riemann-client (0.2.3-1)
- client for the distributed event system Riemann
- ruby-rinku (1.7.3-2+b2)
- autolinker for Ruby
- ruby-rinku-doc (1.7.3-2)
- autolinker for Ruby (documentation)
- ruby-riot (0.12.7-2)
- fast, expressive, and context-driven unit-testing framework for Ruby
- ruby-rjb (1.5.5-3)
- Ruby-Java bridge using Java Native Interface
- ruby-rmagick (2.16.0-6+deb10u1) [security]
- ImageMagick API for Ruby
- ruby-rmagick-doc (2.16.0-6+deb10u1) [security]
- ImageMagick API for Ruby (documentation)
- ruby-roadie (3.2.2-1)
- library for composing HTML email
- ruby-roadie-rails (1.3.0-1)
- library for composing HTML email in Rails applications
- ruby-rollout (2.4.3-1)
- provides feature flags based on Redis
- ruby-romkan (0.4.0-2)
- Romaji <-> Kana conversion library for Ruby
- ruby-ronn (0.8.0-2+deb10u1)
- library to build manuals from Markdown
- ruby-roo (2.8.2-1)
- can access the contents of various spreadsheet files
- ruby-rotp (2.1.1+dfsg-1)
- Ruby library for generating and verifying one time passwords
- ruby-rouge (3.3.0-1)
- pure-Ruby syntax highlighter compatible with pygments
- ruby-roxml (4.0.0-1)
- Ruby Object to XML mapping library
- ruby-rpam-ruby19 (1.2.1-1+b4)
- PAM integration with Ruby
- ruby-rpatricia (1.0.1-1+b9 [amd64, armhf, i386], 1.0.1-1+b7 [arm64])
- efficient IPv4/IPv6 prefix storage and lookup for Ruby
- ruby-rqrcode (0.4.2-3)
- Ruby library for encoding QRCode (2D barcodes)
- ruby-rqrcode-rails3 (0.1.7-1)
- render QR codes with Rails 3
- ruby-rr (1.2.1-2)
- test double framework for Ruby
- ruby-rrd (1.7.1-2)
- time-series data storage and display system (Ruby interfaces)
- ruby-rsec (0.4.2-1)
- Parser / Regexp Combinator For Ruby
- ruby-rspec (3.8.0c0e1m0s0-1)
- Behaviour Driven Development framework for Ruby - metapackage
- ruby-rspec-collection-matchers (1.1.2-1)
- Ruby RSpec CollectionMatchers
- ruby-rspec-core (3.8.0c0e1m0s0-1)
- Behaviour Driven Development framework for Ruby - core
- ruby-rspec-expectations (3.8.0c0e1m0s0-1)
- Behaviour Driven Development framework for Ruby - expectations
- ruby-rspec-instafail (1.0.0-4)
- Show failing specs instantly
- ruby-rspec-its (1.2.0-3)
- attribute matching extension for the RSpec BDD framework
- ruby-rspec-logsplit (0.1.3-1)
- library to provide a logger for each example
- ruby-rspec-mocks (3.8.0c0e1m0s0-1)
- Behaviour Driven Development framework for Ruby - mocks
- ruby-rspec-pending-for (0.1.3-2)
- RSpec plugin to skip specs for given Ruby versions
- ruby-rspec-rails (3.8.1-2)
- RSpec for Rails 3+
- ruby-rspec-retry (0.5.7-1)
- add support for retrying failing examples in RSpec
- ruby-rspec-support (3.8.0c0e1m0s0-1)
- Behaviour Driven Development framework for Ruby - support
- ruby-rsvg2 (3.3.2-1)
- RSVG renderer bindings for the Ruby language
- ruby-rsync (1.0.9-1)
- ruby wrapper and bindings for the rsync binary
- ruby-rt (1.0.3.0-6)
- RTTool library for Ruby
- ruby-rubocop-rspec (1.22.2-1)
- Code style checking for RSpec files
- ruby-ruby-engine (1.0.1-2)
- Provides the RubyEngine constant
- ruby-ruby-version (1.0.1-2)
- Provide the RubyVersion pseudo-constant
- ruby-ruby2ruby (2.4.1-1)
- Generate pure ruby code easily from ParseTree's Sexps
- ruby-rubymail (1.1.3-2)
- MIME mail parsing and generation library
- ruby-rubymail-doc (1.1.3-2)
- MIME mail parsing and generation library (documentation)
- ruby-rubypants (0.6.0-1)
- Ruby port of SmartyPants smart-quotes library
- ruby-rubytorrent (0.3-5)
- BitTorrent library in Ruby
- ruby-rubyvis (0.6.1+dfsg1-2)
- Ruby visualization toolkit
- ruby-rufus-scheduler (3.4.2-1)
- job scheduler for Ruby
- ruby-rugged (0.27.4+ds-1)
- Ruby binding to the libgit2 library
- ruby-rugments (1.0.0~beta8-1)
- pure-ruby syntax highlighter replacement for pygments
- ruby-safe-yaml (1.0.4-2)
- safer YAML loader for Ruby
- ruby-saml (1.7.2-1)
- SAML toolkit for Ruby on Rails
- ruby-samuel (0.3.3-1)
- automatic logger for HTTP requests in Ruby
- ruby-sanitize (4.6.6-2.1~deb10u2) [security]
- whitelist-based HTML sanitizer
- ruby-sasl (0.0.3.3-2)
- SASL client library for Ruby
- ruby-sass (3.5.6-1)
- powerful but elegant CSS compiler that makes CSS fun again
- ruby-sass-rails (5.0.6-2)
- Sass adapter for the Rails asset pipeline
- ruby-sawyer (0.8.1-3)
- HTTP/REST API client Ruby library
- ruby-schash (0.1.2-1)
- Ruby Hash validator
- ruby-sdl (2.2.0-1+b2)
- Ruby/SDL interface for Ruby
- ruby-sdoc (0.4.1-2)
- RDoc generator to build searchable HTML documentation for Ruby code
- ruby-seamless-database-pool (1.0.20-1)
- support for master/slave database clusters in ActiveRecord
- (6.0.0-1)
- Security related headers all in one gem
- ruby-securecompare (1.0.0-1)
- string comparison method safe for use in cryptographic functions
- ruby-select2-rails (3.5.9.3-2)
- integrate Select2 javascript library with Rails asset pipeline
- ruby-selenium-webdriver (3.141.0+dfsg-1)
- Browser automation framework and ecosystem
- ruby-selinux (2.8-1+b1)
- Ruby bindings to SELinux shared libraries
- ruby-semanage (2.8-2)
- Ruby bindings to for SELinux policy management
- ruby-semantic-puppet (1.0.2-1)
- Useful tools for working with semantic versions with Puppet
- ruby-semverse (2.0.0-1)
- Ruby library for representing SemVer versions and constraints
- ruby-sentry-raven (2.7.4-1)
- client interface for the Sentry error logger
- ruby-sequel (5.15.0-1)
- Simple, flexible, and powerful SQL database access toolkit for Ruby
- ruby-sequenced (3.1.1-1)
- generate scoped sequential IDs for ActiveRecord models
- ruby-serialport (1.3.1-1+b5)
- Library for using RS-232 serial ports from Ruby programs
- ruby-serverspec (2.41.3-3)
- RSpec tests for your servers configured by Puppet, Chef or anything else
- ruby-session (3.2.0-3)
- persistent connections with external programs like bash (via pipes)
- ruby-settingslogic (2.0.9-3)
- simple settings solution for Ruby
- ruby-settingslogic-doc (2.0.9-3)
- simple settings solution for Ruby (documentation)
- ruby-setup (3.4.1-9)
- the setup.rb install tool for Ruby
- ruby-sexp-processor (4.11.0-1)
- brings all the generic sexp processing tools to ruby
- ruby-shadow (2.5.0-1+b1)
- interface of shadow password for Ruby
- ruby-sham-rack (1.4.1-2)
- Net::HTTP-to-Rack plumbing for HTTP testing
- ruby-shellany (0.0.1-2)
- MRI+JRuby compatible command output capturing
- ruby-shindo (0.3.8-2)
- simple depth first Ruby testing
- ruby-shoulda (3.5.0-3)
- additional features for the Test::Unit testing framework
- ruby-shoulda-context (1.2.0-1)
- context framework for Test::Unit
- ruby-shoulda-matchers (2.8.0-1)
- Test helpers for Rails applications, compatible with Test::Unit and RSpec
- ruby-sidekiq (5.2.3+dfsg-1+deb10u1) [security]
- Simple, efficient background processing for Ruby
- ruby-sidekiq-cron (1.1.0-3)
- scheduling add-on for Sidekiq
- ruby-signet (0.7.3-2)
- Signet is an OAuth 1.0 / OAuth 2.0 implementation
- ruby-simple-captcha2 (0.4.3-1)
- simplest and a robust captcha plugin for rails
- ruby-simple-oauth (0.3.1-2)
- Simply builds and verifies OAuth headers
- ruby-simplecov (0.16.1-1)
- code coverage for Ruby 1.9+
- ruby-simplecov-html (0.10.0-1)
- default HTML formatter for SimpleCov code coverage tool
- ruby-sinatra (2.0.5-4+deb10u2) [security]
- Ruby web-development dressed in a DSL
- ruby-sinatra-contrib (2.0.5-4+deb10u2) [security]
- collection of useful extensions to the Sinatra web framework
- ruby-six (0.2.0-3)
- very simple authorization library for Ruby
- ruby-slack-notifier (1.5.1-2)
- Ruby wrapper for posting to slack webhooks
- ruby-slim (4.0.1-1)
- powerful (X)HTML templating engine with an elegant syntax
- ruby-slop (4.6.2-1)
- Simple DSL for gathering options and parsing the command lineOption
- ruby-slow-enumerator-tools (1.1.0-1)
- transformation of Ruby enumerators to produce data slowly and unpredictably
- ruby-snmp (1.3.1-1)
- simple network management protocol bindings for ruby
- ruby-snorlax (0.1.8-1)
- Snorlax is a flexible controller for Rails APIs
- ruby-soap4r (2.0.5-4)
- SOAP library for the Ruby programming language
- ruby-solve (4.0.0-1)
- Ruby version constraint solver implementing semantic versioning
- ruby-source-map (3.0.1+git.20120229.bda06a3f-1)
- ruby support for source maps in javascripts
- ruby-specinfra (2.76.9-1)
- Common layer for serverspec and configspec
- ruby-spider (0.5.0-4)
- web spidering library for Ruby
- ruby-spoon (0.0.6-3)
- FFI binding of the posix_spawn function for Ruby
- ruby-spreadsheet (1.0.0-1)
- Ruby library for manipulating MS Excel (.xls) spreadsheets
- ruby-spring (2.0.2-4)
- Rails application preloader
- ruby-spring-watcher-listen (2.0.1-1)
- Makes spring watch files using the listen library
- ruby-sprockets (3.7.2-1)
- Rack-based asset packaging system
- ruby-sprockets-export (1.0.0-1)
- Sprockets Export
- ruby-sprockets-rails (3.2.1-1)
- Sprockets Rails integration (part of Rails)
- ruby-spy (0.4.3-1)
- simple modern mocking library using the spy pattern
- ruby-sqlite3 (1.3.13-1+b2)
- SQLite3 interface for Ruby
- ruby-sshkey (1.9.0-1)
- SSH private/public key generator in Ruby
- ruby-sshkit (1.16.0-1)
- toolkit for running commands in a structured way on one or more servers
- ruby-stamp (0.6.0-1)
- date and time formatting for humans
- ruby-standalone (2.5.0+b1)
- Ruby interpreter that won't integrate with Debian packages
- ruby-state-machines (0.5.0-3)
- Adds support for creating state machines for attributes on any Ruby class
- ruby-state-machines-activemodel (0.5.1-3)
- ActiveModel integration for State Machines
- ruby-state-machines-activerecord (0.5.1-2)
- State machines Active Record Integration
- ruby-statsd (1.4.0-1)
- StatsD client for Ruby
- ruby-stomp (1.4.4-1)
- Ruby client for the stomp messaging protocol
- ruby-string-direction (1.2.1-1)
- Automatic detection of text direction (ltr, rtl or bidi) for strings
- ruby-stringex (2.8.4-1)
- Some useful extensions to Ruby's String class
- ruby-stringify-hash (0.0.1-1)
- ruby hash object that treats symbols and strings interchangeably
- ruby-stud (0.0.22-1)
- reusable common code to enhance Ruby's stdlib library
- ruby-subexec (0.2.3+gh-2)
- mechanism for spawning a subprocess
- ruby-svg-graph (1.0.5-3)
- Pure Ruby library for generating charts in SVG format
- ruby-svn (1.10.4-1+deb10u3)
- Ruby bindings for Apache Subversion
- ruby-swd (1.0.1-2)
- SWD (Simple Web Discovery) Client Library
- ruby-symboltable (1.0.2-1)
- symbols-only hash for Ruby
- ruby-sys-filesystem (1.1.7-2)
- ruby interface for getting file system information.
- ruby-syslog-logger (1.6.8-1)
- improved Logger replacement that logs to syslog
- ruby-systemu (2.6.5-1)
- universal child process handling Ruby library
- ruby-table-print (1.5.6-1)
- Ruby library to turn objects into formatted columns
- ruby-task-list (2.0.0-2)
- GitHub-flavored-Markdown TaskList components
- ruby-tdiff (0.3.3-1)
- Calculates the differences between two tree-like structures
- ruby-temple (0.8.0-1)
- template compilation framework in Ruby
- ruby-term-ansicolor (1.3.0-1)
- Ruby library that colors strings using ANSI escape sequences
- ruby-terminal-table (1.8.0-1)
- simple, feature rich ASCII table generation library
- ruby-termios (1.0.0-2+b2)
- termios simple wrapper for Ruby
- ruby-test-construct (2.0.1-1)
- Ruby library that creates temporary files and directories for testing
- ruby-test-declarative (0.0.6-2)
- adds a declarative test method syntax to test/unit
- ruby-test-spec (0.10.0-3)
- Ruby library providing behaviour driven development interface for Test::Unit
- ruby-test-unit (3.2.8-1)
- unit testing framework for Ruby
- ruby-test-unit-context (0.5.0-2)
- context for the Test::Unit Ruby testing framework
- ruby-test-unit-notify (1.0.4-2)
- test result notify extension for Ruby Test::Unit
- ruby-test-unit-rr (1.0.5-3)
- RR adapter for Ruby Test::Unit
- ruby-test-xml (0.1.8-1)
- Test your XML with Test::Unit, MiniTest, RSpec, or Cucumber
- ruby-text (1.3.0-1)
- Collection of text algorithms for Ruby
- ruby-text-format (1.0.0-4)
- Ruby library for text formatting
- ruby-text-table (1.2.4-3)
- feature-rich, easy-to-use plain text table formatter in Ruby
- ruby-thinking-sphinx (4.1.0-2)
- smart wrapper over sphinx for activerecord
- ruby-thor (0.19.4-1)
- Ruby scripting framework
- ruby-threach (0.2.0-2)
- Threaded each
- ruby-thread-order (1.1.0-1)
- test helper for ordering threaded code
- ruby-thread-safe (0.3.6-1)
- thread-safe collections and utilities for Ruby
- ruby-tilt (2.0.9-1)
- Generic interface to multiple Ruby template engines
- ruby-timecop (0.8.0-1)
- Ruby library to easily test time-dependent code
- ruby-timers (4.1.1-2)
- pure Ruby timer collections
- ruby-timfel-krb5-auth (0.8.3-2+b5)
- Kerberos binding for Ruby
- ruby-tins (1.1.0-1)
- useful tools library in Ruby
- ruby-tioga (1.19.1-2+b2)
- Ruby library for scientific graphs
- ruby-tioga-doc (1.19.1-2)
- Ruby library for scientific graphs
- ruby-tokyocabinet (1.31-5+b2)
- Ruby Binding of Tokyo Cabinet Database
- ruby-toml (0.2.0-3)
- TOML Parser library for Ruby
- ruby-toml-rb (1.0.0-2)
- Toml parser in ruby, for ruby
- ruby-tomlrb (1.2.8-1)
- Racc based TOML parser library for Ruby
- ruby-tool (0.2.3-1)
- general purpose Ruby library used by Sinatra 2.0 and Mustermann
- ruby-torquebox-no-op (3.1.2-1)
- emulate TorqueBox APIs outside of TorqueBox
- ruby-treetop (1.6.8-1)
- Ruby-based text parsing and interpretation DSL
- ruby-trollop (2.0-2)
- command-line argument processing library
- ruby-truncato (0.7.9-2)
- tool for truncating HTML strings efficiently
- ruby-ttfunk (1.5.1-1)
- Ruby library to parse TrueType font metrics
- ruby-turbolinks (5.1.1-2)
- following links in your Rails web application faster
- ruby-turbolinks-source (5.1.0+dfsg-2)
- Provides Turbolinks as a ruby gem
- (6.2.0-2)
- Ruby interface to the Twitter API
- (0.4.94-4)
- Twitter OAuth REST API client library for Ruby
- (0.1.16-3)
- Twitter realtime API client for Ruby
- (1.14.7+conformance-1)
- library that does auto linking and extraction items in tweets
- ruby-typed-array (0.1.2-6)
- Ruby library providing enforced-type functionality to Arrays
- ruby-typhoeus (1.3.1-1)
- parallel HTTP library on top of ethon
- ruby-tzinfo (1.2.5-1+deb10u1) [security]
- Daylight-savings aware timezone library
- ruby-u2f (0.2.1-2)
- U2F server side library
- ruby-uber (0.1.0-1)
- gem-authoring framework
- ruby-uconv (0.6.1-3+b2)
- Unicode/EUC-JP translation module for Ruby
- ruby-uglifier (2.7.2+dfsg-2)
- Ruby wrapper for UglifyJS JavaScript compressor
- ruby-unf (0.1.4-2)
- Wrapper library to bring Unicode Normalization Form support to Ruby
- ruby-unf-ext (0.0.7.5-1)
- Unicode Normalization Form support library for CRuby
- ruby-unicode (0.4.4-2+b9 [amd64, armhf, i386], 0.4.4-2+b7 [arm64])
- Unicode string manipulation library for Ruby
- ruby-unicode-display-width (1.1.3-1)
- Determines the monospace display width of a string in Ruby
- ruby-unicorn-worker-killer (0.4.4-1)
- library to kill unicorn workers by memory and request counts
- ruby-unindent (1.0-3)
- Ruby method to unindent strings
- ruby-upr (0.3.0-2)
- Upload Progress for Rack
- ruby-url-safe-base64 (0.2.2-1)
- converteri for strings that contains only url-safe characters
- ruby-useragent (0.16.8-1)
- HTTP User Agent parser
- ruby-uuid (2.3.9-1)
- UUID generator for Ruby
- ruby-uuidtools (2.1.5-2)
- UUIDs generation library for Ruby
- ruby-uuidtools-doc (2.1.5-2)
- UUIDs generation library for Ruby - documentation
- ruby-vagrant-cloud (2.0.2-1)
- Vagrant Cloud API Library
- ruby-valid (1.0.0-2)
- standalone, generic object validator for ruby
- ruby-validatable (1.6.7-10)
- Ruby library for adding validations
- ruby-validate-email (0.1.6-2)
- Library for validating email addresses in Rails 3 models
- ruby-validate-url (1.0.2+git-2)
- Library for validating urls in Rails
- ruby-validates-hostname (1.0.7-1)
- checks for valid hostnames
- ruby-varia-model (0.6.0-1)
- Ruby library that provide objects with magic attribute features
- ruby-vcr (4.0.0-1)
- Record and replay HTTP interactions (Ruby library)
- ruby-version-sorter (2.1.0+dfsg-1+b2)
- fast sorting of version strings
- ruby-versionist (1.7.0-1)
- version for Rails based RESTful APIs
- ruby-versionomy (0.5.0-2)
- Generalized version number class for Ruby
- ruby-virtus (1.0.5-3)
- attributes on steroids for plain old Ruby objects
- ruby-vmstat (2.3.0-2+b1)
- library to gather system information
- ruby-warden (1.2.3-2)
- Rack middleware that provides authentication for rack applications
- ruby-wavefile (0.6.0-2)
- Pure Ruby library for reading and writing Wave sound files (*.wav)
- ruby-web-console (3.6.2-2)
- Ruby on Rails debugger
- ruby-webfinger (1.0.2-2)
- Ruby WebFinger client library
- ruby-webkit2-gtk (3.3.2-1)
- WebKitGTK+ bindings for the Ruby language
- ruby-webmock (3.4.2-1)
- library for stubbing HTTP requests in Ruby
- ruby-webpack-rails (0.9.11+git-1)
- Webpack & Rails integration made easier
- ruby-webrobots (0.1.2-1)
- Library for creating robots.txt-aware web robots
- ruby-websocket (1.2.8-2)
- Universal Ruby library to handle WebSocket protocol
- ruby-websocket-driver (0.6.3-2+b2)
- WebSocket protocol handler with pluggable I/O
- ruby-websocket-extensions (0.1.2-1+deb10u1)
- Generic extension manager for WebSocket connections
- ruby-whenever (0.9.4-1)
- Ruby library to abstract writing and deploying cron jobs
- ruby-whitequark-parser (2.4.0.2-1)
- Ruby parser written in pure Ruby
- ruby-whitewash (2.1-1)
- Whitelist-based HTML filter for Ruby
- ruby-wikicloth (0.8.1+dfsg-4)
- MediaWiki markup language parser for Ruby
- ruby-will-paginate (3.1.6-1)
- Pagination for Rails
- ruby-wirble (0.1.3-5)
- Extensions for the Ruby irb command line shell
- ruby-xapian (1.4.11-2)
- Xapian search engine interface for Ruby
- ruby-xdg (2.2.3-1)
- Ruby interface for using XDG directory standard
- ruby-xml-simple (1.1.5-1)
- Simple Ruby API for reading and writing XML
- ruby-xmlhash (1.3.6-2+b4)
- parse a XML string into a ruby hash
- ruby-xmlhash-dbg (1.3.6-2+b4)
- parse a XML string into a ruby hash (debug symbols)
- ruby-xmlparser (0.7.3-3+b2)
- Ruby interface for the expat XML parser toolkit
- ruby-xmlrpc (0.3.0-2)
- XMLRPC library for Ruby
- ruby-xmmsclient (0.8+dfsg-18.2)
- XMMS2 - Ruby bindings
- ruby-xpath (3.2.0-1)
- Ruby library to generate XPath expressions
- ruby-ya2yaml (0.31-1)
- UTF8 safe YAML dumper
- ruby-yajl (1.3.1-1+b1)
- Ruby interface to Yajl, a JSON stream-based parser library
- ruby-yaml-db (0.7.0-1)
- library to export/import of database into/from yaml files
- ruby-yell (2.0.7-1)
- Your Extensible Logging Library for Ruby
- ruby-zentest (4.11.0-2)
- ZenTest provides 4 different tools: zentest, unit_diff, autotest, and multiruby
- ruby-zip (1.2.2-1)
- Ruby module for reading and writing zip files
- ruby-zip-zip (0.3+gh-1)
- ease the migration to RubyZip v1.0.0
- ruby-zoom (0.5.0-1.1)
- Ruby/ZOOM provides a Ruby binding to the Z40.50 Object-Orientation Model
- ruby2.5 (2.5.5-3+deb10u6) [security]
- Interpreter of object-oriented scripting language Ruby
- ruby2.5-dev (2.5.5-3+deb10u6) [security]
- Header files for compiling extension modules for the Ruby 2.5
- ruby2.5-doc (2.5.5-3+deb10u6) [security]
- Documentation for Ruby 2.5
- rubygems
- virtueel pakket geboden door ruby
- rubygems-integration (1.11+deb10u1)
- integration of Debian Ruby packages with Rubygems
- rumor (1.0.5-2.1+b1)
- Realtime MIDI keyboard to Lilypond converter
- runawk (1.6.0-2+b1)
- wrapper for AWK interpreter implementing modules
- runc (1.0.0~rc6+dfsg1-3+deb10u3) [security]
- Open Container Project - runtime
- runcircos-gui (0.0+git20180828.97703b9-1)
- GUI tool to run circos
- runescape (0.5-1) [non-free]
- Multiplayer online game set in a fantasy world
- rungetty (1.2-16+b1)
- minimal console getty that can run any process
- runit (2.1.2-25)
- system-wide service supervision
- runit-helper (2.8.6)
- dh-runit implementation detail
- runit-init (2.1.2-25)
- system-wide service supervision (as init system)
- runit-systemd (2.1.2-25)
- system-wide service supervision (systemd integration)
- runit-sysv (2.1.2-25)
- system-wide service supervision (sysv integration)
- runlim (1.10-5)
- tool for sampling time and memory usage
- (2.2-2)
- Run commands over ssh in one or more hosts
- runsnakerun (2.0.4-2)
- GUI utility for (Python) cProfile or Profile profiler dumps
- rurple-ng (0.5+16-2)
- learn programming in Python with a robot
- rusers (0.17-10)
- Displays who is logged in to machines on local network
- rusersd (0.17-10)
- Logged in users server
- rush (1.8+dfsg-1.1)
- restricted user shell
- rust-doc (1.41.1+dfsg1-1~deb10u1)
- Rust systems programming language - Documentation
- rust-gdb (1.41.1+dfsg1-1~deb10u1)
- Rust debugger (gdb)
- rust-lldb (1.41.1+dfsg1-1~deb10u1)
- Rust debugger (lldb)
- rust-mozilla-doc (1.63.0+dfsg1-2~deb10u3) [security]
- Rust systems programming language - Documentation
- rust-mozilla-gdb (1.63.0+dfsg1-2~deb10u3) [security]
- Rust debugger (gdb)
- rust-mozilla-lldb (1.63.0+dfsg1-2~deb10u3) [security]
- Rust debugger (lldb)
- rust-mozilla-src (1.63.0+dfsg1-2~deb10u3) [security]
- Rust systems programming language - source code
- rust-src (1.41.1+dfsg1-1~deb10u1)
- Rust systems programming language - source code
- rustc (1.41.1+dfsg1-1~deb10u1)
- Rust systems programming language
- rustc (= 1.59.0+dfsg1-1~deb10u3)
- virtueel pakket geboden door rustc-mozilla
- rustc (= 1.63.0+dfsg1-2~deb10u3)
- virtueel pakket geboden door rustc-mozilla
- rustc-mozilla (1.63.0+dfsg1-2~deb10u3) [security]
- Rust systems programming language
- rustdoc-stripper (0.1.5-1)
- Strip rustdoc comments from source code
- rviz (1.13.1+dfsg-1+b3)
- 3D visualization tool for Robot OS
- rwall (0.17-8)
- Send a message to users logged on a host
- rwalld (0.17-8)
- Write messages to users currently logged in server
- rwho (0.17-14)
- Clients to query the rwho server
- rwhod (0.17-14)
- System status server
- rxp (1.5.0-2+b1)
- Validating XML parser
- rxvt (1:2.7.10-7.1+urxvt9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- rxvt
- virtueel pakket geboden door rxvt-unicode
- rxvt-ml (1:2.7.10-7.1+urxvt9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- rxvt-unicode (9.22-6+deb10u1)
- RXVT-like terminal emulator with Unicode and 256-color support
- rxvt-unicode-256color (9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- rxvt-unicode-lite (9.22-6+deb10u1)
- dummy transitional package for rxvt-unicode
- rygel (0.36.2-4)
- GNOME UPnP/DLNA services
- rygel-2.6-dev (0.36.2-4)
- GNOME UPnP/DLNA services - plugin development files
- rygel-gst-launch (0.36.2-4)
- GNOME UPnP/DLNA services - gst-launch plugin
- rygel-playbin (0.36.2-4)
- GNOME UPnP/DLNA services - GStreamer Media Renderer plugin
- rygel-preferences (0.36.2-4)
- GNOME UPnP/DLNA services - preferences tool
- rygel-ruih (0.36.2-4)
- GNOME UPnP/DLNA services - Ruih plugin
- rygel-tracker (0.36.2-4)
- GNOME UPnP/DLNA services - Tracker plugin
- ryu-bin (4.26+dfsg1-5)
- software defined networking framework - ryu binary
- rzip (2.1-4.1)
- compression program for large files
- s-el (1.12.0-2)
- transitional dummy package, s-el to elpa-s
- s-nail (14.9.11-2)
- feature-rich BSD mail(1)
- s-tui (0.8.3-1)
- terminal UI for monitoring your computer
- s3270 (3.6ga4-3+b1)
- Program for scripted telnet sessions to IBM mainframes
- s3backer (1.5.0-1)
- Amazon AWS S3-backed virtual hard disk device
- s3curl (20171008-1)
- Easily interact with AWS S3 HTTP services
- s3d (0.2.2-16)
- 3d network display server
- s3d-data (0.2.2-16)
- 3d network display server data files
- s3d-doc (0.2.2-16)
- Documentation for s3d
- s3dfm (0.2.2-16)
- s3d file manager
- s3dosm (0.2.2-16)
- gps card application for s3d
- s3dvt (0.2.2-16)
- 3d terminal emulator for s3d
- s3dx11gate (0.2.2-16)
- 3d gateway for x11 desktops
- s3fs (1.84-1)
- FUSE-based file system backed by Amazon S3
- s3ql (3.0+dfsg-1)
- Full-featured file system for online data storage
- s3ql-dbg (3.0+dfsg-1)
- Full-featured file system for online data storage (debugging symbols)
- s3switch (0.1-1)
- Manage the output device on S3 Savage chips
- s4cmd (2.1.0+ds-1)
- Super Amazon S3 command line tool
- s5 (1.1.dfsg.2-8)
- simple HTML-based presentation system
- s51dude (0.3.1-1.1+b1)
- In-System Programmer for 8051 MCUs using usbtiny
- s6 (2.7.2.2-3)
- small and secure supervision software suite
- s6-doc (2.7.2.2-3)
- small and secure supervision software suite (documentation)
- sa-compile (3.4.2-1+deb10u3)
- Tools for compiling SpamAssassin rules into C
- sa-exim (4.2.1-17)
- SpamAssassin filter for Exim
- sablecc (3.7-1)
- Object-oriented fully featured parser generator
- sabnzbdplus (2.3.6+dfsg-1+deb10u2) [contrib]
- web-based binary newsreader with nzb support
- sac (1.9b5-3+b2)
- Login accounting
- sac2mseed (1.12+ds1-3)
- Convert SAC waveform data to MiniSEED
- sacc (1.00-1)
- simple terminal gopher client
- safe-rm (0.12-7)
- wrapper around the rm command to prevent accidental deletions
- safecat (1.13-3+b1)
- Safely copy stdin to a file
- safecopy (1.7-4)
- data recovery tool for problematic or damaged media
- safeeyes (2.0.6-1)
- Protect your eyes from eye strain using this continuous breaks
- safelease (1.0-2)
- Legacy locking utility for Vdsm
- saga (2.3.1+dfsg-4+b1)
- System for Automated Geoscientific Analyses
- saga-common (2.3.1+dfsg-4)
- SAGA GIS architecture independent files
- sagan (1.2.0-1)
- Real-time System & Event Log Monitoring System
- sagan-rules (1:20170725-1)
- Real-time System & Event Log Monitoring System [rules]
- sagemath (8.6-6)
- Open Source Mathematical Software
- sagemath-common (8.6-6)
- Open Source Mathematical Software - architecture-independent files
- sagemath-database-conway-polynomials (0.5-4)
- Database of Conway polynomials
- sagemath-database-elliptic-curves (0.8-2)
- Databases for elliptic curves
- sagemath-database-graphs (20161026+dfsg-2)
- Databases of graphs
- sagemath-database-mutually-combinatorial-designs (20140630-3)
- Databases of combinatorial designs
- sagemath-database-polytopes (20170220-2)
- Databases of polytopes
- sagemath-doc-ca (8.6-6)
- Open Source Mathematical Software - documentation (Catalan; Valencian)
- sagemath-doc-de (8.6-6)
- Open Source Mathematical Software - documentation (German)
- sagemath-doc-en (8.6-6)
- Open Source Mathematical Software - documentation (English)
- sagemath-doc-es (8.6-6)
- Open Source Mathematical Software - documentation (Spanish; Castilian)
- sagemath-doc-fr (8.6-6)
- Open Source Mathematical Software - documentation (French)
- sagemath-doc-hu (8.6-6)
- Open Source Mathematical Software - documentation (Hungarian)
- sagemath-doc-it (8.6-6)
- Open Source Mathematical Software - documentation (Italian)
- sagemath-doc-ja (8.6-6)
- Open Source Mathematical Software - documentation (Japanese)
- sagemath-doc-pt (8.6-6)
- Open Source Mathematical Software - documentation (Portuguese)
- sagemath-doc-ru (8.6-6)
- Open Source Mathematical Software - documentation (Russian)
- sagemath-doc-tr (8.6-6)
- Open Source Mathematical Software - documentation (Turkish)
- sagemath-jupyter (8.6-6)
- Open Source Mathematical Software - Jupyter kernel
- sagenb-export (= 3.2-3)
- virtueel pakket geboden door python3-sagenb-export, python-sagenb-export
- sagetex (3.2+ds-2)
- Embed Sage code and plots into LaTeX
- sagetex-doc (3.2+ds-2)
- Embed Sage code and plots into LaTeX -- doc
- sahara (1:9.0.0-1)
- OpenStack data processing cluster as a service - API & Engine
- sahara-api (1:9.0.0-1)
- OpenStack data processing cluster as a service - API server
- sahara-common (1:9.0.0-1)
- OpenStack data processing cluster as a service - common files
- sahara-doc (1:9.0.0-1)
- OpenStack data processing cluster as a service - doc
- sahara-engine (1:9.0.0-1)
- OpenStack data processing cluster as a service - Engine server
- saidar (0.91-1+b2)
- curses-based program which displays live system statistics
- sailcut (1.4.1-2)
- Sail design and plotting software
- sailcut-doc (1.4.1-2)
- Sailcut CAD documentation
- saint (2.5.0+dfsg-3)
- Significance Analysis of INTeractome
- sakura (3.6.0-3)
- simple but powerful libvte-based terminal emulator
- salliere (0.10-3)
- Bridge duplicate scorer
- salmid (0.1.23-1)
- rapid Kmer based Salmonella identifier from sequence data
- salmon (0.12.0+ds1-1+b1)
- wicked-fast transcript quantification from RNA-seq data
- salt-api (2018.3.4+dfsg1-6+deb10u3)
- Generic, modular network access system
- salt-cloud (2018.3.4+dfsg1-6+deb10u3)
- public cloud VM management system
- salt-common (2018.3.4+dfsg1-6+deb10u3)
- shared libraries that salt requires for all packages
- salt-doc (2018.3.4+dfsg1-6+deb10u3)
- additional documentation for salt, the distributed remote execution system
- salt-master (2018.3.4+dfsg1-6+deb10u3)
- remote manager to administer servers via salt
- salt-minion (2018.3.4+dfsg1-6+deb10u3)
- client package for salt, the distributed remote execution system
- salt-pepper (0.5.5-1)
- Library and stand-alone CLI tools to access a salt-api instance
- salt-proxy (2018.3.4+dfsg1-6+deb10u3)
- Proxy client package for salt stack
- salt-ssh (2018.3.4+dfsg1-6+deb10u3)
- remote manager to administer servers via Salt SSH
- salt-syndic (2018.3.4+dfsg1-6+deb10u3)
- master-of-masters for salt, the distributed remote execution system
- samba (2:4.9.5+dfsg-5+deb10u5) [security]
- SMB/CIFS file, print, and login server for Unix
- samba-client
- virtueel pakket geboden door smbclient
- samba-common (2:4.9.5+dfsg-5+deb10u5) [security]
- common files used by both the Samba server and client
- samba-common-bin (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba common files used by both the server and the client
- samba-dev (2:4.9.5+dfsg-5+deb10u5) [security]
- tools for extending Samba
- samba-dsdb-modules (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba Directory Services Database
- samba-libs (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba core libraries
- samba-testsuite (2:4.9.5+dfsg-5+deb10u5) [security]
- test suite from Samba
- samba-vfs-modules (2:4.9.5+dfsg-5+deb10u5) [security]
- Samba Virtual FileSystem plugins
- samblaster (0.1.24-2)
- marks duplicates, extracts discordant/split reads
- samdump2 (3.0.0-6)
- Dump Windows 2k/NT/XP password hashes
- samhain (4.1.4-2+b1)
- Data integrity and host intrusion alert system
- samizdat (0.7.0-2)
- Web collaboration and open publishing engine
- saml-identity-provider
- virtueel pakket geboden door lemonldap-ng
- saml-service-provider
- virtueel pakket geboden door lemonldap-ng
- samplerate-programs (0.1.9-2)
- Sample programs that use libsamplerate
- samplv1 (0.8.6-1)
- polyphonic sampler synthesizer - standalone
- samplv1-common (0.8.6-1)
- polyphonic sampler synthesizer - common files
- samplv1-lv2 (0.8.6-1)
- polyphonic sampler synthesizer - LV2 plugin
- samtools (1.9-4)
- processing sequence alignments in SAM, BAM and CRAM formats
- samtools-test (1.9-4)
- test files for the samtools package
- sandboxgamemaker (2.8.2+dfsg-1+b3) [contrib]
- 3D game maker and 3D game design program
- sandsifter (1.03-2)
- x86 processor fuzzer
- sane (1.0.14-13+b1)
- scanner graphical frontends
- sane-utils (1.0.27-3.2)
- API library for scanners -- utilities
- sanitizer (1.76-5)
- The Anomy Mail Sanitizer - an email virus scanner
- sanlock (3.6.0-3)
- Shared storage lock manager
- saods9 (8.0.1+repack-2)
- Image display tool for astronomy
- saods9-doc (8.0.1+repack-2)
- Image display tool for astronomy (help files/documentation)
- sapphire (0.15.8-9.1)
- A minimal but configurable X11R6 window manager
- sash (3.8-5+b10)
- Stand-alone shell
- sasl2-bin (2.1.27+dfsg-1+deb10u2)
- Cyrus SASL - administration programs for SASL users database
- sasm (3.10.1-1)
- simple IDE for NASM, GAS and FASM assembly languages
- sasmodels-private-libs (0.99-2)
- Theoretical models for small angle scattering (compiled models)
- sass
- virtueel pakket geboden door ruby-sass
- sass-elisp (3.0.15-4.2)
- Emacs Lisp mode for the Sass markup language
- sass-spec (3.5.4-1)
- testsuite for Sass - compliance testing tool
- sass-spec-data (3.5.4-1)
- testsuite for Sass - data files
- sass-stylesheets-bootstrap
- virtueel pakket geboden door libjs-bootstrap4
- sass-stylesheets-compass (0.12.10-1)
- mixins for Sass derived from Compass
- sass-stylesheets-elusive-icons
- virtueel pakket geboden door fonts-elusive-icons
- sassc (3.5.0-1)
- C/C++ port of the Sass CSS precompiler - command-line tool
- sasview (4.2.1-1)
- Small Angle Scattering Analysis suite
- sasview-doc (4.2.1-1)
- Small Angle Scattering Analysis (common documentation)
- sat-templates (0.7.0.a4+dfsg-1)
- collection of templates for Salut à Toi
- sat-xmpp-core (0.7.0a4-1)
- Salut à Toi XMPP-based communication and sharing tool (core)
- sat-xmpp-jp (0.7.0a4-1)
- Salut à Toi XMPP-based communication and sharing tool (command-line interface)
- sat-xmpp-primitivus (0.7.0a4-1)
- Salut à Toi XMPP-based communication and sharing tool (console interface)
- sat4j (2.3.5-0.3)
- Efficient library of SAT solvers in Java
- sata-modules
- virtueel pakket geboden door sata-modules-4.19.0-21-686-di, sata-modules-4.19.0-20-686-di, sata-modules-4.19.0-20-amd64-di, sata-modules-4.19.0-21-armmp-di, sata-modules-4.19.0-21-686-pae-di, sata-modules-4.19.0-20-armmp-di, sata-modules-4.19.0-21-amd64-di, sata-modules-4.19.0-21-arm64-di, sata-modules-4.19.0-20-arm64-di, sata-modules-4.19.0-20-686-pae-di
- sata-modules-4.19.0-20-686-di (4.19.235-1)
- SATA drivers
- sata-modules-4.19.0-20-686-pae-di (4.19.235-1)
- SATA drivers
- sata-modules-4.19.0-20-amd64-di (4.19.235-1)
- SATA drivers
- sata-modules-4.19.0-20-arm64-di (4.19.235-1)
- SATA drivers
- sata-modules-4.19.0-20-armmp-di (4.19.235-1)
- SATA drivers
- sata-modules-4.19.0-21-686-di (4.19.249-2)
- SATA drivers
- sata-modules-4.19.0-21-686-pae-di (4.19.249-2)
- SATA drivers
- sata-modules-4.19.0-21-amd64-di (4.19.249-2)
- SATA drivers
- sata-modules-4.19.0-21-arm64-di (4.19.249-2)
- SATA drivers
- sata-modules-4.19.0-21-armmp-di (4.19.249-2)
- SATA drivers
- sauce (0.9.0+nmu3)
- SMTP defence software against spam
- sauerbraten (0.0.20140302-2) [non-free]
- 3D first-person shooter game
- sauerbraten-server (0.0.20140302-2) [non-free]
- standalone server for the Sauerbraten game
- save-logs (2.71)
- save logs and configuration for debug purposes
- savi (1.5.1-3)
- satellite constellation visualisation
- sawfish (1:1.11.90-1.1)
- window manager for X11
- sawfish-data (1:1.11.90-1.1)
- sawfish architecture independent data
- sawfish-lisp-source (1:1.11.90-1.1)
- sawfish lisp files
- sawfish-themes (0.13)
- Themes for the Sawfish window manager
- saytime (1.0-30)
- speaks the current time through your sound card
- sb16ctrl-bochs (2.6.9+dfsg-3)
- control utility for Bochs emulated SB16 card
- sbc-tools (1.4-1)
- Sub Band CODEC library - tools
- sbcl (2:1.4.16-2)
- Common Lisp compiler and development system
- sbcl-doc (2:1.4.16-2)
- Documentation for Steel Bank Common Lisp
- sbcl-fasl-loader-78
- virtueel pakket geboden door sbcl
- sbcl-source (2:1.4.16-2)
- Source code files for SBCL
- sbd (1.4.0-18-g5e3283c-1)
- STONITH Block Device daemon
- sblim-wbemcli (1.6.3-2)
- WBEM Command Line Interface for CIMOM access
- sbmltoolbox (4.1.0-4)
- libsbml toolbox for octave and matlab
- sbox-dtc (1.11.7-1+b2)
- CGI chroot wrapper script for safer hosting environment
- sbrsh (7.6.1+b2)
- Scratchbox Remote Shell client
- sbrshd (7.6.1+b2)
- Scratchbox Remote Shell daemon
- sbsigntool (0.9.2-2)
- Tools to manipulate signatures on UEFI binaries and drivers
- sbt-ivy (2.4.0~rc1+dfsg-2)
- agile dependency manager
- sbt-ivy-doc (2.4.0~rc1+dfsg-2)
- agile dependency manager (documentation)
- sbuild (0.78.1-2)
- Tool for building Debian binary packages from Debian sources
- sbuild-debian-developer-setup (0.78.1-2)
- Convenience script to set up an sbuild environment for Debian Developers
- sbws (1.0.2-1)
- Simple Bandwidth Scanner for the Tor network
- sbws-doc (1.0.2-1)
- Simple Bandwidth Scanner
- sc (7.16-4+b3)
- Text-based spreadsheet with VI-like keybindings
- sc3-plugins (3.9.1~repack-3)
- Community collection of UGen plugins for SuperCollider
- sc3-plugins-language (3.9.1~repack-3)
- sclang class files of UGen plugins for SuperCollider
- sc3-plugins-server (3.9.1~repack-3)
- Compiled scsynth UGen plugins for SuperCollider
- scala (2.11.12-4)
- Scala programming language
- scala-asm (5.2.0-scala-2-1)
- Fork of ASM for the Scala Compiler
- scala-doc (2.11.12-4)
- Scala API documentation
- scala-library (2.11.12-4)
- Scala standard library
- scala-mode-el (20111005-2.1)
- Emacs major mode for editing scala source code
- scala-parser-combinators (1.0.3-3)
- Scala Standard Parser Combinator Library
- scala-xml (1.0.3-3)
- Standard Scala XML library
- scalable-cyrfonts-tex (4.17)
- Scalable Cyrillic fonts for TeX
- scalapack-doc (1.5-11)
- Scalable Linear Algebra Package Documentation
- scalapack-mpi-test (2.0.2-7+b2)
- Scalable Linear Algebra Package - Test files for MPI
- scalapack-mpich-test
- virtueel pakket geboden door scalapack-mpi-test
- scalapack-test-common (2.0.2-7)
- Test data for ScaLAPACK testers
- scalpel (1.60-6)
- fast filesystem-independent file recovery
- scamp (2.0.4+dfsg-1+b1)
- Compute astrometric and photometric solutions
- scamper (20181219-1)
- parallel Internet measurement utility
- scanbd (1.5.1-4)
- Scanner button daemon
- scanlogd (2.2.5-3.3)
- A portscan detecting tool
- scanmem (0.17-2+b1)
- locate and modify a variable in a running process
- scanssh (2.0-4.1+b1)
- get SSH server versions for an entire network
- scantailor (0.9.12.2-3)
- interactive post-processing tool for scanned pages
- scantool (1.21+dfsg-7)
- OBD-II vehicle diagnostic scanner
- scap-workbench (1.1.5-1)
- Scanning and tailoring tool for SCAP content
- scapy
- virtueel pakket geboden door python-scapy
- scdaemon (2.2.12-1+deb10u2)
- GNU privacy guard - smart card support
- scdoc (1.9.0-1)
- Simple man page generator written for POSIX systems written in C99
- schedtool (1.3.0-3)
- Queries/alters process' scheduling policy and CPU affinity
- schema2ldif (1.3-3)
- Tool for converting OpenLDAP-style schemas to the LDIF format
- scheme-r5rs
- virtueel pakket geboden door scheme48
- scheme-srfi-7
- virtueel pakket geboden door scheme48
- scheme48 (1.9.2-1)
- simple, modular, and lightweight Scheme implementation
- scheme48-doc (1.9.2-1)
- Documentation for the Scheme48 implementation of Scheme
- scheme9 (2018.12.05-1)
- Scheme 9 from Empty Space R4RS Scheme interpreter
- schism (2:20181223-1)
- ImpulseTracker clone aiming at providing the same look&feel
- schleuder (3.4.0-2+deb10u3)
- GPG-enabled mailing list manager with resending-capabilities
- schleuder-cli (0.1.0-3)
- command line tool to configure schleuder mailing lists
- schroot (1.6.10-6+deb10u1) [security]
- Execute commands in a chroot environment
- schroot-common (1.6.10-6+deb10u1) [security]
- common files for schroot
- scid (1:4.6.4+dfsg1-3)
- chess database with play and training functionality
- scid-data (1:4.6.4+dfsg1-3)
- data files for scid, the chess database application
- scid-rating-data (200901-2)
- contains spelling corrections and ELO ratings for scid chess databases
- scid-spell-data (200901-2)
- contains spelling corrections for scid chess databases
- science-all (1.10)
- Default selection of tasks for Debian Science
- science-astronomy (1.10)
- Debian Science Astronomy transitional package
- science-astronomy-dev (1.10)
- Debian Science Astronomy-dev transitional package
- science-biology (1.10)
- Debian Science Biology packages
- science-chemistry (1.10)
- Debian Science Chemistry packages
- science-config (1.10)
- Debian Science Project config package
- science-dataacquisition (1.10)
- Debian Science data acquisition packages
- science-dataacquisition-dev (1.10)
- Debian Science data acquisition development packages
- science-distributedcomputing (1.10)
- Debian Science Distributed Computing packages
- science-economics (1.10)
- Debian Science Economics packages
- science-electronics (1.10)
- Debian Science Electronics transitional package
- science-electrophysiology (1.10)
- Debian Science packages for Electrophysiology
- science-engineering (1.10)
- Debian Science Engineering packages
- science-engineering-dev (1.10)
- Debian Science Engineering-dev packages
- science-financial (1.10)
- Debian Science financial engineering and computational finance
- science-geography (1.10)
- Debian Science Geography packages
- science-geometry (1.10)
- Debian Science geometry packages
- science-highenergy-physics (1.10)
- Debian Science High Energy Physics packages
- science-highenergy-physics-dev (1.10)
- Debian Science High Energy Physics development packages
- science-imageanalysis (1.10)
- Debian Science image analysis packages
- science-imageanalysis-dev (1.10)
- Debian Science development of image analysis applications
- science-linguistics (1.10)
- Debian Science Linguistics packages
- science-logic (1.10)
- Debian Science Logic packages
- science-machine-learning (1.10)
- Debian Science Machine Learning packages
- science-mathematics (1.10)
- Debian Science Mathematics packages
- science-mathematics-dev (1.10)
- Debian Science Mathematics-dev packages
- science-meteorology (1.10)
- Debian Science Meteorology packages
- science-meteorology-dev (1.10)
- Debian Science Meteorology-dev packages
- science-nanoscale-physics (1.10)
- Debian Science Nanoscale Physics packages
- science-nanoscale-physics-dev (1.10)
- Debian Science Nanoscale Physics development packages
- science-neuroscience-cognitive (1.10)
- Debian Science packages for Cognitive Neuroscience
- science-neuroscience-modeling (1.10)
- Debian Science packages for modeling of neural systems
- science-numericalcomputation (1.10)
- Debian Science Numerical Computation packages
- science-physics (1.10)
- Debian Science Physics packages
- science-physics-dev (1.10)
- Debian Science Physics-dev packages
- science-presentation (1.10)
- Debian Science generic tools for presentations
- science-psychophysics (1.10)
- Debian Science packages for Psychophysics
- science-robotics (1.10)
- Debian Robotics packages
- science-robotics-dev (1.10)
- Debian Robotics development packages
- science-simulations (1.10)
- Debian Science Simulation packages
- science-statistics (1.10)
- Debian Science Statistics packages
- science-tasks (1.10)
- Debian Science tasks for tasksel
- science-typesetting (1.10)
- Debian Science typesetting packages
- science-viewing (1.10)
- Debian Science data visualisation packages
- science-viewing-dev (1.10)
- Debian Science development of visualisation applications
- science-workflow (1.10)
- workflow management systems useful for scientific research
- scilab (6.0.1-10+deb10u1)
- Scientific software package for numerical computations
- scilab-cli (6.0.1-10+deb10u1)
- Scientific software package - Command Line Interpreter
- scilab-data (6.0.1-10+deb10u1)
- Scientific software package for numerical computations (data files)
- scilab-doc (6.0.1-10+deb10u1)
- Scientific software package (English documentations)
- scilab-doc-fr (6.0.1-10+deb10u1)
- Scientific software package (French documentation)
- scilab-doc-ja (6.0.1-10+deb10u1)
- Scientific software package (Japanese documentation)
- scilab-doc-pt-br (6.0.1-10+deb10u1)
- Scientific software package (Brazilian Portuguese documentation)
- scilab-full-bin (6.0.1-10+deb10u1)
- Scientific software package for numerical computations (all binary files)
- scilab-include (6.0.1-10+deb10u1)
- Scientific software package for numerical computations (include files)
- scilab-minimal-bin (6.0.1-10+deb10u1)
- Scientific software package for numerical computations (minimal binary files)
- scilab-test (6.0.1-10+deb10u1)
- Scientific software package for numerical computations (test files)
- scim (1.4.18-2.1)
- smart common input method platform
- scim-anthy (1.2.7-7)
- SCIM IMEngine module for Anthy
- scim-bridge-agent
- virtueel pakket geboden door scim-im-agent
- scim-bridge-client-qt4
- virtueel pakket geboden door scim-qt-immodule
- scim-canna (1.0.0-4.3)
- SCIM IMEngine module for Canna
- scim-chewing (0.5.1-3)
- Chewing IM engine module for SCIM
- scim-clutter-immodule (1.4.18-2.1)
- Clutter input method module with SCIM as backend
- scim-dev (1.4.18-2.1)
- development files for SCIM platform
- scim-dev-doc (1.4.18-2.1)
- development documentation for SCIM platform
- scim-gtk-immodule (1.4.18-2.1)
- GTK+ input method module, with SCIM as the input backend
- scim-gtk-immodule
- virtueel pakket geboden door scim-gtk-immodule
- scim-gtk2-immodule
- virtueel pakket geboden door scim-gtk-immodule
- scim-im-agent (1.4.18-2.1)
- IM agent for SCIM platform
- scim-kmfl-imengine (0.9.9-1)
- KMFL (Keyboard Mapping for Linux) IM engine for the SCIM platform
- scim-m17n (0.2.3-4)
- M17N Input Method Engine for SCIM
- scim-modules-socket (1.4.18-2.1)
- socket modules for SCIM platform
- scim-modules-table (0.5.14-2)
- generic tables IM engine module for SCIM platform
- scim-pinyin (0.5.92-4)
- smart pinyin IM engine for SCIM platform
- scim-qt-immodule (1.4.18-2.1)
- Qt input method module with SCIM as backend
- scim-skk (0.5.2-7.2+b1)
- SCIM IMEngine module like SKK input method
- scim-tables-additional (0.5.14-2)
- miscellaneous input method data tables for SCIM platform
- scim-tables-ja (0.5.14-2)
- Japanese input method data tables for SCIM platform
- scim-tables-ko (0.5.14-2)
- Korean input method data tables for SCIM platform
- scim-tables-zh (0.5.14-2)
- Chinese input method data tables for SCIM platform
- scim-thai (0.1.4-3)
- Thai Input Method Engine for SCIM
- scim-unikey (0.3.1+debian-3.2)
- Vietnamese Input Method Engine for SCIM using Unikey Engine
- sciplot-bin (1.36-18)
- Example programs for SciPlot
- scite (4.1.3-1)
- Lightweight GTK-based programming editor
- sciteproj (1.12-1)
- project manager for the SciTE editor
- scm (5f2-2+b1)
- Scheme language interpreter
- scmail (1.3-4)
- a mail filter written in Scheme
- scmxx (0.9.0-2.4)
- Exchange data with Siemens mobile phones
- scoary (1.6.16-1)
- pangenome-wide association studies
- scolasync (5.2-2)
- graphic tool to copy data to or from a set of USB storage media
- scons (3.0.1-2)
- replacement for make
- scons-doc (3.0.0+repack-2)
- Documentation for SCons, a replacement for Make
- scoop-doc (0.7.1.1-3)
- Python library for concurrent parallel programming (docs)
- scorched3d (44+dfsg-3+b1)
- 3D artillery game similar to Scorched Earth
- scorched3d-data (44+dfsg-3)
- data files for Scorched3D game
- scotch (6.0.6-2)
- programs and libraries for graph, mesh and hypergraph partitioning
- scottfree (1.14-10+b1)
- Interpreter for Adventure International games
- scour (0.37-2)
- SVG scrubber and optimizer (CLI and debhelper)
- scowl (2018.04.16-1)
- Spell-Checker Oriented Word Lists
- scram (0.16.2-1+b1)
- Probabilistic Risk Analysis Tool
- scram-gui (0.16.2-1+b1)
- SCRAM GUI front-end
- scrappy
- virtueel pakket geboden door libscrappy-perl
- scratch (1.4.0.6~dfsg1-6)
- easy to use programming environment for ages 8 and up
- screen (4.6.2-3+deb10u1)
- terminal multiplexer with VT100/ANSI terminal emulation
- screen-profiles
- virtueel pakket geboden door byobu
- virtueel pakket geboden door byobu
- screen-udeb (4.6.2-3+deb10u1)
- terminal multiplexer with VT100/ANSI terminal emulation - udeb
- screenfetch (3.8.0-8)
- Bash Screenshot Information Tool
- screengrab (1.101-1)
- Crossplatform tool for getting screenshots
- screenie (20120406-1)
- Lightweight GNU screen(1) wrapper
- screenkey (0.9-2)
- screencast tool to display your keystrokes
- screentest (2.0-2.2+b1)
- Utility to test the quality of screens
- scribus (1.4.8+dfsg-1)
- Open Source Desktop Page Layout - stable branch
- scribus-data (1.4.8+dfsg-1)
- Open Source Desktop Page Layout - stable branch (data files)
- scribus-dev (1.4.8+dfsg-1)
- Open Source Desktop Page Layout - stable branch (development files)
- scribus-doc (1.4.8+dfsg-1) [non-free]
- Open Source Desktop Page Layout - stable branch (documentation)
- scribus-template (1.2.4.1-2)
- additional scribus templates
- scrm (1.7.3-1)
- simulator of evolution of genetic sequences
- scrobbler (0.11+git-5)
- audioscrobbler submitter for portable media players - console client
- scrollz (2.2.3-1+deb10u1)
- advanced ircII-based IRC client
- scrot (0.9-1)
- command line screen capture utility
- scrounge-ntfs (0.9-9)
- Data recovery program for NTFS filesystems
- scrub (2.6.1-1+b1)
- writes patterns on magnetic media to thwart data recovery
- scrypt (1.2.1-2)
- File encryption utility using scrypt for key derivation
- scsi-core-modules
- virtueel pakket geboden door scsi-core-modules-4.19.0-21-686-di, scsi-core-modules-4.19.0-21-686-pae-di, scsi-core-modules-4.19.0-20-armmp-di, scsi-core-modules-4.19.0-20-686-di, scsi-core-modules-4.19.0-21-armmp-di, scsi-core-modules-4.19.0-21-amd64-di, scsi-core-modules-4.19.0-20-amd64-di, scsi-core-modules-4.19.0-20-686-pae-di, scsi-core-modules-4.19.0-21-arm64-di, scsi-core-modules-4.19.0-20-arm64-di
- scsi-core-modules-4.19.0-20-686-di (4.19.235-1)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-20-amd64-di (4.19.235-1)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-20-arm64-di (4.19.235-1)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-20-armmp-di (4.19.235-1)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-21-686-di (4.19.249-2)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-21-amd64-di (4.19.249-2)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-21-arm64-di (4.19.249-2)
- Core SCSI subsystem
- scsi-core-modules-4.19.0-21-armmp-di (4.19.249-2)
- Core SCSI subsystem
- scsi-modules
- virtueel pakket geboden door scsi-modules-4.19.0-21-arm64-di, scsi-modules-4.19.0-21-armmp-di, scsi-modules-4.19.0-20-arm64-di, scsi-modules-4.19.0-20-686-di, scsi-modules-4.19.0-21-686-di, scsi-modules-4.19.0-21-amd64-di, scsi-modules-4.19.0-20-armmp-di, scsi-modules-4.19.0-20-686-pae-di, scsi-modules-4.19.0-20-amd64-di, scsi-modules-4.19.0-21-686-pae-di
- scsi-modules-4.19.0-20-686-di (4.19.235-1)
- SCSI drivers
- scsi-modules-4.19.0-20-686-pae-di (4.19.235-1)
- SCSI drivers
- scsi-modules-4.19.0-20-amd64-di (4.19.235-1)
- SCSI drivers
- scsi-modules-4.19.0-20-arm64-di (4.19.235-1)
- SCSI drivers
- scsi-modules-4.19.0-20-armmp-di (4.19.235-1)
- SCSI drivers
- scsi-modules-4.19.0-21-686-di (4.19.249-2)
- SCSI drivers
- scsi-modules-4.19.0-21-686-pae-di (4.19.249-2)
- SCSI drivers
- scsi-modules-4.19.0-21-amd64-di (4.19.249-2)
- SCSI drivers
- scsi-modules-4.19.0-21-arm64-di (4.19.249-2)
- SCSI drivers
- scsi-modules-4.19.0-21-armmp-di (4.19.249-2)
- SCSI drivers
- scsi-nic-modules
- virtueel pakket geboden door scsi-nic-modules-4.19.0-20-arm64-di, scsi-nic-modules-4.19.0-21-686-pae-di, scsi-nic-modules-4.19.0-21-arm64-di, scsi-nic-modules-4.19.0-21-686-di, scsi-nic-modules-4.19.0-20-amd64-di, scsi-nic-modules-4.19.0-20-armmp-di, scsi-nic-modules-4.19.0-21-amd64-di, scsi-nic-modules-4.19.0-20-686-pae-di, scsi-nic-modules-4.19.0-21-armmp-di, scsi-nic-modules-4.19.0-20-686-di
- scsi-nic-modules-4.19.0-20-686-di (4.19.235-1)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-20-686-pae-di (4.19.235-1)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-20-amd64-di (4.19.235-1)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-20-arm64-di (4.19.235-1)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-20-armmp-di (4.19.235-1)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-21-686-di (4.19.249-2)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-21-686-pae-di (4.19.249-2)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-21-amd64-di (4.19.249-2)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-21-arm64-di (4.19.249-2)
- SCSI drivers for converged NICs
- scsi-nic-modules-4.19.0-21-armmp-di (4.19.249-2)
- SCSI drivers for converged NICs
- scsitools (0.12-3)
- Collection of tools for SCSI hardware management
- sct (1.3-1)
- Set screen color temperature
- sctk (2.4.10-20151007-1312Z+dfsg2-3.1~deb10u1)
- speech recognition scoring toolkit
- sctk-doc (2.4.10-20151007-1312Z+dfsg2-3.1~deb10u1)
- speech recognition scoring toolkit (documentation)
- scummvm (2.0.0+dfsg-2)
- engine for several graphical adventure games
- scummvm-data (2.0.0+dfsg-2)
- engine for several graphical adventure games (data files)
- scummvm-tools (2.0.0-2+b1)
- collection of tools for ScummVM
- scute (1:1.5.0-1)
- OpenPGP smartcard plugin for Mozilla Network Security Services
- scythe (0.994+git20141017.20d3cff-1)
- Bayesian adaptor trimmer for sequencing reads
- sd2epub (0.9.6-2)
- StarOffice to OpenDocument converter
- sd2odf (0.9.6-2)
- StarOffice to OpenDocument converter
- sdaps (1.2.1-2)
- scripts for data acquisition with paper-based surveys
- sdate (0.5)
- never ending September date
- sdb (1.2-2)
- a command line client for Mono's soft debugger
- sdcc (3.8.0+dfsg-2)
- Small Device C Compiler
- sdcc-doc (3.8.0+dfsg-2)
- Small Device C Compiler (documentation)
- sdcc-libraries (3.8.0+dfsg-2)
- Small Device C Compiler (libraries)
- sdcc-ucsim (3.8.0+dfsg-2)
- Micro-controller simulator for SDCC
- sdcv (0.5.2-2)
- StarDict Console Version
- sddm (0.18.0-1+deb10u1)
- modern display manager for X11
- sddm-theme
- virtueel pakket geboden door sddm-theme-debian-breeze, sddm-theme-maldives, sddm-theme-maui, sddm-theme-maya, sddm-theme-debian-maui, sddm-theme-elarun, sddm-theme-debian-elarun, sddm-theme-breeze
- sddm-theme-breeze (4:5.14.5.1-1)
- Breeze SDDM theme
- sddm-theme-debian-breeze (4:5.14.5.1-1)
- Debian Breeze SDDM theme
- sddm-theme-debian-elarun (0.18.0-1+deb10u1)
- 'Debian Elarun' Theme for SDDM X11 display manager
- sddm-theme-debian-maui (0.18.0-1+deb10u1)
- 'Debian Maui' theme for SDDM X11 display manager
- sddm-theme-elarun (0.18.0-1+deb10u1)
- 'Elarun' Theme for SDDM X11 display manager
- sddm-theme-maldives (0.18.0-1+deb10u1)
- 'Maldives' theme for SDDM X11 display manager
- sddm-theme-maui (0.18.0-1+deb10u1)
- 'Maui' theme for SDDM X11 display manager
- sddm-theme-maya (0.18.0-1+deb10u1)
- 'Maya' theme for SDDM X11 display manager
- sdf (2.001+1-7)
- Simple Document Parser
- sdf-doc (2.001+1-7)
- Documentation and examples for the Simple Document Parser
- sdformat-doc (6.2.0+dfsg-1)
- Simulation Description Format (SDF) parser - Documentation
- sdformat-sdf (6.2.0+dfsg-1)
- Simulation Description Format (SDF) parser - SDF files
- sdl-ball (1.02-2)
- brick-breaking game like DX-Ball/Arkanoid
- sdl-ball-data (1.02-2)
- brick-breaking game like DX-Ball/Arkanoid - data files
- sdlbasic (0.0.20070714-6)
- BASIC interpreter for game development
- sdlbrt (0.0.20070714-6)
- BASIC interpreter for game development - runtime interpreter
- sdop (0.81-1)
- Simplified DocBook Processor
- sdparm (1.10-1)
- Output and modify SCSI device parameters
- sdpb (1.0-3+b4)
- Semidefinite program solver
- sdpb-doc (1.0-3)
- Semidefinite program solver (documentation)
- sdrangelove (0.0.1.20150707-2+b6)
- Osmocom Software Defined Radio
- sea-icon-theme
- virtueel pakket geboden door deepin-icon-theme
- seabios (1.12.0-1)
- Legacy BIOS implementation
- seafile-cli (6.2.11-1)
- Client CLI for the Seafile Client
- seafile-daemon (6.2.11-1)
- Client daemon for the Seafile Client
- seafile-gui (6.2.11-1)
- Seafile Desktop Client
- seahorse (3.30.1.1-1)
- GNOME-gebruikersinterface voor GnuPG
- seahorse-adventures (1.1+dfsg-3)
- help Barbie the seahorse float on bubbles to the moon
- seahorse-daemon (3.12.2-6)
- Seahorse pass phrase caching agent
- seahorse-nautilus (3.11.92-2)
- Nautilus extension for Seahorse integration
- search-ccsb (0.5-4)
- BibTeX search tool
- search-ccsb-el
- virtueel pakket geboden door search-ccsb
- search-citeseer (0.3-2)
- BibTeX search tool
- searchandrescue (1.5.0-2+b1)
- fly aircraft to search (for) and rescue people in distress
- searchandrescue-common (1.5.0-2)
- common files and documentation for searchandrescue
- searchandrescue-data (1.3.0-1)
- common data files for searchandrescue
- searchmonkey (0.8.3-1)
- search files using regular expressions aiming to replace find/grep tools
- searx (0.15.0+dfsg1-1)
- Privacy-respecting metasearch engine
- seascope (0.8-3)
- source code navigation tool
- seaview (1:4.7-1)
- Multiplatform interface for sequence alignment and phylogeny
- sec (2.8.1-1)
- Simple Event Correlator
- seccomp (2.3.3-4)
- helper tools for high level interface to Linux seccomp filter
- seccure (0.5-2)
- tools for using algorithms based on elliptic curve cryptography (ECC)
- secilc (2.8-1)
- SELinux CIL compiler
- secilc-doc (2.8-1)
- documentation for the SELinux CIL Compiler
- secpanel (1:0.6.1-3)
- graphical user interface for SSH and SCP
- secure-delete (3.1-6)
- tools to wipe files, free disk space, swap and memory
- securefs (0.8.3+ds-1)
- Filesystem in userspace with transparent authenticated encryption
- sed (4.7-1)
- GNU stream editor for filtering/transforming text
- seed-webkit2 (4.0.0+20161014+6c77960+dfsg1-6)
- GObject JavaScript bindings for the webkit engine - Interpreter
- seed-webkit2-doc (4.0.0+20161014+6c77960+dfsg1-6)
- GObject JavaScript bindings for the webkit engine - Documentation
- seekwatcher (0.12+hg20091016-3)
- utility to visualize block I/O patterns
- seer (1.1.4-2+b2)
- genomic sequence element (kmer) enrichment analysis
- seetxt (0.72-6)
- lightweight text file and man page viewer
- segemehl (0.3.4-1)
- short read mapping with gaps
- segyio-bin (1.8.3-1)
- SEG-Y read/write library for seismic processing (shell utilities)
- select-xface (0.15-11)
- utility for selecting X-Face on emacsen
- selektor (3.13.72-2)
- Tor exit node selector and traffic router
- selfhtml (8.1.2-1) [non-free]
- German HTML reference and tutorial
- selinux-basics (0.5.6)
- SELinux basic support
- selinux-policy-default (2:2.20190201-2)
- Strict and Targeted variants of the SELinux policy
- selinux-policy-dev (2:2.20190201-2)
- Headers from the SELinux reference policy for building modules
- selinux-policy-doc (2:2.20190201-2)
- Documentation for the SELinux reference policy
- selinux-policy-mls (2:2.20190201-2)
- MLS (Multi Level Security) variant of the SELinux policy
- selinux-policy-src (2:2.20190201-2)
- Source of the SELinux reference policy for customization
- selinux-utils (2.8-1+b1)
- SELinux utility programs
- semanage-utils (2.8-2)
- SELinux policy management utilities
- semi (1.14.7~0.20120428-24)
- library to provide MIME feature for emacsen
- semodule-utils (2.8-1)
- SELinux core policy utilities (modules utilities)
- sen (0.6.0-0.1)
- Terminal user interface for docker engine
- sendemail (1.56-5)
- lightweight, command line SMTP email client
- sendfile (2.1b.20080616-5.3+b3)
- Simple Asynchronous File Transfer
- sendip (2.5-7+b1)
- Commandline tool to allow sending arbitrary IP packets
- sendmail (8.15.2-14~deb10u1)
- powerful, efficient, and scalable Mail Transport Agent (metapackage)
- sendmail-base (8.15.2-14~deb10u1)
- powerful, efficient, and scalable Mail Transport Agent (arch independent files)
- sendmail-bin (8.15.2-14~deb10u1)
- powerful, efficient, and scalable Mail Transport Agent
- sendmail-cf (8.15.2-14~deb10u1)
- powerful, efficient, and scalable Mail Transport Agent (config macros)
- sendmail-doc (8.15.2-14~deb10u1)
- powerful, efficient, and scalable Mail Transport Agent (documentation)
- sendpage-client (1.0.3-1)
- client to send alpha-numeric text pages via SNPP
- sendpage-common (1.0.3-1)
- common libraries used to handle alpha-numeric text paging
- sendpage-server (1.0.3-1)
- server used to queue and send alpha-numeric text pages via TAP
- sendxmpp (1.24-2)
- command line XMPP (jabber) utility
- senlin-api (6.0.0-1)
- clustering service for OpenStack clouds - API
- senlin-common (6.0.0-1)
- clustering service for OpenStack clouds - common files
- senlin-doc (6.0.0-1)
- clustering service for OpenStack clouds - docs
- senlin-engine (6.0.0-1)
- clustering service for OpenStack clouds - Engine
- sensible-mda (8.15.2-14~deb10u1)
- Mail Delivery Agent wrapper
- sensible-utils (0.0.12)
- Utilities for sensible alternative selection
- sensors-applet (3.0.0+git6-0.2+b1)
- Display readings from hardware sensors in your Gnome panel
- sent (1-3)
- simple plaintext presentation tool
- sentinelsat (0.12.2-2)
- search and download Sentinel satellite images
- sepia (0.992-6)
- Simple Emacs-Perl InterAction
- sepol-utils (2.8-1)
- Security Enhanced Linux policy utility programs
- seq-gen (1.3.4-2) [non-free]
- simulate the evolution of nucleotide or amino acid sequences
- seq24 (0.9.3-2)
- Real time MIDI sequencer
- seqan-apps (2.4.0+dfsg-11)
- C++ library for the analysis of biological sequences
- seqan-dev (1.4.2+dfsg-3)
- C++ library for the analysis of biological sequences (development)
- seqmagick (0.7.0-1)
- imagemagick-like frontend to Biopython SeqIO
- seqprep (1.3.2-3)
- stripping adaptors and/or merging paired reads of DNA sequences with overlap
- seqprep-data (1.3.2-3)
- example data set for seqprep - only used for testing
- seqsero (1.0.1+dfsg-1)
- Salmonella serotyping from genome sequencing data
- seqtk (1.3-1)
- Fast and lightweight tool for processing sequences in the FASTA or FASTQ format
- ser-player (1.7.2-3)
- Video player and processing utility for SER files
- ser2net (3.5-2)
- Serial port to network proxy
- serdi (0.28.0~dfsg0-1)
- lightweight RDF syntax library - serdi tool
- serf (0.8.1+git20180508.80ab4877~ds-1+b2)
- Service orchestration and management tool
- serial-modules
- virtueel pakket geboden door serial-modules-4.19.0-21-amd64-di, serial-modules-4.19.0-21-686-di, serial-modules-4.19.0-20-686-di, serial-modules-4.19.0-20-amd64-di, serial-modules-4.19.0-20-686-pae-di, serial-modules-4.19.0-21-686-pae-di
- serial-modules-4.19.0-20-686-di (4.19.235-1)
- Serial drivers
- serial-modules-4.19.0-20-686-pae-di (4.19.235-1)
- Serial drivers
- serial-modules-4.19.0-20-amd64-di (4.19.235-1)
- Serial drivers
- serial-modules-4.19.0-21-686-di (4.19.249-2)
- Serial drivers
- serial-modules-4.19.0-21-686-pae-di (4.19.249-2)
- Serial drivers
- serial-modules-4.19.0-21-amd64-di (4.19.249-2)
- Serial drivers
- servefile (0.4.4-1)
- serve or receive files from shell via a small HTTP server
- serverspec-runner (1.2.2-1+deb10u1)
- simple execution framework for serverspec
- service-wrapper (3.5.30-1)
- Jar daemon wrapper
- setbfree (0.8.5-1)
- DSP tonewheel organ
- setcd (1.5-6+b2)
- Control the behaviour of your cdrom device
- setools (4.2.0-1)
- tools for Security Enhanced Linux policy analysis
- setools-gui (4.2.0-1)
- GUI tools for Security Enhanced Linux policy analysis
- setop (0.1-1+b3)
- apply set operations like intersection to text inputs
- setserial (2.17-52)
- controls configuration of serial ports
- (2.19.5+dfsg-6)
- Source extractor for astronomical images
- seyon (2.20c-32+b1)
- Full-featured native X11 communications program
- sf3convert (20180325-1)
- MuseScore SoundFont converter
- sfarkxtc (0~20181208git4ed577d-1)
- Converts soundfonts from legacy sfArk v2 file format to sf2
- sfftobmp (3.1.3-6)
- SFF (Structured Fax File) Converter
- sfftw-dev (2.1.5-4.2+b1)
- library for computing Fast Fourier Transforms
- sfftw2 (2.1.5-4.2+b1)
- library for computing Fast Fourier Transforms
- sffview (0.5.0-2)
- Structured Fax File (SFF) Viewer
- sfnt2woff-zopfli (1.1.0-2)
- Create WOFF files with Zopfli compression
- sfront (0.99-2)
- MPEG 4 Structured Audio decoder
- sfst (1.4.7b-1+b1)
- Stuttgart finite-state transducer tools
- sftpcloudfs (0.12.2-3)
- SFTP interface to Rackspace/OpenStack storage services
- sg3-utils (1.44-1)
- utilities for devices using the SCSI command set
- sg3-utils-udev (1.44-1)
- utilities for devices using the SCSI command set (udev rules)
- sga (0.10.15-4)
- de novo genome assembler that uses string graphs
- sgabios (0.0~svn8-4)
- bios option rom to provide legacy serial console for x86
- sgb (1:20090810-1) [non-free]
- The Stanford GraphBase: combinatorial data and algorithms
- sgb-doc (1:20090810-1) [non-free]
- Documentation for the Stanford GraphBase
- sgf2dg (4.026-10+b2)
- Creates TeX files from Go game records
- sgml-base (1.29)
- SGML infrastructure and SGML catalog file support
- sgml-base-doc (1.99.2)
- Documentation for sgml-base
- sgml-data (2.0.11)
- common SGML and XML data
- sgml-spell-checker (0.0.20040919-3)
- spell checker for SGML documents
- sgml2x (1.0.0-11.4)
- generic formatter for SGML/XML documents using DSSSL stylesheets
- sgmls-doc (1.03ii-36)
- HTML documentation of libsgmls-perl and sgmlspl
- sgmlspl (1.03ii-36)
- SGMLS-based example Perl script for processing SGML parser output
- sgmltools-2
- virtueel pakket geboden door sgmltools-lite
- sgmltools-lite (3.0.3.0.cvs.20010909-20)
- convert DocBook SGML source into HTML using DSSSL
- sgrep (1.94a-4+b2)
- tool to search a file for structured pattern
- sgt-puzzles (20170606.272beef-1)
- Simon Tatham's Portable Puzzle Collection - 1-player puzzle games
- shade-inventory (1.30.0-2)
- Ansible inventory script for OpenStack clouds
- shadowsocks (3.0.0~2018.07.31.git.2c107740eb-3)
- Fast tunnel proxy that helps you bypass firewalls
- shadowsocks-libev (3.2.5+ds-1)
- lightweight and secure socks5 proxy
- shadowsocks-libqtshadowsocks (2.1.0-2+b1)
- Another CLI shadowsocks client using libQtShadowsocks
- shadowsocks-qt5 (3.0.1-2)
- Cross-platform shadowsocks GUI client
- shairport-sync (3.2.2-1)
- AirPlay audio player
- shake (1.0.2-1)
- Testing engine for the Lua language version 5.1
- shanty (3-4)
- Makes a whopping great postscript file from an image and a text
- shapelib (1.4.1-3)
- Library for reading and writing ESRI Shapefiles - tools
- shapetools (1.4pl6-14)
- Configuration and Release management using AtFS
- shapetools-tutorial (1.3-5) [non-free]
- Tutorial for ShapeTools
- shared-mime-info (1.10-1)
- FreeDesktop.org shared MIME database and spec
- sharness (1.0.0-1)
- shell library for automated tests with TAP output
- sharutils (1:4.15.2-4)
- shar, unshar, uuencode, uudecode
- sharutils-doc (1:4.15.2-4)
- Documentatie voor GNU sharutils
- shatag (0.5.0-2)
- tool to store file checksums in extended attributes, and work with them
- shc (3.9.6-1)
- Shell script compiler
- shed (1.15-4)
- simple hex editor with a pico-style interface
- shedskin (0.9.4-1)
- Python-to-C++ compiler designed to speed up Python programs
- shellcheck (0.5.0-3)
- lint tool for shell scripts
- shelldap (1.4.0-4+deb10u1)
- shell-like interface for browsing LDAP servers and editing their content
- shellex (0.3-1)
- shell-based launcher
- shellia (5.3.2)
- shell interactive library
- shellinabox (2.21)
- publish command line shell through AJAX interface
- shelltestrunner (1.9-2)
- test command-line programs or arbitrary shell commands
- shelr (0.16.3-2)
- Utility for plain text screencasting
- shelxle (1.0.952-1)
- graphical user interface for SHELXL
- shibboleth-sp-common (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (common files)
- shibboleth-sp-utils (3.0.4+dfsg1-1+deb10u2)
- Federated web single sign-on system (daemon and utilities)
- shibboleth-sp2-common (3.0.4+dfsg1-1+deb10u2)
- transitional package
- shibboleth-sp2-utils (3.0.4+dfsg1-1+deb10u2)
- transitional package
- shiboken (1.2.2-5.1+b1)
- CPython bindings generator for C++ libraries
- shiboken-dbg (1.2.2-5.1+b1)
- debugging symbols for shiboken and its library
- shiboken-doc (1.2.2-5.1)
- CPython bindings generator for C++ libraries documentation
- shiboken2 (5.11.2-3)
- CPython bindings generator for C++ libraries
- shiboken2-doc (5.11.2-3)
- CPython bindings generator for C++ libraries (common documentation)
- shiki-brave-theme (4.6-2)
- blue variation of the Shiki-Colors theme
- shiki-colors (4.6-2)
- set of Metacity/GTK-2+ themes
- shiki-colors-metacity-theme (4.6-2)
- set of Metacity themes
- shiki-colors-xfwm-theme (4.6-2)
- Xfwm/Xfce4 theme based on the Shiki-Colors Metacity theme
- shiki-dust-theme (4.6-2)
- chocolate variation of the Shiki-Colors theme
- shiki-human-theme (4.6-2)
- orange variation of the Shiki-Colors theme
- shiki-illustrious-theme (4.6-2)
- pink variation of the Shiki-Colors theme
- shiki-noble-theme (4.6-2)
- purple variation of the Shiki-Colors theme
- shiki-wine-theme (4.6-2)
- red variation of the Shiki-Colors theme
- shiki-wise-theme (4.6-2)
- green variation of the Shiki-Colors theme
- shim-helpers-amd64-signed (1+15.8+1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signed by Debian)
- shim-helpers-amd64-signed-template (15.8-1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signing template)
- shim-helpers-arm64-signed (1+15.8+1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signed by Debian)
- shim-helpers-arm64-signed-template (15.8-1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signing template)
- shim-helpers-i386-signed (1+15.8+1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signed by Debian)
- shim-helpers-i386-signed-template (15.8-1~deb10u1) [security]
- boot loader to chain-load signed boot loaders (signing template)
- shim-signed (1.39~1+deb10u1+15.7-1~deb10u1) [security]
- Secure Boot chain-loading bootloader (Microsoft-signed binary)
- shim-signed-common (1.39~1+deb10u1+15.7-1~deb10u1) [security]
- Secure Boot chain-loading bootloader (common helper scripts)
- shim-unsigned (15.8-1~deb10u1) [security]
- boot loader to chain-load signed boot loaders under Secure Boot
- shineenc (3.1.1-2)
- Fixed-point MP3 encoding library - frontend binary
- shisa (1.0.2-6.2)
- Administration utility for the Shishi Kerberos v5 KDC database
- shishi (1.0.2-6.2)
- Command line client for the Shishi Kerberos v5 implementation
- shishi-common (1.0.2-6.2)
- Platform independent files for Shishi
- shishi-dbg (1.0.2-6.2)
- Debugging symbols for Shishi
- shishi-doc (1.0.2-6.2)
- Documentation for Shishi
- shishi-kdc (1.0.2-6.2)
- Kerberos v5 Key Distribution Center (KDC) Shishi server daemon
- shntool (3.0.10-1)
- multi-purpose tool for manipulating and analyzing WAV files
- shogivar (1.55b-1+b1)
- UI to play many shogi variants, with builtin computer player
- shogivar-data (1.55b-1)
- architecture-independant files for shogivar
- shogun-cmdline-static (3.2.0-8+b1)
- Large Scale Machine Learning Toolbox
- shogun-doc-cn (3.2.0-8)
- Large Scale Machine Learning Toolbox
- shogun-doc-en (3.2.0-8)
- Large Scale Machine Learning Toolbox
- shoogle (0.1.4-7)
- Google API from the command line
- shorewall (5.2.3.2-1)
- Shoreline Firewall, netfilter configurator
- shorewall-core (5.2.3.2-1)
- Shorewall core components
- shorewall-doc (5.2.3-1)
- documentation for Shoreline Firewall (Shorewall)
- shorewall-init (5.2.3.2-1)
- Shorewall initialization
- shorewall-lite (5.2.3.2-1)
- Shorewall (lite version), a high-level tool for configuring Netfilter
- shorewall6 (5.2.3.2-1)
- Shoreline Firewall (IPv6 version), netfilter configurator
- shorewall6-lite (5.2.3.2-1)
- Shorewall (lite version with IPv6 support)
- shotdetect (1.0.86-5+b2)
- scene change detector
- shotwell (0.30.1-1)
- digital photo organizer
- shotwell-common (0.30.1-1)
- digital photo organizer - common files
- shove (0.8.2-1)
- test tool for shell scripts with TAP outputs
- showfoto (4:5.9.0-1+b1)
- image viewer/editor for KDE
- showq (0.4.1+git20161215~dfsg0-3)
- MIDI controllable audio player
- shrinksafe (1.14.2+dfsg1-1+deb10u3) [security]
- JavaScript compression system
- shtool (2.0.8-9)
- portable shell tool from the GNU project
- shunit2 (2.1.6-1.1)
- unit test framework for Bourne based shell scripts
- shush (1.2.3-5)
- runs a command and optionally reports its output by mail
- shutdown-at-night (0.21)
- System to shut down clients at night, and wake them in the morning
- sia (1.3.0-1.1+b1)
- Blockchain-based marketplace for file storage
- sibsim4 (0.20-4)
- align expressed RNA sequences on a DNA template
- sic (1.1-5)
- simple irc client (sic)
- sickle (1.33+git20150314.f3d6ae3-1)
- windowed adaptive trimming tool for FASTQ files using quality
- sidedoor (0.2.1-1)
- SSH connection daemon
- sidedoor-sudo (0.2.1-1)
- SSH connection daemon - sudoers entry
- sidplay (2.0.9-6+b2)
- Music player for tunes from C64 and C128 (console)
- sidplay-base (1.0.9-7+b1)
- Muziekspeler voor liedjes van C64 en C128 (console)
- sidplayfp (1.4.4-1)
- Fork of sidplay2, a C64 and C128 music player
- siege (4.0.4-1)
- HTTP regression testing and benchmarking utility
- sieve-connect (0.88-1)
- MANAGESIEVE protocol client
- sift (4.0.3b-6) [non-free]
- predicts if a substitution in a protein has a phenotypic effect
- sig2dot
- virtueel pakket geboden door signing-party
- siggen (2.3.10-8)
- Waveform generation tools
- sigil (0.9.13+dfsg-1)
- multi-platform ebook editor
- sigil-data (0.9.13+dfsg-1)
- multi-platform ebook editor - data files
- sigma-align (1.1.3-6)
- Simple greedy multiple alignment of non-coding DNA sequences
- signapk (1:8.1.0+r23-2)
- Command line tool for signing Android ZIP/JAR/APK
- signify (1.14-3)
- Automatic, semi-random ".signature" rotator/generator
- signify-openbsd (24-1)
- Lightweight cryptographic signing and verifying tool
- signify-openbsd-keys (2018.4)
- Public keys for use with signify-openbsd
- signing-party (2.10-2)
- Various OpenPGP related tools
- signon-kwallet-extension (4:17.08.3-1)
- KWallet extension for signond
- signon-plugin-oauth2 (0.22-1)
- oauth2 plugin for accounts single sign on framework
- signon-plugin-oauth2-dev (0.22-1)
- oauth2 plugin for accounts single sign on framework - headers
- signon-plugin-password (8.59-2)
- Single Sign On framework - Plain Password plugin
- signon-plugin-ssotest (8.59-2)
- Single Sign On framework - Test plugin
- signon-plugins-dev (8.59-2)
- Single Sign On framework - plugins development files
- signon-ui-service (0.17+15.10.20150810-2)
- D-Bus service file for signon-ui
- signon-ui-x11 (0.17+15.10.20150810-2+b1)
- Single Sign-on UI
- signond (8.59-2)
- Single Sign On framework
- signond-dev (8.59-2)
- Single Sign On framework - development files
- signond-doc (8.59-2)
- Single Sign On framework - documentation for daemon
- signtos (1:8.1.0+r23-2)
- Android signing tool for signing Trusty images
- sigrok (0.2-4)
- Logic analyzer and protocol decoder software suite (metapackage)
- sigrok-cli (0.7.1-1)
- command-line frontend for the sigrok software
- sigrok-firmware-fx2lafw (0.1.6-1)
- Firmware for Cypress FX2(LP) based logic analyzers
- sigscheme (0.9.0-1)
- Scheme Interpreter to be embedded
- sigscheme-runtime (0.9.0-1)
- Runtime for sigscheme Scheme interpreter
- sigviewer (0.6.2-2)
- GUI viewer for biosignals such as EEG, EMG, and ECG
- silan (0.4.0-1+b1)
- commandline tool to detect silence in audio-files
- silentjack (0.3-2+b2)
- silence detector for the JACK audio system
- silkaj (0.6.5-1)
- command-line client for Duniter Ğ1 currency
- silverjuke (18.2.1-1+b1)
- jukebox, karaoke, and kiosk mode mp3 player
- silversearcher-ag (2.2.0-1)
- very fast grep-like program, alternative to ack-grep
- silversearcher-ag-el (0.47-2.1)
- transitional dummy package, silversearcher-ag-el to elpa-ag
- silversearcher-ag-el
- virtueel pakket geboden door elpa-ag
- silx (0.9.0+dfsg-3+deb10u1)
- Toolbox for X-Ray data analysis - Executables
- sim4 (0.0.20121010-5)
- tool for aligning cDNA and genomic DNA
- sim4db (0~20150903+r2013-6)
- batch spliced alignment of cDNA sequences to a target genome
- simavr (1.6+dfsg-1)
- lean and mean AVR simulator
- simba (0.8.4-6)
- next generation mirroring tool
- simbody-doc (3.6.1+dfsg-7)
- SimTK multibody dynamics API - Documentation
- simg2img (1:8.1.0+r23-5)
- Transitional package
- simgrid
- virtueel pakket geboden door libsimgrid-dev
- simgrid-doc (3.21+dfsg-4)
- Documentation for the SimGrid Toolkit
- simgrid-java (3.21+dfsg-4)
- Java bindings for the SimGrid Toolkit
- simh (3.8.1-6)
- Emulators for 33 different computers
- simhash (0.0.20150404-1+b1)
- generate similarity hashes to find nearly duplicate files
- similarity-tester (3.0.2-1)
- Find lexical similarities between files
- simple-ccsm (2:0.8.16-2)
- Simple Compizconfig settings manager
- simple-cdd (0.6.7)
- create customized debian-installer CDs
- simple-cdd-profiles (0.6.7)
- simple-cdd profile selection
- simple-image-reducer (1.0.2-7)
- GTK application to easily reduce and rotate images
- simple-obfs (0.0.5-5)
- simple obfusacting plugin for shadowsocks-libev
- simple-revision-control (1.24-1)
- single-file and single-user revision control system
- simple-scan (3.30.1.1-1+b1)
- Eenvoudig scan-hulpmiddel
- simple-tpm-pk11 (0.06-1)
- simple library for using the TPM chip to secure SSH keys
- simpleproxy (3.5-1)
- Simple TCP proxy
- simplesamlphp (1.16.3-1+deb10u2)
- Authentication and federation application supporting several protocols
- simplescreenrecorder (0.3.11-1+b1)
- Feature-rich screen recorder for X11 and OpenGL
- simplesnap (1.0.4+nmu1)
- Simple and powerful network transmission of ZFS snapshots
- simplyhtml (0.17.3+dfsg1-1)
- Java word processor based on HTML and CSS
- simplyhtml-doc (0.17.3+dfsg1-1)
- API documentation for simplyhtml
- simstring-bin (1.0-3)
- approximate string matching/retrieval utility
- simstring-dev (1.0-3)
- fast and simple algorithm for approximate string matching/retrieval
- simulavr (1.0.0+git20160221.e53413b-1)
- Atmel AVR simulator
- simulide (0.1.7+dfsg-2)
- simple real time electronic circuit simulator
- simulpic (1:2005-1-28-10)
- simulator for Microchip PIC16F84 microcontroller
- simutrans (120.4.1-1)
- transportation simulator
- simutrans-data (120.4.1-1)
- transportation simulator (base data)
- simutrans-makeobj (120.4.1-1)
- data file compiler for Simutrans
- simutrans-pak128.britain (1.18-1)
- transportation simulator (data files)
- simutrans-pak64 (120.4.1-1)
- transportation simulator (data files)
- since (1.1-6)
- tail(1) work-alike that saves and uses state information
- sinfo (0.0.48-2)
- tool for monitoring computer clusters using broadcasts
- singular (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations
- singular-data (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- shared data
- singular-dev-doc (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- developer documentation
- singular-doc (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- user documentation
- singular-modules (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- module package
- singular-ui (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- console user interface
- singular-ui-emacs (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- emacs user interface
- singular-ui-xterm (1:4.1.1-p2+ds-3)
- Computer Algebra System for Polynomial Computations -- xterm user interface
- singularity (0.30c-1)
- game where one becomes the singularity
- singularity-music (007-1)
- Music for Endgame: Singularity game
- sinntp (1.5-1.1)
- tiny non-interactive NNTP client
- sip-api-12.0
- virtueel pakket geboden door python-sip
- sip-api-12.1
- virtueel pakket geboden door python-sip
- sip-api-12.2
- virtueel pakket geboden door python-sip
- sip-api-12.3
- virtueel pakket geboden door python-sip
- sip-api-12.4
- virtueel pakket geboden door python-sip
- sip-api-12.5
- virtueel pakket geboden door python-sip
- sip-api-12.6
- virtueel pakket geboden door python-sip
- sip-dev (4.19.14+dfsg-2)
- Python/C++ bindings generator code generator application
- sip-py3api-12.0
- virtueel pakket geboden door python3-sip
- sip-py3api-12.1
- virtueel pakket geboden door python3-sip
- sip-py3api-12.2
- virtueel pakket geboden door python3-sip
- sip-py3api-12.3
- virtueel pakket geboden door python3-sip
- sip-py3api-12.4
- virtueel pakket geboden door python3-sip
- sip-py3api-12.5
- virtueel pakket geboden door python3-sip
- sip-py3api-12.6
- virtueel pakket geboden door python3-sip
- sip-router
- virtueel pakket geboden door kamailio
- sip-tester (1:3.5.2-1)
- Performance testing tool for the SIP protocol
- sipcalc (1.1.6-1)
- Advanced console-based ip subnet calculator
- sipcrack (0.2-3)
- SIP login dumper/cracker
- sipgrep (2.1.0-2+b1)
- command line tool to sniff, capture, display SIP messages
- siproxd (1:0.8.1-4.1+b2)
- SIP proxy/redirect/registrar
- sipsak (0.9.6+git20170713-1)
- SIP Swiss army knife
- siridb-server (2.0.32-1)
- SiriDB time series database server
- sirikali (1.3.6+dfsg1-1)
- Manage user encrypted volumes
- siril (0.9.10-2)
- astronomical image processing tool
- sisc (1.16.6-1.1)
- A Java integrated, fully R5RS compliant Scheme system
- siscone-doc-html (2.0.6-2)
- Developer's reference manual of SISCone (HTML)
- siscone-doc-pdf (2.0.6-2)
- Developer's reference manual of SISCone (PDF)
- siscone-examples (2.0.6-2)
- Seedless Infrared Safe Cone jet finder - example files
- sispmctl (3.1-1+b2)
- Control Gembird SIS-PM programmable power outlet strips
- sisu (7.1.11-1)
- documents - structuring, publishing in multiple formats and search
- sisu-complete (7.1.11-1)
- installs all SiSU related packages
- sisu-markup-samples (7.1.3-1) [non-free]
- document markup examples for sisu, publish and search framework
- sisu-pdf (7.1.11-1)
- dependencies to convert SiSU LaTeX output to pdf
- sisu-postgresql (7.1.11-1)
- SiSU dependencies for use with PostgreSQL database
- sisu-sqlite (7.1.11-1)
- SiSU dependencies for use with SQLite database
- sitecopy (1:0.16.6-7+b2)
- program for managing a WWW site via FTP, SFTP, DAV or HTTP
- sitesummary (0.1.43)
- Generate site summary of submitting hosts (server part)
- sitesummary-client (0.1.43)
- Generate site summary of submitting hosts (client part)
- sixer (1.6-2)
- add Python 3 support to Python 2 applications using six - Python 3.x
- sjaakii (1.4.1-1)
- Sjaak II - computer player for many Chess variants, including Shogi and XiangQi
- sjeng (11.2-8+b4)
- chess program that plays many variants
- skales (0.20170929-1)
- Boot image creation tools for qualcomm boards
- skalibs-dev (2.7.0.0-2)
- development files used for building software at skarnet.org
- skalibs-doc (2.7.0.0-2)
- documentation of skalibs
- skanlite (2.1.0.1-1)
- image scanner based on the KSane backend
- sketch (1:0.3.7-11)
- 3D diagrams for TeX from scene description language
- sketch-doc (1:0.3.7-11)
- Extra documentation for the sketch 3D line drawing system
- skk
- virtueel pakket geboden door ddskk
- skkdic (20190217-2)
- standard dictionary file for SKK
- skkdic-cdb (20190217-2)
- standard dictionary file for SKK in cdb format for faster access
- (20190217-2)
- extra dictionary files for SKK
- skksearch (0.0-24)
- SKK dictionary server handling multiple dictionaries
- skkserv
- virtueel pakket geboden door yaskkserv, skksearch, dbskkd-cdb
- skktools (1.3.4-1)
- SKK dictionary maintenance tools
- skrooge (2.18.0-1)
- personal finance manager for KDE
- skrooge-common (2.18.0-1)
- Skrooge architecture independent files
- sks (1.1.6-14+b1)
- Synchronizing OpenPGP Key Server
- sks-ecc (0.93-6+b1)
- Cryptographic tool based on ECC
- sks-ecc-doc (0.93-6)
- Documentation for sks-ecc, a cryptographic tool based on ECC
- skycat (3.1.2+starlink1~b+dfsg-5+b1)
- Image visualization and access to catalogs and data for astronomy
- skylighting (0.7.5-1)
- syntax highlighting tool
- skype4pidgin
- virtueel pakket geboden door pidgin-skype-common
- skytools3-ticker (3.3-2)
- Transitional package to pull in pgqd
- skyview (3.4.2+repack-2)
- Image generation from a range of remote databases
- skyview-java (3.4.2+repack-2)
- Image generation from a range of remote databases (Java package)
- skyview-java-doc (3.4.2+repack-2)
- Image generation from a range of remote databases (API doc)
- sl (5.02-1)
- Correct you if you type `sl' by mistake
- sl-modem-daemon (2.9.11~20110321-15) [non-free]
- SmartLink software modem daemon
- sl-modem-dkms (2.9.11~20110321-15) [non-free]
- SmartLink software modem driver - module building source
- slack (1:0.15.2-9)
- configuration management program for lazy admins
- slang-cfitsio (0.3.8+nosvn-5+b3)
- read and write FITS files from S-Lang
- slang-curl (0.2.1-6)
- transfer files using HTTP and FTP from S-Lang
- slang-expat (0.5.0-3)
- S-Lang bindings for the expat XML parser
- slang-gdbm (1.7.1-7+b1)
- access to GDBM databases from S-Lang
- slang-gsl (0.7.0-6+b1)
- GNU Scientific Library binding for S-Lang
- slang-histogram
- virtueel pakket geboden door libslang2-modules
- slang-pvm (0.1.5-13)
- PVM (Parallel Virtual Machine) interface for S-Lang
- slang-sqlite (0.4.0-4)
- S-Lang bindings to the sqlite3 database library
- slang-tess (0.3.0-7)
- regression testing system for the S-Lang scripting language
- slang-wildcard (0.5.0-3)
- adds a wildcard match function to S-Lang
- slang-xfig (0.2.0~.117-2)
- produce plots and drawings through Xfig's fig2dev in S-Lang
- slapd (2.4.47+dfsg-3+deb10u7)
- OpenLDAP server (slapd)
- slapd-contrib (2.4.47+dfsg-3+deb10u7)
- contributed plugins for OpenLDAP slapd
- slapd-smbk5pwd (2.4.47+dfsg-3+deb10u7)
- transitional package for slapd-contrib
- slapd-smbk5pwd
- virtueel pakket geboden door slapd-contrib
- slapi-dev (2.4.47+dfsg-3+deb10u7)
- development libraries for OpenLDAP SLAPI plugin interface
- slapi-nis (0.56.2-1)
- NIS Server and Schema Compatibility plugins for 389 Directory Server
- slashem (0.0.7E7F3-9+b1)
- variant of Nethack
- slashem-common (0.0.7E7F3-9+b1)
- files common to all slashem-packages
- slashem-gtk (0.0.7E7F3-9+b1)
- variant of Nethack (Gtk window port)
- slashem-sdl (0.0.7E7F3-9+b1)
- variant of Nethack (SDL window port)
- slashem-x11 (0.0.7E7F3-9+b1)
- variant of Nethack (X11 window port)
- slashtime (0.5.13-2)
- Display the time in various places
- slay (3.0.1)
- Killt alle processen van een gebruiker
- slbackup (0.0.12-10)
- Skolelinux Backup system
- slbackup-php (0.4.5-4)
- Web-based administration tool for slbackup
- sleepd (2.10)
- puts an inactive or low battery laptop to sleep
- sleepenh (1.7-1)
- Sleep until a given date with subsecond resolution
- sleepyhead (1.0.0-beta-2+dfsg-6)
- Sleep tracking software with a focus on monitoring CPAP treatment
- slepc-dev (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- slepc3.10-doc (3.10.1+dfsg1-3)
- Scalable Library for Eigenvalue Problem Computations
- sleuthkit (4.6.5-1+deb10u1)
- tools for forensics analysis on volume and filesystem data
- slib (3b1-5)
- Portable Scheme library
- slic3r (1.3.0+dfsg1-3+b1)
- G-code generator for 3D printers
- slic3r-prusa (1.39.2+dfsg-1+b3)
- G-code generator for 3D printers
- slice (1.3.8-14)
- Extract out pre-defined slices of an ASCII file
- slick-greeter (1.2.4-2)
- Slick-looking LightDM greeter
- slides-doc (1.0.1-15)
- Python-based Slide Maker -- documentation
- slim (1.3.6-5.1)
- desktop-independent graphical login manager for X11
- slime (2:2.23+dfsg-2)
- Superior Lisp Interaction Mode for Emacs (client)
- slimevolley (2.4.2+dfsg-2+b1)
- unrealistic 2D volleyball simulation
- slimevolley-data (2.4.2+dfsg-2)
- unrealistic 2D volleyball simulation - data files
- slimit (0.8.1-3)
- JavaScript minifier/parser in Python
- slingshot (0.9-2)
- simple 2D shooting strategy game set in space, with gravity
- slinkwatch (1.0-2+b20)
- automatic maintenance of Suricata monitoring interfaces
- slirp (1:1.0.17-8+deb10u1)
- SLIP/PPP emulator using a dial up shell account
- slirp4netns (0.2.3-1)
- User-mode networking for unprivileged network namespaces
- slmodem
- virtueel pakket geboden door sl-modem-daemon
- sloccount (2.26-5.2)
- programs for counting physical source lines of code (SLOC)
- slock
- virtueel pakket geboden door suckless-tools
- slony1-2-bin (2.2.7-2)
- replication system for PostgreSQL: daemon and administration tools
- slony1-2-doc (2.2.7-2)
- Slony-I documentation
- slony1-bin
- virtueel pakket geboden door slony1-2-bin
- slony1-doc
- virtueel pakket geboden door slony1-2-doc
- slop (7.4-1+b2)
- queries for a selection from the user and prints the region to stdout
- slowhttptest (1.7-1+b1)
- application layer Denial of Service attacks simulation tool
- slowmovideo (0.5+git20190116-1)
- create slow-motion videos from your footage
- slrn (1.0.3+dfsg-3)
- threaded text-mode news reader
- slrnface (2.1.1-7+b1)
- shows X-Faces from a newsposting on an X11 terminal emulator
- slrnpull (1.0.3+dfsg-3)
- pulls a small newsfeed from an NNTP server
- slsh (2.3.2-2)
- Interpreter for S-Lang language
- sludge-compiler (2.2.2-2)
- Compiler for SLUDGE adventure games
- sludge-devkit (2.2.2-2)
- Development tools for creating SLUDGE adventure games
- sludge-doc (2.2.2-2)
- Documentation for SLUDGE
- sludge-engine (2.2.2-2)
- Runtime engine for playing SLUDGE adventure games
- slugify (2.0.1-1)
- Python slugify application that handles unicode
- slugimage (1:0.1+20160202.fe8b64a-3)
- NSLU2 firmware image manipulation utility
- sluice (0.02.08-1)
- rate limiting data piping tool
- slurm (0.4.3-2+b3)
- Realtime network interface monitor
- slurm-client (18.08.5.2-1+deb10u2)
- SLURM client side commands
- slurm-client-emulator (18.08.5.2-1+deb10u2)
- SLURM client side commands for the emulator
- slurm-wlm (18.08.5.2-1+deb10u2)
- Simple Linux Utility for Resource Management
- slurm-wlm-basic-plugins (18.08.5.2-1+deb10u2)
- SLURM basic plugins
- slurm-wlm-basic-plugins-dev (18.08.5.2-1+deb10u2)
- SLURM basic plugins development files
- slurm-wlm-doc (18.08.5.2-1+deb10u2)
- SLURM documentation
- slurm-wlm-emulator (18.08.5.2-1+deb10u2)
- SLURM emulator
- slurm-wlm-torque (18.08.5.2-1+deb10u2)
- Torque compatibility wrappers for SLURM
- slurmctld (18.08.5.2-1+deb10u2)
- SLURM central management daemon
- slurmd (18.08.5.2-1+deb10u2)
- SLURM compute node daemon
- slurmdbd (18.08.5.2-1+deb10u2)
- Secure enterprise-wide interface to a database for SLURM
- slurp (1.0-1)
- cli utility to select a region in a Wayland compositor
- sm (0.25-1)
- Displays a short text fullscreen
- sm-archive (1.7-1+b2)
- A milter for archiving email
- sma (1.4-3+b1)
- Sendmail log analyser
- smalr (1.1+dfsg-2)
- interrogation of the methylation status of nucleotide sequencing reads
- smalt (0.7.6-8)
- Sequence Mapping and Alignment Tool
- smalt-examples (0.7.6-8)
- Sequence Mapping and Alignment Tool (examples)
- smart-notifier (0.28-5)
- graphical hard disk health status notifier
- smartlist (3.15-25+b1)
- Versatile and Intelligent List Processor
- smartmontools (6.6-1)
- controleer en onderhoud opslagsystemen met gebruik van S.M.A.R.T.
- smartpm (1.4-2)
- An alternative package manager that works with dpkg/rpm
- smartpm-core (1.4-2)
- An alternative package manager that works with dpkg/rpm
- smarty-gettext (1.6.1-1)
- Gettext plugin enabling internationalization in Smarty
- smarty-lexer (3.1.32+dfsg1-2)
- Smarty Lexer/Parser generator
- smarty-validate (3.0.3-4)
- Server-side form validation plugin for Smarty
- smarty3 (3.1.33+20180830.1.3a78a21f+selfpack1-1+deb10u2) [security]
- Smarty - the compiling PHP template engine
- smb-nat (1:1.0-6+b1)
- Netbios Auditing Tool
- smb2www (980804-41.1)
- SMB/CIFS network client with a web interface
- smb4k (2.1.1-1)
- Samba (SMB) share advanced browser
- smbc (1.2.2-4+b3)
- samba-commander - curses based samba network browser
- smbclient (2:4.9.5+dfsg-5+deb10u5) [security]
- command-line SMB/CIFS clients for Unix
- smbios-utils (2.4.1-1)
- Provide access to (SM)BIOS information -- utility binaries
- smbldap-tools (0.9.9-1)
- Scripts to manage Unix and Samba accounts stored on LDAP
- smbmap (1.0.5+git20180508-2)
- handy SMB enumeration tool
- smbnetfs (0.6.1-1)
- User-space filesystem for SMB/NMB (Windows) network servers and shares
- smcroute (2.4.2-4)
- static multicast router daemon
- smem (1.4-2)
- memory reporting tool
- smemcap (1.4-2+b1)
- data collection tool for memory reporting
- smemstat (0.02.03-1)
- memory usage monitoring tool
- (0.9.14-1)
- curse-based CLI selection box
- smf-utils (1.3-2+b4)
- Utilities to support the smf library
- smistrip (0.4.8+dfsg2-16)
- extract MIB from text files like RFC
- smithwaterman (0.0+git20160702.2610e25-7)
- determine similar regions between two strings or genomic sequences
- smitools (0.4.8+dfsg2-16)
- various tools operating on MIB module files
- sml-mode (6.9-1)
- Transition package, sml-mode to elpa-sml-mode
- smlnj (110.79-4)
- Standard ML of New Jersey interactive compiler
- smlnj-doc (110.79-4)
- Documentation for Standard ML of New Jersey
- smlnj-runtime (110.79-4)
- Standard ML of New Jersey runtime system
- smlsharp (1.2.0-2)
- Standard ML compiler with practical extensions
- smoke-dev-tools (4:4.14.3-1+b1)
- SMOKE development tools
- smokegen-dbg (4:4.14.3-1+b1)
- SMOKE development tools -- debug symbols
- smokeping (2.7.3-2)
- latency logging and graphing system
- smp-utils (0.98-2)
- SAS Expander (SMP) utilities for SAS/SATA disk arrays
- smpeg-gtv (0.4.5+cvs20030824-8)
- SMPEG GTK+ MPEG audio/video player
- smpeg-plaympeg (0.4.5+cvs20030824-8)
- SMPEG command line MPEG audio/video player
- smplayer (18.10.0~ds0-1)
- Complete front-end for MPlayer and mpv
- smplayer-l10n (18.10.0~ds0-1)
- Complete front-end for MPlayer and mpv - translation files
- smplayer-themes (1:18.6.0-1)
- complete front-end for MPlayer - icon themes
- smpq (1.6-2)
- StormLib MPQ archiving utility
- smstools (3.1.21-3)
- SMS server tools for GSM modems
- smtpping (1.1.3-2)
- SMTP benchmarking and measurement tool
- smtpprox (1.2-1)
- simple efficient SMTP proxy in perl
- smtpprox-loopprevent (0.1-1)
- Transparent SMTP proxy to prevent mail forwarding loops
- smtube (18.3.0-1)
- YouTube videos browser
- smuxi (1.0.7-5)
- graphical IRC client
- smuxi-engine (1.0.7-5)
- Engine libraries for Smuxi (IRC, Twitter, XMPP, Campfire, JabbR)
- smuxi-frontend-gnome (1.0.7-5)
- GNOME frontend for Smuxi
- sn (0.3.8-12)
- Kleine NNTP server voor eindsites
- snacc (1.3.1-7)
- ASN.1 to C or C++ or IDL compiler
- snacc-doc (1.3.1-7)
- ASN.1 to C or C++ or IDL compiler, documentation
- snake4 (1.0.14-1+b1)
- Snake game
- snakefood (1.4-3)
- Python dependency grapher
- snakemake (5.4.0-1)
- pythonic workflow management system
- snap (2013-11-29-9)
- location of genes from DNA sequence with hidden markov model
- snap-aligner (1.0~beta.18+dfsg-3)
- Scalable Nucleotide Alignment Program
- snap-confine (2.37.4-1+deb10u3) [security]
- Transitional package for snapd
- snap-templates (1.0.0.2-2)
- scaffolding CLI for the Snap Framework
- snapclient (0.15.0-1)
- Snapcast client
- snapd (2.37.4-1+deb10u3) [security]
- Daemon and tooling that enable snap packages
- snaphu (1.4.2-7) [non-free]
- Statistical-Cost, Network-Flow Algorithm for 2D Phase Unwrapping
- snappea (3.0d3-24)
- program for creating and studying hyperbolic 3-manifolds
- snappea-dev (3.0d3-24)
- development files for SnapPea hyperbolic 3-manifold tool
- snapper (0.8.2-1)
- Linux filesystem snapshot management tool
- snapper-gui (0git.960a94834f-3)
- graphical user interface for snapper
- snapserver (0.15.0-1)
- Snapcast server
- snarf (7.0-6+b1)
- command-line URL grabber
- snd (19.1-1)
- Sound file editor
- snd-doc (19.1-1)
- Sound file editor (documentation)
- snd-gtk
- virtueel pakket geboden door snd-gtk-pulse, snd-gtk-jack
- snd-gtk-alsa
- virtueel pakket geboden door snd-gtk-jack
- snd-gtk-jack (19.1-1)
- Sound file editor (GTK+ user interface - JACK)
- snd-gtk-pulse (19.1-1)
- Sound file editor (GTK+ user interface - PulseAudio)
- snd-nox (19.1-1)
- Sound file editor (cmdline)
- snd-nox-alsa
- virtueel pakket geboden door snd-nox
- sndfile-programs (1.0.28-6+deb10u2) [security]
- Sample programs that use libsndfile
- sndfile-tools (1.03-7.1)
- Collection of programs for operating on sound files
- sndio-tools (1.5.0-3)
- Small audio and MIDI framework from OpenBSD, basic tools
- sndiod (1.5.0-3)
- Small audio and MIDI framework from OpenBSD, sound server
- snetz (0.1-1)
- simple live network bandwidth monitoring tool
- sng (1.1.0-1+b1)
- specialized markup language for representing PNG contents
- sngrep (1.4.6-1)
- Ncurses SIP Messages flow viewer
- sni-qt (0.2.7+15.10.20150729-2)
- indicator support for Qt
- sniffit (0.4.0-4)
- packet sniffer and monitoring tool
- sniffles (1.0.11+ds-1)
- structural variation caller using third-generation sequencing
- snimpy (0.8.13-1)
- interactive SNMP tool with Python
- sniproxy (0.6.0-1+deb10u1) [security]
- Transparent TLS and HTTP layer 4 proxy with SNI support
- snmp (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) applications
- snmp-mibs-downloader (1.2) [non-free]
- install and manage Management Information Base (MIB) files
- snmpd (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) agents
- snmpsim (0.4.5-1)
- SNMP agent simulator
- snmptrapd (5.7.3+dfsg-5+deb10u4) [security]
- Net-SNMP notification receiver
- snmptrapfmt (1.16)
- configurable snmp trap handler daemon for snmpd
- snmptt (1.4-2)
- SNMP trap handler for use with snmptrapd
- snoopy (2.4.6-5)
- execve() wrapper and logger
- snooze (0.3-1)
- run a command at a particular time
- snort (2.9.20-0+deb10u1) [security]
- flexible Network Intrusion Detection System
- snort-common (2.9.20-0+deb10u1) [security]
- flexible Network Intrusion Detection System - common files
- snort-common-libraries (2.9.20-0+deb10u1) [security]
- flexible Network Intrusion Detection System - libraries
- snort-doc (2.9.20-0+deb10u1) [security]
- flexible Network Intrusion Detection System - documentation
- snort-rules
- virtueel pakket geboden door snort-rules-default, oinkmaster
- snort-rules-default (2.9.20-0+deb10u1) [security]
- flexible Network Intrusion Detection System - ruleset
- snowballz (0.9.5.1-5)
- fun RTS game featuring snowball fights with penguins
- snowdrop (0.02b-12.1+b2)
- plain text watermarking and watermark recovery
- snp-sites (2.4.1-1)
- Binary code for the package snp-sites
- snpomatic (1.0-4)
- fast, stringent short-read mapping software
- sntop (1.4.3-4+b2)
- A curses-based utility that polls hosts to determine connectivity
- sntp (1:4.2.8p12+dfsg-4)
- Network Time Protocol - sntp client
- so-synth-lv2 (1.4-2)
- Set of synthesizers for the LV2 plugin format
- soapaligner (2.20-3)
- aligner of short reads of next generation sequencers
- soapdenovo (1.05-5)
- short-read assembly method to build de novo draft assembly
- soapdenovo2 (241+dfsg-3)
- short-read assembly method to build de novo draft assembly
- soapsnp (1.03-3)
- resequencing utility that can assemble consensus sequence of genomes
- soapyosmo-common0.6 (0.2.5-1+b12)
- Use gr-osmosdr drivers with SoapySDR (common files)
- soapyremote-server (0.4.3-1)
- Use SoapySDR devices over network (server)
- soapysdr-module-airspy (0.1.1-1+b11)
- Airspy device support for SoapySDR (default version)
- soapysdr-module-all (0.6.1-4+b1)
- All device support for default version of SoapySDR (metapackage)
- soapysdr-module-audio (0~git20160607-3+b12)
- Audio device support for SoapySDR (default version)
- soapysdr-module-bladerf (0.3.5-1)
- bladeRF device support for SoapySDR (default version)
- soapysdr-module-hackrf (0.3.3-1)
- HackRF device support for SoapySDR (default version)
- soapysdr-module-lms7 (18.06.0+dfsg-1+b1)
- Lime Microsystems LMS7 device support for SoapySDR (default version)
- soapysdr-module-mirisdr (0.2.5-1+b12)
- Mirics SDR device support for SoapySDR (default version)
- soapysdr-module-osmosdr (0.2.5-1+b12)
- OsmoSDR device support for SoapySDR (default version)
- soapysdr-module-redpitaya (0.1.0-2+b11)
- RedPitaya device support for SoapySDR (default version)
- soapysdr-module-remote (0.4.3-1)
- Use SoapySDR devices over network (default client module)
- soapysdr-module-rfspace (0.2.5-1+b12)
- RFSpace device support for SoapySDR (default version)
- soapysdr-module-rtlsdr (0.2.5-1)
- RTL-SDR device support for SoapySDR (default version)
- soapysdr-module-uhd (0.3.4-1+b7)
- UHD device support for SoapySDR (default version)
- soapysdr-tools (0.6.1-4+b1)
- software defined radio interface library tools
- soapysdr0.6-module
- virtueel pakket geboden door soapysdr0.6-module-hackrf, soapysdr0.6-module-redpitaya, soapysdr0.6-module-bladerf, soapysdr0.6-module-remote, soapysdr0.6-module-audio, soapysdr0.6-module-uhd, soapysdr0.6-module-airspy, soapysdr0.6-module-rtlsdr
- soapysdr0.6-module-airspy (0.1.1-1+b11)
- Airspy device support for SoapySDR
- soapysdr0.6-module-all (0.6.1-4+b1)
- All device support for SoapySDR (metapackage)
- soapysdr0.6-module-audio (0~git20160607-3+b12)
- Audio device support for SoapySDR
- soapysdr0.6-module-bladerf (0.3.5-1)
- bladeRF device support for SoapySDR
- soapysdr0.6-module-hackrf (0.3.3-1)
- HackRF device support for SoapySDR
- soapysdr0.6-module-lms7 (18.06.0+dfsg-1+b1)
- Lime Microsystems LMS7 device support for SoapySDR
- soapysdr0.6-module-mirisdr (0.2.5-1+b12)
- Mirics SDR device support for SoapySDR
- soapysdr0.6-module-osmosdr (0.2.5-1+b12)
- OsmoSDR device support for SoapySDR
- soapysdr0.6-module-redpitaya (0.1.0-2+b11)
- RedPitaya device support for SoapySDR
- soapysdr0.6-module-remote (0.4.3-1)
- Use SoapySDR devices over network (client module)
- soapysdr0.6-module-rfspace (0.2.5-1+b12)
- RFSpace device support for SoapySDR
- soapysdr0.6-module-rtlsdr (0.2.5-1)
- RTL-SDR device support for SoapySDR
- soapysdr0.6-module-uhd (0.3.4-1+b7)
- UHD device support for SoapySDR
- socat (1.7.3.2-2)
- multipurpose relay for bidirectional data transfer
- socket (1.1-10+b2)
- Multi purpose socket tool
- socks4-clients (4.3.beta2-20+b2)
- Socks4 enabled clients as rtelnet and rftp
- socks4-server (4.3.beta2-20+b2)
- SOCKS4 server for proxying IP-based services over a firewall
- sockstat (0.4.0-1)
- view detailed information about open connections
- socnetv (2.4-1)
- Social Network Analysis and Visualisation application
- sofa-apps (1.0~beta4-12+b2)
- GUI for the Simulation Open Framework Architecture (SOFA)
- sofa-data (1.0~beta4-12)
- Simulation Open Framework Architecture - data
- sofa-tutorials (1.0~beta4-12)
- Simulation Open Framework Architecture - tutorials
- sofia-sip-bin (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library utilities
- sofia-sip-doc (1.12.11+20110422.1-2.1+deb10u4) [security]
- Sofia-SIP library documentation
- softflowd (0.9.9-5+deb10u1)
- Flow-based network traffic analyser
- softhsm (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11 (dummy)
- softhsm-common (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11 (dummy)
- softhsm2 (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11
- softhsm2-common (2.4.0-0.1)
- cryptographic store accessible through a PKCS #11
- software-properties-common (0.96.20.2-2)
- de softwarebronnen beheren waaruit u programma's installeert (gemeenschappelijk)
- software-properties-gtk (0.96.20.2-2)
- de softwarebronnen beheren waaruit u programma's installeert (gtk)
- software-properties-kde (0.96.20.2-2)
- manage the repositories that you install software from (qt)
- sogo (4.0.7-1+deb10u2)
- Scalable groupware server
- sogo-common (4.0.7-1+deb10u2)
- Scalable groupware server - common files
- solaar (0.9.2+dfsg-9)
- Logitech Unifying Receiver peripherals manager for Linux
- solaar-gnome3 (0.9.2+dfsg-9)
- GNOME Shell/Unity integration for Solaar
- solarpowerlog (0.24-8+b1)
- photovoltaic data logging
- solarwolf (1.5-2.2)
- Collect the boxes and don't become mad
- solfege (3.23.4-6)
- Ear training software
- solfege-doc (3.23.4-6)
- Ear training software - documentation
- solfege-oss (3.23.4-6)
- Ear training software - OSS support module
- solid-pop3d (0.15-30)
- POP3 server supporting Maildir, PAM, vhosting
- sollya (7.0+ds-3)
- library for safe floating-point code development -- tool
- sollya-doc (7.0+ds-3)
- library for safe floating-point code development -- doc
- solr-common (3.6.2+dfsg-20+deb10u2)
- Enterprise search server based on Lucene3 - common files
- solr-jetty (3.6.2+dfsg-20+deb10u2)
- Enterprise search server based on Lucene3 - Jetty integration
- solr-tomcat (3.6.2+dfsg-20+deb10u2)
- Enterprise search server based on Lucene3 - Tomcat integration
- solvate (1.0-2) [non-free]
- arranges water molecules around protein structures
- solvate-doc (1.0-2) [non-free]
- Documentation for solvate
- solvespace (2.3+repack1-3+b1)
- Parametric 2d/3d CAD
- sonata (1.7~b1-3)
- GTK+ client for the Music Player Daemon (MPD)
- songwrite (0.14-11)
- guitar tablature editor and player
- sonic (0.2.0-7)
- Simple utility to speed up or slow down speech
- sonic-pi (2.10.0~repack-2.1)
- Live Coding for Everyone
- sonic-pi-samples (2.10.0~repack-2.1)
- Audio samples for Sonic Pi
- sonic-pi-server (2.10.0~repack-2.1)
- Backend server for Sonic Pi
- sonic-pi-server-doc (2.10.0~repack-2.1)
- Documentation for Sonic Pi
- sonic-visualiser (3.2.1-1)
- viewing and analysing the contents of music audio files
- sonnet-plugins (5.54.0-1)
- spell checking library for Qt, plugins
- sooperlooper (1.7.3~dfsg0-3+b1)
- Looping Sampler
- sopwith (1.8.4-9)
- port of the 1980's side-scrolling WWI dogfighting game
- sorcerer
- virtueel pakket geboden door pccts
- sordi (0.16.0~dfsg0-1+b1)
- library for storing RDF data in memory - utilities
- sortmail (1:2.4-3)
- simple mail sorter
- sortmerna (2.1-3)
- tool for filtering, mapping and OTU-picking NGS reads
- sortsmill-tools (0.4-2)
- tools for designers of digital fonts
- sosi2osm (1.0.0-5)
- SOSI to OSM converter
- sosreport (3.6-1)
- Set of tools to gather troubleshooting data from a system
- sound-icons (0.1-6)
- Sounds for speech enabled applications
- sound-juicer (3.24.0-3)
- GNOME CD Ripper
- sound-modules
- virtueel pakket geboden door sound-modules-4.19.0-21-686-pae-di, sound-modules-4.19.0-21-amd64-di, sound-modules-4.19.0-20-686-di, sound-modules-4.19.0-21-686-di, sound-modules-4.19.0-20-amd64-di, sound-modules-4.19.0-20-686-pae-di
- sound-modules-4.19.0-20-686-di (4.19.235-1)
- sound support
- sound-modules-4.19.0-20-686-pae-di (4.19.235-1)
- sound support
- sound-modules-4.19.0-20-amd64-di (4.19.235-1)
- sound support
- sound-modules-4.19.0-21-686-di (4.19.249-2)
- sound support
- sound-modules-4.19.0-21-686-pae-di (4.19.249-2)
- sound support
- sound-modules-4.19.0-21-amd64-di (4.19.249-2)
- sound support
- sound-theme-freedesktop (0.8-2)
- freedesktop.org sound theme
- soundconverter (3.0.1-2)
- GNOME-applicatie om audiobestanden om te zetten naar andere formaten
- soundgrain (4.1.1-2.1)
- Graphical interface to control granular sound synthesis modules
- soundkonverter (3.0.1-1)
- audio converter frontend for KDE
- soundmodem (0.20-5+b1)
- Sound Card Amateur Packet Radio Modems
- soundscaperenderer (0.5.0~dfsg-4)
- tool for real-time spatial audio reproduction
- soundscaperenderer-common (0.5.0~dfsg-4)
- tool for real-time spatial audio reproduction (common-files)
- soundscaperenderer-nox (0.5.0~dfsg-4)
- tool for real-time spatial audio reproduction (without X support)
- soundstretch (2.1.2+ds1-1)
- Stretches and pitch-shifts sound independently
- source-highlight (3.1.8-1.2+b1)
- convert source code to syntax highlighted document
- sox (14.4.2+git20190427-1+deb10u3) [security]
- Swiss army knife of sound processing
- sozi (12.05-1.1)
- inkscape extension for creating animated presentations
- spacearyarya (1.0.2-7.1)
- third person shooter in pseudo-3D
- spaced (1.2.0-201605+dfsg-1)
- alignment-free sequence comparison using spaced words
- spacefm (1.0.6-4)
- Multi-panel tabbed file manager - GTK2 version
- spacefm-common (1.0.6-4)
- Multi-panel tabbed file manager - common files
- spacefm-gtk3 (1.0.6-4)
- Multi-panel tabbed file manager - GTK3 version
- spacefm-hal
- virtueel pakket geboden door spacefm
- spacezero (0.80.06-1+b2)
- Real Time Strategy 2D space combat, multiplayer net game.
- spades (3.13.0+dfsg2-2)
- genome assembler for single-cell and isolates data sets
- spamass-milter (0.4.0-1+b1)
- milter for filtering mail through spamassassin
- spamassassin (3.4.2-1+deb10u3)
- Een op Perl gebaseerde spam-filter door middel van tekst-analyse
- spamassassin-heatu (3.02+20101108-2)
- Heuristic Email Address Tracker Utility for Spamassassin
- spambayes (1.1b1+git20190201.1335ca8-1)
- Python-based spam filter using statistical analysis
- spamc (3.4.2-1+deb10u3)
- Client voor de SpamAssassin spamfilter-daemon
- spamoracle (1.4-15+b2 [armhf], 1.4-15+b1 [amd64, arm64, i386])
- statistical analysis spam filter based on Bayes' formula
- spamoracle-byte
- virtueel pakket geboden door spamoracle
- spampd (2.53-1)
- spamassassin based SMTP/LMTP proxy daemon
- spamprobe (1.4d-14+b2)
- Bayesian spam filter
- sparkleshare (3.28+git20190117-1)
- distributed collaboration and sharing tool
- sparse (0.6.0-3)
- semantic parser of source files
- sparse-test-inspect (0.6.0-3)
- semantic parser of source files
- spatialite-bin (4.3.0-2+b4)
- Geospatial extension for SQLite - tools
- spatialite-gui (2.1.0~beta0+really2.0.0~devel2-3)
- user-friendly graphical user interface for SpatiaLite
- spawn-fcgi (1.6.4-2)
- FastCGI process spawner
- spd (1.3.0-1+b4)
- Synchrotron image corrections and azimuthal integration
- spe (0.8.4.h-3.2)
- Stani's Python Editor
- speakup-doc (3.1.6.dfsg.1-5)
- Documentation for speakup kernel modules
- speakup-modules
- virtueel pakket geboden door speakup-modules-4.19.0-20-686-di, speakup-modules-4.19.0-21-amd64-di, speakup-modules-4.19.0-20-amd64-di, speakup-modules-4.19.0-20-686-pae-di, speakup-modules-4.19.0-21-686-di, speakup-modules-4.19.0-21-686-pae-di
- speakup-modules-4.19.0-20-686-di (4.19.235-1)
- speakup modules
- speakup-modules-4.19.0-20-686-pae-di (4.19.235-1)
- speakup modules
- speakup-modules-4.19.0-20-amd64-di (4.19.235-1)
- speakup modules
- speakup-modules-4.19.0-21-686-di (4.19.249-2)
- speakup modules
- speakup-modules-4.19.0-21-686-pae-di (4.19.249-2)
- speakup modules
- speakup-modules-4.19.0-21-amd64-di (4.19.249-2)
- speakup modules
- speakup-tools (1:0.0~git20121016.1-4)
- Tools to customize speakup module
- spectacle (0.25-1)
- RPM Spec file generator and management tool
- spectemu-common (0.94a-20) [contrib]
- Fast 48k ZX Spectrum Emulator (common files)
- spectemu-x11 (0.94a-20) [contrib]
- Fast 48k ZX Spectrum Emulator for X11
- spectools (201601r1-1+b1)
- Utilities for using the Wi-Spy USB spectrum analyzer hardware
- spectre-meltdown-checker (0.42-1)
- Spectre & Meltdown vulnerability/mitigation checker
- spectrum-roms (20081224-5) [non-free]
- ZX Spectrum ROMs
- spectrwm (3.2.0-1)
- dynamic tiling window manager
- speech-dispatcher (0.9.0-5+deb10u1)
- Common interface to speech synthesizers
- speech-dispatcher-audio-plugins (0.9.0-5+deb10u1)
- Speech Dispatcher: Audio output plugins
- speech-dispatcher-baratinoo (0.9.0-8) [contrib]
- Speech Dispatcher: Baratinoo (VoxyGen) output module
- speech-dispatcher-cicero (0.9.0-5+deb10u1)
- Speech Dispatcher: Cicero output module
- speech-dispatcher-doc-cs (0.9.0-5+deb10u1)
- Speech Dispatcher documentation in Czech
- speech-dispatcher-espeak (0.9.0-5+deb10u1)
- Speech Dispatcher: Espeak output module
- speech-dispatcher-espeak-ng (0.9.0-5+deb10u1)
- Speech Dispatcher: Espeak-ng output module
- speech-dispatcher-festival (0.9.0-5+deb10u1)
- Festival support for Speech Dispatcher
- speech-dispatcher-flite (0.9.0-5+deb10u1)
- Speech Dispatcher: Flite output module
- speech-dispatcher-ibmtts (0.9.0-8) [contrib]
- Speech Dispatcher: IBM TTS output module
- speech-dispatcher-kali (0.9.0-8) [contrib]
- Speech Dispatcher: Kali output module
- speech-dispatcher-pico (0.9.0-8) [contrib]
- Speech Dispatcher: Pico output module
- speech-tools (1:2.5.0-5)
- Edinburgh Speech Tools - user binaries
- speech-tools-doc (1:2.5.0-5)
- Documentation for the Edinburgh Speech Tools
- speechd-el (2.8-2)
- Emacs speech client using Speech Dispatcher
- speechd-el-doc-cs (2.8-2)
- speechd-el documentation in Czech
- speechd-up (0.5~20110719-7)
- Interface between Speech Dispatcher and SpeakUp
- speedcrunch (0.12.0-4)
- High precision calculator
- speedometer (2.8-2)
- measure and display the rate of data across a network connection
- speedpad (1.0-2)
- ncurses tool to test, train, and increase typing speed
- speedtest-cli (2.0.2-1+deb10u2)
- Command line interface for testing internet bandwidth using speedtest.net
- speex (1.2~rc1.2-1+b2)
- The Speex codec command line tools
- speex-doc (1.2~rc1.2-1)
- Documentation for speex
- spek (0.8.2-4+b3)
- acoustic spectrum analyser
- spell (1.0-24+b1 [armhf], 1.0-24 [amd64, arm64, i386])
- GNU Spell, a clone of Unix `spell'
- spellcast (1.0-22) [non-free]
- Classic hand-waving multi-player game of spellcasting
- spellcast-doc (1.5+nmu2) [non-free]
- Documentation for the multi-player X game of spellcasting.
- spellutils (0.7-8)
- Utilities to spell-check selectively
- spew (1.0.8-1+b3)
- I/O performance measurement and load generation tool
- spf-milter-python (0.9-2)
- RFC 7208 compliant SPF Milter for Sendmail and Postfix
- spf-tools-perl (2.9.0-4)
- SPF tools (spfquery, spfd) based on the Mail::SPF Perl module
- spf-tools-python (2.0.12t-3)
- sender policy framework (SPF) tools for Python
- spfquery (1.2.10-7.1~deb10u1)
- query SPF (Sender Policy Framework) to validate mail senders
- sphde-utils (1.4.0-2)
- Shared Persistent Heap Data Environment library utilities
- sphinx-common (1.8.4-1)
- documentation generator for Python projects - common data
- sphinx-doc (1.8.4-1)
- documentation generator for Python projects - documentation
- sphinx-intl (0.9.11-2)
- translation support utility for Sphinx
- sphinx-rtd-theme-common (0.4.3+dfsg-1)
- sphinx theme from readthedocs.org (common files)
- sphinxbase-utils (0.8+5prealpha+1-3+b1)
- Speech recognition tool - utililities
- sphinxcontrib-autoprogram (0.1.5-1)
- automated documentation of CLI programs for Sphinx (Python 2)
- sphinxsearch (2.2.11-2+deb10u1)
- Fast standalone full-text SQL search engine
- sphinxtrain (1.0.8+5prealpha+1-1)
- Speech recognition tool - training tool
- spi-tools (0.8.1-1)
- Simple command line tools to help using Linux spidev devices
- spice-client-glib-usb-acl-helper (0.35-2)
- Helper tool to validate usb ACLs
- spice-client-gtk (0.35-2)
- Simple clients for interacting with SPICE servers
- spice-html5 (0.1.7-3)
- Spice Web client which runs entirely within a modern browser
- spice-vdagent (0.18.0-1)
- Spice agent for Linux
- spice-webdavd (2.2-3)
- daemon to proxy WebDAV request to the Spice virtio channel
- spigot (0.2017-01-15.gdad1bbc6-1+b1)
- Exact real calculator
- spikeproxy (1.4.8-4.4)
- Web application security testing proxy
- spim (8.0+dfsg-6.1)
- MIPS R2000/R3000 emulator
- spin (6.4.9+dfsg-1)
- formal software verification tool
- spinner (1.2.4-5)
- Sends small packets over a idle link
- spip (3.2.4-1+deb10u13) [security]
- website engine for publishing
- spiped (1.6.0-5)
- create secure pipes between socket addresses
- (1.3+git20190113-1)
- Machine-readable files for the SPIR-V Registry
- spirv-tools (2019.1-2)
- API and commands for processing SPIR-V modules
- spl (0.7.12-2+deb10u1)
- Solaris Porting Layer user-space utilities for Linux
- spl-dkms (0.7.12-2+deb10u1)
- Solaris Porting Layer kernel modules for Linux
- spl-modules
- virtueel pakket geboden door spl-dkms
- splash (2.8.0-1+b1)
- Visualisation tool for Smoothed Particle Hydrodynamics simulation
- splat (1.4.2-2)
- analyze point-to-point terrestrial RF communication links
- splay (0.9.5.2-14+b1)
- Sound player for MPEG-1,2 layer 1,2,3
- spline (1.2-4)
- Akima spline interpolation
- splint (1:3.1.2+dfsg-1)
- tool for statically checking C programs for bugs
- splint-data (1:3.1.2+dfsg-1)
- tool for statically checking C programs for bugs - data files
- splint-doc-html (1:3.1.2+dfsg-1)
- tool for statically checking C programs for bugs - HTML documentation
- split-select (1:8.1.0+r23-3)
- APK split and selection tool
- splitpatch (1.0+20190128+git3b2edf2-1)
- split the patch up into files or hunks
- splitvt (1.6.6-13+b1)
- run two programs in a split screen
- spoa (1.1.5-1)
- SIMD partial order alignment tool
- spooles-doc (2.2-14)
- SPOOLES numerical simulation pre- and post-processor documentation
- spotlighter (0.3-1.1+b2)
- gtk interface to make annotations on the screen
- spotweb (20130826+dfsg3-4)
- web interface to search and filter Usenet spots
- spout (1.4-4)
- Tiny abstract black and white 2D cave-shooter
- sprai (0.9.9.23+dfsg-2)
- single-pass sequencing read accuracy improver
- spread-phy (1.0.7+dfsg-2)
- analyze and visualize phylogeographic reconstructions
- spring (104.0+dfsg-3+b2)
- modern full-3D RTS game engine
- spring-common (104.0+dfsg-3)
- modern full-3D RTS game engine (common files)
- spring-javaai (104.0+dfsg-3)
- modern full-3D RTS game engine (Java AIs)
- springgraph
- virtueel pakket geboden door signing-party
- springlobby (0.267+dfsg-1+b1)
- single/multiplayer lobby for the Spring RTS engine
- sprop
- virtueel pakket geboden door suckless-tools
- sptk (3.9-2)
- speech signal processing toolkit
- sputnik (12.06.27-2)
- Extensible wiki
- spyder (3.3.3+dfsg1-1)
- Python IDE for scientists (Python 2)
- spyder-common (3.3.3+dfsg1-1)
- common files for spyder
- spyder3 (3.3.3+dfsg1-1)
- Python IDE for scientists (Python 3)
- sqitch (0.9999-2)
- sane database change management
- sql-ledger (3.2.6-1)
- Web based double-entry accounting program
- sqlacodegen (1.1.6-2)
- Automatic model code generator for SQLAlchemy
- sqlcipher (3.4.1-1+b12)
- Command line interface for SQLCipher
- sqlcl-package (0.1.1) [contrib]
- Oracle SQL Developer Command-Line Debian package builder
- sqldeveloper-package (0.5.4) [contrib]
- Oracle SQL Developer Debian package builder
- sqlfairy
- virtueel pakket geboden door libsql-translator-perl
- sqlformat (0.2.4-1+deb10u1) [security]
- SQL formatting utility
- sqlgrey (1:1.8.0-1)
- Postfix Greylisting Policy Server
- sqlite (2.8.17-15+deb10u1) [security]
- command line interface for SQLite 2
- sqlite-doc (2.8.17-15+deb10u1) [security]
- SQLite 2 documentation
- sqlite3 (3.27.2-3+deb10u2) [security]
- Command line interface for SQLite 3
- sqlite3-doc (3.27.2-3+deb10u2) [security]
- SQLite 3 documentation
- sqlite3-pcre (0~git20070120091816+4229ecc-1)
- Perl-compatible regular expression support for SQLite
- sqlitebrowser (3.10.1-2)
- GUI editor for SQLite databases
- sqlline (1.0.2-8)
- JDBC command-line utility for issuing SQL
- sqlmap (1.3.2-1)
- automatic SQL injection tool
- sqlobject-admin (3.7.0+dfsg-2)
- Helper applications for SQLObject, a Python ORM for databases
- sqlsmith (1.2.1-1+b3)
- random SQL query generator
- sqsh (2.5.16.1-2)
- commandline SQL client for MS SQL and Sybase servers
- squareness (2.3.0-7)
- suite of skins for different applications
- squashfs-modules
- virtueel pakket geboden door squashfs-modules-4.19.0-21-686-di, squashfs-modules-4.19.0-21-arm64-di, squashfs-modules-4.19.0-21-armmp-di, squashfs-modules-4.19.0-20-armmp-di, squashfs-modules-4.19.0-20-686-di, squashfs-modules-4.19.0-20-arm64-di, squashfs-modules-4.19.0-21-686-pae-di, squashfs-modules-4.19.0-20-amd64-di, squashfs-modules-4.19.0-21-amd64-di, squashfs-modules-4.19.0-20-686-pae-di
- squashfs-modules-4.19.0-20-686-di (4.19.235-1)
- squashfs modules
- squashfs-modules-4.19.0-20-686-pae-di (4.19.235-1)
- squashfs modules
- squashfs-modules-4.19.0-20-amd64-di (4.19.235-1)
- squashfs modules
- squashfs-modules-4.19.0-20-arm64-di (4.19.235-1)
- squashfs modules
- squashfs-modules-4.19.0-20-armmp-di (4.19.235-1)
- squashfs modules
- squashfs-modules-4.19.0-21-686-di (4.19.249-2)
- squashfs modules
- squashfs-modules-4.19.0-21-686-pae-di (4.19.249-2)
- squashfs modules
- squashfs-modules-4.19.0-21-amd64-di (4.19.249-2)
- squashfs modules
- squashfs-modules-4.19.0-21-arm64-di (4.19.249-2)
- squashfs modules
- squashfs-modules-4.19.0-21-armmp-di (4.19.249-2)
- squashfs modules
- squashfs-tools (1:4.3-12+deb10u2)
- Tool to create and append to squashfs filesystems
- squashfuse (0.1.103-1)
- FUSE filesystem to mount squashfs archives
- squeak-vm (1:4.10.2.2614-8)
- virtual machine for Smalltalk
- squeezelite (1.8-4.1+b1)
- lightweight headless Squeezebox emulator - ALSA version
- squeezelite
- virtueel pakket geboden door squeezelite-pa
- squeezelite-pa (1.8-4.1+b1)
- lightweight headless Squeezebox emulator - PortAudio version
- squid (4.6-1+deb10u10) [security]
- Full featured Web Proxy cache (HTTP proxy)
- squid-cgi (4.6-1+deb10u10) [security]
- Full featured Web Proxy cache (HTTP proxy) - control CGI
- squid-common (4.6-1+deb10u10) [security]
- Full featured Web Proxy cache (HTTP proxy) - common files
- squid-deb-proxy (0.8.14+nmu2)
- Squid proxy configuration to optimize package downloads
- squid-deb-proxy-client (0.8.14+nmu2)
- automatic proxy discovery for APT based on Avahi
- squid-deb-proxy-client-udeb (0.8.14+nmu2)
- automatic proxy discovery for APT based on Avahi (udeb)
- squid-langpack (20190110-1)
- Localized error pages for Squid
- squid-purge (4.6-1+deb10u10) [security]
- Full featured Web Proxy cache (HTTP proxy) - cache management utility
- squid3 (4.6-1+deb10u10) [security]
- Transitional package
- squid3-cgi
- virtueel pakket geboden door squid-cgi
- squid3-client
- virtueel pakket geboden door squidclient
- squid3-common
- virtueel pakket geboden door squid-common
- squidclient (4.6-1+deb10u10) [security]
- Full featured Web Proxy cache (HTTP proxy) - HTTP(S) message utility
- squidguard (1.6.0-1)
- filter and redirector plugin for Squid
- squidguard-doc (1.6.0-1)
- filter and redirector plugin for Squid - Documentation
- squidtaild (2.1a6-6)
- Squid log monitoring program
- squidview (0.86-1+b1)
- monitors and analyses squid access.log files
- squirrel3 (3.1-7)
- lightweight, high-level, embeddable programming language
- squishyball (0.1~svn19085-5+b1)
- audio sample comparison testing tool
- squizz (0.99d+dfsg-2)
- Converter for genetic sequences and alignments
- sqwebmail (6.0.0+1.0.6-1)
- Courier mail server - webmail server
- sqwebmail-de (6.0.0-1)
- German translations for the SqWebMail webmail service
- sra-toolkit (2.9.3+dfsg-1+b1)
- utilities for the NCBI Sequence Read Archive
- src2tex (2.12h-9+b1)
- converter from source program files to TeX format files
- srecord (1.64-1)
- collection of powerful tools for manipulating EPROM load files
- sredird (2.2.1-2)
- RFC 2217 compliant Telnet serial port redirector
- sreview-common (0.4.0-2)
- SReview -- common code
- sreview-detect (0.4.0-2)
- SReview input detection script
- sreview-encoder (0.4.0-2)
- SReview encoder code
- sreview-master (0.4.0-2)
- SReview components for master host
- sreview-web (0.4.0-2)
- SReview webinterface
- srf-doc (0.1+dfsg-6)
- specification documents for the SRF format DNA sequence data
- srm-ifce-dev (1.24.3-1+b1)
- SRM client side headers and development files
- srptools (22.1-1)
- Tools for Infiniband attached storage (SRP)
- srs (0.31-6)
- command-line Sender Rewriting Scheme client
- srsenb (18.06.1-8+b1)
- Evolved Node B in LTE network for Software Defined Radios
- srsepc (18.06.1-8+b1)
- Light-weight LTE core network implementation
- srslte (18.06.1-8)
- LTE software suite for software defined radios (metapackage)
- srslte-core (18.06.1-8+b1)
- Common files for srsLTE
- srslte-dev (18.06.1-8+b1)
- Static libraries and headers for srslte
- srst2 (0.2.0-6)
- Short Read Sequence Typing for Bacterial Pathogens
- srsue (18.06.1-8+b1)
- User Equipment implementation for LTE
- ss-dev (2.0-1.44.5-1+deb10u3)
- command-line interface parsing library - headers and static libraries
- ssake (4.0-2)
- genomics application for assembling millions of very short DNA sequences
- ssake-examples (4.0-2)
- example data for SSAKE, a genomic assembler of short reads
- ssdeep (2.14.1+git20180629.57fcfff-1)
- recursive piecewise hashing tool
- sse2-support (5)
- prevent installation on processors without required instructions
- sse3-support (5)
- prevent installation on processors without required instructions
- sse4.2-support (5)
- prevent installation on processors without required instructions
- ssed (3.62-7+b1)
- The super sed stream editor
- sselp
- virtueel pakket geboden door suckless-tools
- ssft (0.9.17)
- Shell Scripts Frontend Tool
- ssg-applications (0.1.39-2)
- SCAP Guides and benchmarks targeting userspace applications
- ssg-base (0.1.39-2)
- SCAP Security guide base content and documentation
- ssg-debderived (0.1.39-2)
- SCAP Guides and benchmarks targeting Debian-based OS
- ssg-debian (0.1.39-2)
- SCAP Guides and benchmarks targeting Debian 8
- ssg-nondebian (0.1.39-2)
- SCAP Guides and benchmarks targeting other GNU/Linux OS
- ssh (1:7.9p1-10+deb10u4) [security]
- secure shell client and server (metapackage)
- ssh-agent-filter (0.5.2-1)
- filtering proxy for ssh-agent
- ssh-askpass (1:1.2.4.1-10)
- under X, asks user for a passphrase for ssh-add
- ssh-askpass
- virtueel pakket geboden door kwalletcli, lxqt-openssh-askpass, ssh-askpass-fullscreen, ssh-askpass-gnome, ksshaskpass
- ssh-askpass-fullscreen (0.3-3.1+b2)
- Under Gnome2, asks user for a passphrase for ssh-add
- ssh-askpass-gnome (1:7.9p1-10+deb10u4) [security]
- interactive X program to prompt users for a passphrase for ssh-add
- ssh-audit (1.7.0-2)
- tool for ssh server auditing
- ssh-client
- virtueel pakket geboden door openssh-client
- ssh-contact (0.7-1)
- establish SSH connections to your IM contacts using Telepathy (metapackage)
- ssh-contact-client (0.7-1+b2)
- establish SSH connections to your IM contacts using Telepathy (client)
- ssh-contact-service (0.7-1+b2)
- establish SSH connections to your IM contacts using Telepathy (server)
- ssh-cron (1.01.00-2)
- cron daemon allowing ssh keys with passphrases to be used
- ssh-import-id (5.7-1)
- securely retrieve an SSH public key and install it locally
- ssh-server
- virtueel pakket geboden door openssh-server, lsh-server, dropbear-bin, tinysshd
- ssh-tools (1.5-1)
- collection of various tools using ssh
- sshcommand (0~20160110.1~2795f65-1)
- turn SSH into a thin client specifically for your command
- sshfp (1.2.2-6)
- DNS SSHFP records generator
- sshfs (2.10+repack-2)
- filesystem client based on SSH File Transfer Protocol
- sshguard (2.3.1-1)
- Protects from brute force attacks against ssh
- sshoot (1.4.1-7)
- manage multiple sshuttle VPN sessions
- sshpass (1.06-1)
- Non-interactive ssh password authentication
- sshuttle (0.78.5-1)
- Transparent proxy server for VPN over SSH
- ssid
- virtueel pakket geboden door suckless-tools
- ssl-cert (1.0.39)
- simple debconf wrapper for OpenSSL
- ssl-cert-check (4.10-1)
- proactively handling X.509 certificate expiration
- ssldump (0.9b3+git20180706.eb8fdd4-1)
- SSLv3/TLS network protocol analyzer
- sslh (1.18-1)
- Applicative protocol multiplexer
- sslsniff (0.8-8+b1)
- SSL/TLS man-in-the-middle attack tool
- sslsplit (0.5.4-2)
- transparent and scalable SSL/TLS interception
- sslstrip (0.9-1)
- SSL/TLS man-in-the-middle attack tool
- ssmping (0.9.1-3+b2)
- check your multicast connectivity
- ssocr (2.19.0-2)
- OCR for seven segment displays
- sspace (2.1.1+dfsg-4)
- scaffolding pre-assembled contigs after extension
- sssd (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- metapackage
- sssd-ad (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- Active Directory back end
- sssd-ad-common (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- PAC responder
- sssd-common (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- common files
- sssd-dbus (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- D-Bus responder
- sssd-ipa (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- IPA back end
- sssd-kcm (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- Kerberos KCM server implementation
- sssd-krb5 (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- Kerberos back end
- sssd-krb5-common (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- Kerberos helpers
- sssd-ldap (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- LDAP back end
- sssd-proxy (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- proxy back end
- sssd-tools (1.16.3-3.2+deb10u2) [security]
- System Security Services Daemon -- tools
- ssss (0.5-5)
- Shamir's secret sharing scheme implementation
- ssvnc (1.0.29-4+deb10u1)
- Enhanced TightVNC viewer with SSL/SSH tunnel helper
- ssw-align (1.1-2)
- Smith-Waterman aligner based on libssw
- stacks (2.2+dfsg-1)
- pipeline for building loci from short-read DNA sequences
- stacks-web (2.2+dfsg-1)
- web interface for displaying loci from short-read sequences
- staden (2.0.0+b11-4)
- DNA sequence assembly (Gap4/Gap5), editing and analysis tools
- staden-common (2.0.0+b11-4)
- Architecture independent files for Staden
- staden-io-lib-examples (1.14.11-6)
- programs for manipulating DNA sequencing files (usage examples)
- staden-io-lib-utils (1.14.11-6)
- programs for manipulating DNA sequencing files
- stalin (0.11-6+b1)
- An extremely aggressive Scheme compiler
- stalonetray (0.8.1-1+b1)
- Standalone freedesktop.org and KDE systray implementation
- standardskriver (0.0.3-2+deb10u1)
- Tool for dynamically setting a user's default printer at desktop session logon
- stardata-common (0.8+b1)
- Common framework to manage astronomy packages
- stardict-czech (20171101-1)
- Stardict package for Czech dictionary of foreign words
- stardict-czech-english
- virtueel pakket geboden door stardict-english-czech
- stardict-czech-german
- virtueel pakket geboden door stardict-german-czech
- stardict-english-czech (20171101-1) [non-free]
- Stardict package for English-Czech dictionary
- stardict-german-czech (20171101-1) [non-free]
- Stardict package for German-Czech dictionary
- stardict-xmlittre (1:1.0-1)
- French Littré dictionary for stardict
- starfighter (1.7-1)
- 2D scrolling shooter game
- starfighter-data (1.7-1)
- 2D scrolling shooter game -- data files
- starfish
- virtueel pakket geboden door xstarfish
- starlet (0.31-1)
- simple, high-performance PSGI/Plack HTTP server
- starlink-array-java (0.2+2016.05.03-1)
- N-dimensional array manipulation and I/O in Java
- starlink-array-java-doc (0.2+2016.05.03-1)
- N-dimensional array manipulation and I/O in Java (javadoc)
- starlink-cdf-java (1.0+2018.11.28+dfsg-1)
- CDF table support for Starjava
- starlink-cdf-java-doc (1.0+2018.11.28+dfsg-1)
- CDF table support for Starjava (Javadoc)
- starlink-connect-java (0.1+2016.05.03-2)
- Abstract classes for persistent connections to remote services
- starlink-connect-java-doc (0.1+2016.05.03-2)
- Abstract classes for persistent connections (javadoc)
- starlink-datanode-java (1.0+2017.07.31-2)
- Classes for hierarchical browsing of data structures
- starlink-datanode-java-doc (1.0+2017.07.31-2)
- Classes for hierarchical browsing of data structures (documentation)
- starlink-dpac-java (1.0+2018.03.22-1)
- Java classes to process GAIA data
- starlink-dpac-java-doc (1.0+2018.03.22-1)
- Java classes to process GAIA data (Javadoc)
- starlink-fits-java (0.1+2017.11.10-1)
- Classes for general FITS handling
- starlink-fits-java-doc (0.1+2017.11.10-1)
- Classes for general FITS handling (javadoc)
- starlink-pal-java (1.0.1+2016.08.11-1)
- Starlink Positional Astronomy Library (Java version)
- starlink-pal-java-doc (1.0.1+2016.08.11-1)
- Starlink Positional Astronomy Library (Java version) - documentation
- starlink-registry-java (1.2+2016.05.03-1)
- Starlink IVOA registry access
- starlink-registry-java-doc (1.2+2016.05.03-1)
- Starlink IVOA registry access (API docs)
- starlink-table-java (3.3.2-1)
- Starlink Tables Infrastructure Library
- starlink-table-java-doc (3.3.2-1)
- Starlink Tables Infrastructure Library (documentation)
- starlink-task-java (0.2+2018.07.16-2)
- Java framework for invoking user-level tasks
- starlink-task-java-doc (0.2+2018.07.16-2)
- Java framework for invoking user-level tasks (javadoc)
- starlink-topcat-java (4.6.2-1)
- Tool for OPerations on Catalogues And Tables (Java library)
- starlink-topcat-java-doc (4.6.2-1)
- Tool for OPerations on Catalogues And Tables (JavaDoc)
- starlink-ttools-java (3.1.5-1)
- Starlink Tables Infrastructure Library Tool Set (Java library)
- starlink-ttools-java-doc (3.1.5-1)
- Starlink Tables Infrastructure Library Tool Set (Javadoc)
- starlink-util-java (1.0+2019.01.04-1)
- Miscellaneous utilities for the Starjava classes
- starlink-util-java-doc (1.0+2019.01.04-1)
- Miscellaneous utilities for the Starjava classes (documentation)
- starlink-vo-java (0.2+2018.10.25-3)
- Virtual Observatory access classes
- starlink-vo-java-doc (0.2+2018.10.25-3)
- Virtual Observatory access classes (javadoc)
- starlink-votable-java (2.0+2018.10.31-2)
- Classes for VOTable input and output
- starlink-votable-java-doc (2.0+2018.10.31-2)
- Classes for VOTable input and output (javadoc)
- starman (0.4014-3)
- high-performance preforking PSGI/Plack web server
- starplot (0.95.5-8.3)
- 3-dimensional perspective star map viewer
- starpu-any-examples
- virtueel pakket geboden door starpu-examples, starpu-contrib-examples
- starpu-any-tools
- virtueel pakket geboden door starpu-contrib-tools, starpu-tools
- starpu-contrib-examples (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines - exs
- starpu-contrib-tools (1.2.6+dfsg-6) [contrib]
- Task scheduler for heterogeneous multicore machines - tools
- starpu-examples (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines - exs
- starpu-tools (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines - tools
- starpu-top (1.2.6+dfsg-7)
- Task scheduler for heterogeneous multicore machines - top
- startpar (0.61-1)
- run processes in parallel and multiplex their output
- starvoyager (0.4.4-9)
- 2D space arcade game, themed around 'Star Trek' - binary
- starvoyager-data (0.4.4-9)
- 2D space arcade game, themed around 'Star Trek' - data files
- statcvs (1:0.7.0.dfsg-7)
- CVS Repository statistic analysis tool, written in Java
- statgrab (0.91-1+b2)
- sysctl-style access to system statistics
- staticsite (0.5-1)
- Static site generator
- statnews (2.6)
- Extracts useful statistics out of a newsgroup or mailing list
- statserial (1.1-23+b1)
- Displays serial port modem status lines
- statsprocessor (0.11+git20160316-1)
- word generator based on per-position Markov chains
- statsvn (0.7.0.dfsg-9)
- SVN repository statistics
- stax (1.37-1)
- collection of puzzle games similar to Tetris Attack
- stda (1.3.1-2)
- simple tools for data analysis (stda)
- stdsyslog (0.03.3-3)
- log a program's output to the system log
- ste-plugins (0.0.2-6)
- stereo LADSPA plugins
- stealth (4.01.11-1)
- stealthy File Integrity Checker
- stealth-doc (4.01.11-1)
- stealthy File Integrity Checker documentation
- steam (1.0.0.68-1~deb10u1) [non-free]
- Valve's Steam digital software delivery system
- steam-devices (1.0.0.68-1~deb10u1) [non-free]
- Device support for Steam-related hardware
- steamcmd (0~20180105-3) [non-free]
- Command-line interface for Valve's Steam
- steghide (0.5.1-13)
- steganography hiding tool
- steghide-doc (0.5.1-13)
- steganography hiding tool - documentation files
- stegosuite (0.8.0-2)
- steganography tool to hide information in image files
- stegsnow (20130616-4)
- steganography using ASCII files
- stella (6.0-1)
- Atari 2600 Emulator for SDL & the X Window System
- stellarium (0.18.3-1)
- real-time photo-realistic sky generator
- stellarium-data (0.18.3-1)
- Stellarium data files
- stenc (1.0.7-2)
- SCSI Tape Encryption Manager
- stenographer (0.0~git20180422.0.73ce5dd-1+b2)
- full-packet-capture utility -- server
- stenographer-client (0.0~git20180422.0.73ce5dd-1)
- full-packet-capture utility -- clients
- stenographer-common (0.0~git20180422.0.73ce5dd-1)
- full-packet-capture utility -- common files
- step (4:18.08.1-1+b1)
- interactive physical simulator for KDE
- stepic (0.4.1-1)
- Python 3 Steganography in Images
- steptalk (0.10.0-6+b6)
- GNUstep Scripting Framework
- stetl (1.2+ds-1)
- Streaming ETL - Commandline utility
- stex (1.2.1+git20171204.g5e4f0ca-3)
- typeset Scheme code with LaTeX
- stgit (0.18-1)
- manage stacks of patches in a git repository
- stgit-contrib (0.18-1)
- set of contributed script to help working with stgit
- stiff (2.4.0-3)
- convert scientific FITS images to the TIFF format
- stilts (3.1.5-1)
- Starlink Tables Infrastructure Library Tool Set
- stilts-doc (3.1.5-1)
- Starlink Tables Infrastructure Library Tool Set (documentation)
- stimfit (0.15.8-1+b1)
- Program for viewing and analyzing electrophysiological data
- stimfit-dbg (0.15.8-1+b1)
- Debug symbols for stimfit
- stk (4.5.2+dfsg-5+b2)
- Sound Synthesis Toolkit (example applications)
- stk-doc (4.5.2+dfsg-5)
- Sound Synthesis Toolkit (documentation)
- stl-manual (3.30-13.1)
- C++-STL documentation in HTML
- stlcmd (1.1-1)
- Suite of commands for generating, inspecting and manipulating STL files
- stlink-gui (1.5.1+ds-1)
- OpenSource ST-Link tools replacement.
- stlink-tools (1.5.1+ds-1)
- OpenSource ST-Link tools replacement.
- stm32flash (0.5-1+b1)
- STM32 chip flashing utility using a serial bootloader
- stockfish (9-2)
- strong chess engine, to play chess against
- stoken (0.92-1)
- Software Token for cryptographic authentication
- stompserver (0.9.9gem-4)
- stomp messaging server implemented in Ruby
- stopmotion (0.8.4-3)
- create stop-motion animations
- stops (0.3.0-2)
- Stop and instrument definitions for Aeolus
- stopwatch (3.5-6)
- Virtual stopwatch and timer
- storebackup (3.2.1-2~deb10u1)
- fancy compressing managing checksumming deduplicating hard-linking cp -ua
- storj (1.0.3-1)
- Command Line Tool for encrypted file transfer on the Storj network
- stormbaancoureur (2.1.6-2+b2)
- simulated obstacle course for automobiles
- stormbaancoureur-data (2.1.6-2)
- game data for Stormbaan Coureur
- storymaps (1.0+dfsg-3)
- Free story planning and writing application for children
- stow (2.2.2-1)
- Organizer for /usr/local software packages
- strace (4.26-0.2)
- System call tracer
- strace-udeb (4.26-0.2)
- System call tracer
- strace64 (4.26-0.2)
- System call tracer for 64bit binaries
- streamlink (1.0.0+dfsg-1)
- CLI for extracting video streams from various websites to a video player
- streamripper (1.64.6-1+b2)
- download online streams into audio files
- streamtuner2 (2.2.1+dfsg-2)
- Browser for Internet Radio Stations
- stress (1.0.4-4)
- tool to impose load on and stress test a computer system
- stress-ng (0.09.50-1)
- tool to load and stress a computer
- stressant (0.4.1)
- simple stress testing and burn-in tool
- stressant-doc (0.4.1)
- simple stress testing and burn-in tool (documentation)
- stressapptest (1.0.6-2+b2)
- stress test application for simulating high load situations
- stretchplayer (0.503-3+b1)
- Audio file player with time stretch and pitch shifting
- stretchplayer-dbg (0.503-3+b1)
- Debugging symbols for StretchPlayer
- strife-engine
- virtueel pakket geboden door chocolate-doom
- strip-nondeterminism (1.1.2-1)
- file non-deterministic information stripper — stand-alone tool
- strn
- virtueel pakket geboden door trn4
- strong-name-tool
- virtueel pakket geboden door mono-devel
- strongswan (5.7.2-1+deb10u4) [security]
- IPsec VPN solution metapackage
- strongswan-charon (5.7.2-1+deb10u4) [security]
- strongSwan Internet Key Exchange daemon
- strongswan-libcharon (5.7.2-1+deb10u4) [security]
- strongSwan charon library
- strongswan-nm (5.7.2-1+deb10u4) [security]
- strongSwan plugin to interact with NetworkManager
- strongswan-pki (5.7.2-1+deb10u4) [security]
- strongSwan IPsec client, pki command
- strongswan-scepclient (5.7.2-1+deb10u4) [security]
- strongSwan IPsec client, SCEP client
- strongswan-starter (5.7.2-1+deb10u4) [security]
- strongSwan daemon starter and configuration file parser
- strongswan-swanctl (5.7.2-1+deb10u4) [security]
- strongSwan IPsec client, swanctl command
- structure-synth (1.5.0-3+b1)
- application for creating 3D structures
- structure-synth-dbg (1.5.0-3+b1)
- application for creating 3D structures
- stterm (0.8.2-1)
- simple terminal emulator for X
- stubby (1.5.1-1)
- modern asynchronous DNS API (stub resolver)
- stumpwm (2:1.0.0-1)
- tiling, keyboard driven Common Lisp window manager
- stun
- virtueel pakket geboden door stun-server
- stun-client (0.97~dfsg-2.1+b1)
- Test client for STUN
- stun-server (0.97~dfsg-2.1+b1)
- Server daemon for STUN
- stun-server
- virtueel pakket geboden door coturn, ejabberd
- stunnel
- virtueel pakket geboden door stunnel4
- stunnel4 (3:5.50-3)
- Universal SSL tunnel for network daemons
- stx-btree-demo (0.9-2+b2)
- b+tree implementation in c++, demo program
- stx-btree-dev (0.9-2)
- b+tree implementation in c++
- stx-btree-doc (0.9-2)
- b+tree implementation in c++, doxygen documentation
- stx2any (1.56-2.1)
- Converter from structured plain text to other formats
- stylish-haskell (0.9.2.1-1)
- Haskell code prettifier
- stymulator (0.21a~dfsg-2+b1)
- Curses based player and converter for the YM chiptune format
- styx (2.0.1-1+b1)
- combined parser/scanner generator for C/C++
- styx-dev (2.0.1-1+b1)
- combined parser/scanner generator development files
- styx-doc (2.0.1-1)
- combined parser/scanner generator documentation
- subcommander (2.0.0~b5p2-6+b1)
- Graphical client for Subversion
- subcommander-doc (2.0.0~b5p2-6)
- User guide for subcommander
- subdownloader (2.0.19-1)
- subtitle download/upload manager for video files
- subnetcalc (2.1.3-1+b2 [amd64, armhf, i386], 2.1.3-1 [arm64])
- IPv4/IPv6 Subnet Calculator
- subread (1.6.3+dfsg-1)
- toolkit for processing next-gen sequencing data
- subread-data (1.6.3+dfsg-1)
- data files for subread package
- substance (7.3+dfsg3-4)
- cross-platform look & feel for Swing applications
- substance-doc (7.3+dfsg3-4)
- cross-platform look & feel for Swing applications - documentation
- substance-flamingo (7.3+dfsg3-4)
- Substance Flamingo plugin
- substance-flamingo-doc (7.3+dfsg3-4)
- Substance Flamingo plugin (documentation)
- substance-swingx (7.3+dfsg3-4)
- Swingx componennts for substance L&F
- substance-swingx-doc (7.3+dfsg3-4)
- Swingx componennts for substance L&F (documentation)
- subtitlecomposer (0.6.6-2+b3)
- text-based subtitle editor
- subtitleeditor (0.54.0-3)
- Graphical subtitle editor with sound waves representation
- subtle (0.11.3224-xi-2.2+b3)
- grid-based manual tiling window manager
- subunit (1.3.0-1+deb10u1) [security]
- command line tools for processing Subunit streams
- subuser (0.6.2-3)
- Run programs on Linux with selectively restricted permissions
- subversion (1.10.4-1+deb10u3)
- Advanced version control system
- subversion-tools (1.10.4-1+deb10u3)
- Assorted tools related to Apache Subversion
- suck (4.3.4-1)
- small newsfeed from an NNTP server with standard NNTP commands
- suckless-tools (44-1)
- simple commands for minimalistic window managers
- sucrack (1.2.3-5)
- multithreaded su bruteforcer
- sucrose (0.112-6)
- Sugar Learning Platform - Sucrose
- sudo (1.8.27-1+deb10u6) [security]
- Provide limited super user privileges to specific users
- sudo
- virtueel pakket geboden door sudo-ldap
- sudo-ldap (1.8.27-1+deb10u6) [security]
- Provide limited super user privileges to specific users
- sudoku (1.0.5-2+b3)
- console based sudoku
- suffixfsm (0.0~git20150829.56e4718-2)
- Go finite state machine generator for Porter2 stemmers
- sugar
- virtueel pakket geboden door sugar-session
- sugar-browse-activity (202-2)
- Sugar Learning Platform - web browsing activity
- sugar-calculate-activity (44-3)
- Sugar Learning Platform - calculation activity
- sugar-chat-activity (84-2)
- Sugar Learning Platform - text chatting activity
- sugar-etoys-activity (116-7) [contrib]
- Etoys activity for Sugar Learning Platform
- sugar-icon-theme (0.112-1)
- Sugar Learning Platform - icon theme
- sugar-imageviewer-activity (63-1)
- Sugar Learning Platform - image viewing activity
- sugar-jukebox-activity (33-1)
- Sugar Learning Platform - music jukebox activity
- sugar-log-activity (38-1)
- Sugar Learning Platform - log viewing and reporting activity
- sugar-memorize-activity (53-1)
- Sugar Learning Platform - matching game creation/playing activity
- sugar-pippy-activity (71~dfsg-1)
- Sugar Learning Platform - Python programming activity
- sugar-read-activity (120-2)
- Sugar Learning Platform - document viewer activity
- sugar-session (0.112-6)
- Sugar Learning Platform - window manager
- sugar-terminal-activity (45.2-1)
- Sugar Learning Platform - terminal emulation activity
- sugar-themes (0.112-1)
- Sugar Learning Platform - artwork
- sugar-write-activity (99-2)
- Sugar Learning Platform - writing activity
- sugarplum (0.9.10-18)
- automated and intelligent spam trap/cache-poisoner
- suitename (0.3.070628-2)
- categorize each suite in an RNA backbone
- sumaclust (1.0.31-2)
- fast and exact clustering of genomic sequences
- sumatra (1.0.31-2)
- fast and exact comparison and clustering of sequences
- sumo (1.1.0+dfsg1-1)
- Simulation of Urban MObility (SUMO)
- sumo-doc (1.1.0+dfsg1-1)
- Simulation of Urban MObility (SUMO), documentation and examples
- sumo-tools (1.1.0+dfsg1-1)
- Simulation of Urban MObility (SUMO), tools and scripts
- sumtrees (4.4.0-1)
- Phylogenetic Tree Summarization and Annotation
- sunclock (3.57-10)
- fancy clock showing time and geographical data
- sunclock-maps (3.57-10)
- sunclock vector graphic maps
- sunflow (0.07.2.svn396+dfsg-17)
- rendering system for photo-realistic image synthesis (GUI)
- sunpinyin-data (0.1.22+20170109-2)
- Statistical language model data from open-gram
- sunpinyin-utils (3.0.0~rc1+ds1-2)
- Simplified Chinese Input Method from SUN (utilities)
- sunxi-tools (1.4.2+git20181114.6d598a-3)
- tools for working with Allwinner (sunxi) ARM processors
- sup (20100519-1+b2)
- Software Upgrade Protocol implementation
- sup-mail (0.22.1-2)
- thread-centric mailer with tagging and fast search
- super (3.30.1-1)
- Execute commands setuid root
- supercat (0.5.6-1)
- program that colorizes text for terminals and HTML
- supercollider (1:3.10.0+repack-1)
- real time audio synthesis programming language
- supercollider-common (1:3.10.0+repack-1)
- common files for SuperCollider
- supercollider-common-dev
- virtueel pakket geboden door supercollider-dev
- supercollider-dev (1:3.10.0+repack-1)
- development files for SuperCollider
- supercollider-emacs (1:3.10.0+repack-1)
- SuperCollider mode for Emacs
- supercollider-gedit (1:3.10.0+repack-1)
- SuperCollider mode for Gedit
- supercollider-ide (1:3.10.0+repack-1)
- integrated development environment for supercollider audio system
- supercollider-language (1:3.10.0+repack-1)
- real time audio synthesis programming language
- supercollider-server (1:3.10.0+repack-1)
- real time audio synthesis server
- supercollider-server-dev
- virtueel pakket geboden door supercollider-dev
- supercollider-supernova (1:3.10.0+repack-1)
- real time audio synthesis server (multiprocessor version)
- supercollider-vim (1:3.10.0+repack-1)
- SuperCollider mode for Vim
- superiotool (0.0+r6637-1+b2)
- Super I/O detection tool
- superkb (0.23-2)
- Hotkey-based application launcher with on-screen hints
- supermin (5.1.20-1+b10)
- tool for building supermin appliances.
- supertransball2 (1.5-9)
- Thrust type of game
- supertransball2-data (1.5-9)
- data files for supertransball2
- supertux (0.6.0-1)
- Classic 2D jump 'n run sidescroller with Tux
- supertux-data (0.6.0-1)
- Classic 2D jump 'n run sidescroller with Tux (data files)
- supertuxkart (0.9.3-2)
- 3D kart racing game
- supertuxkart-data (0.9.3-2)
- 3D kart racing game (data)
- supertuxkart-dbg (0.9.3-2)
- 3D kart racing game (debug)
- supervisor (3.3.5-1)
- System for controlling process state
- supervisor-doc (3.3.5-1)
- Documentation for a supervisor
- supybot (0.84.0.ds-1)
- robust and user friendly Python IRC bot
- surankco (0.0.r5+dfsg-2)
- Supervised Ranking of Contigs in de novo Assemblies
- surf (2.0+git20181009-4)
- Simple web browser by suckless community
- surf-alggeo (1.0.6+ds-4)
- visualization of real algebraic geometry
- surf-alggeo-doc (1.0.6+ds-4)
- visualization of real algebraic geometry -- manual
- surf-alggeo-nox (1.0.6+ds-4)
- visualization of real algebraic geometry -- no X support
- surf-display (0.0.5-2)
- Kiosk browser session manager based on the surf browser
- surfraw (2.3.0-0.2)
- fast unix command line interface to WWW
- (2.3.0-0.2)
- extra surfraw search tools with heavy dependencies
- suricata (1:4.1.2-2+deb10u1)
- Next Generation Intrusion Detection and Prevention Tool
- suricata-oinkmaster (1:4.1.2-2+deb10u1)
- Integration package between suricata and oinkmaster
- suricata-update (1.0.3-2)
- tool for updating Suricata rules
- survex (1.2.38-1)
- cave surveying and mapping software
- survex-aven (1.2.38-1)
- sophisticated cave survey viewer for Survex
- sushi (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (metapackage)
- sushi-plugins (1.4.0+git20160822+dfsg-4.1)
- transitional dummy package
- susv2 (7.20180621) [contrib]
- Fetch and install SUSv2
- susv3 (7.20180621) [contrib]
- Fetch and install SUSv3
- susv4 (7.20180621) [contrib]
- Fetch and install SUSv4 (POSIX.1-2017)
- svdrpservice-dev (1.0.0-6)
- VDR svdrpservice plugin development files
- svgpart (4:17.08.3-1)
- KDE SVG KPart
- svgtoipe (1:7.2.7.2-1)
- converts SVG figures to an XML file readable by Ipe
- svgtune (0.2.0-2)
- tool to generate a set of .svg files out of a single .svg file
- sview (18.08.5.2-1+deb10u2)
- GUI to view and modify SLURM state
- svn-all-fast-export (1.0.13-2)
- fast-import based converter to convert repos from Subversion to git
- svn-buildpackage (0.8.7)
- helper programs to maintain Debian packages with Subversion
- svn-load (1.5-1)
- Enhanced import facility for Subversion
- svn-workbench (1.8.2-3)
- Workbench for Subversion
- svn2cl (0.14-1)
- Generate a GNU-style ChangeLog from Subversion repository history
- svn2git (2.4.0-2)
- Ruby tool for migrating svn projects to git
- svnkit (1.8.14-3)
- pure Java Subversion client
- svnmailer (1.0.9-3)
- extensible Subversion commit notification tool
- svtools (0.6-2)
- Utilities for daemontools and multilog
- svtplay-dl (2.1-1)
- program to download videos from video on demand sites
- svxlink-calibration-tools (17.12.2-4)
- Calibration tools for SvxLink amateur radio suite
- svxlink-gpio (17.12.2-4)
- GPIO control scripts SvxLink amateur radio server
- svxlink-server (17.12.2-4)
- Voice-over-IP server for ham radio operators
- svxreflector (17.12.2-4)
- Conference server for SvxLink amateur radio servers
- swac-get (0.3-2.1+b2)
- audio collections of words (SWAC) manager
- swaks (20181104.0-2)
- SMTP command-line test tool
- swami (2.0.0+svn389-5+b11)
- MIDI instrument editor application
- swaml (0.1.1-7)
- Semantic Web Archive of Mailing Lists
- swap-cwm (1.2.1-7)
- RDF/XML and RDF/N3 semantic web data processor
- swapspace (1.14-1)
- dynamic swap space manager
- swarm (2.2.2+dfsg-2)
- robust and fast clustering method for amplicon-based studies
- swarp (2.38.0+dfsg-4)
- Resample and co-add together FITS images
- swarp
- virtueel pakket geboden door suckless-tools
- swatch (3.2.4-2)
- Log file viewer with regexp matching, highlighting & hooks
- swath (0.6.1-1)
- Thai word segmentation program
- swath-data (0.6.1-1)
- Data for swath Thai word segmentation program
- swauth (1.3.0-2)
- alternative authentication system for Swift
- swauth-doc (1.3.0-2)
- alternative authentication system for Swift - documentation
- swe-basic-data (1.80.00.0002-1)
- basic data files for the libswe package
- swe-standard-data (00004-1)
- standard data for the Swiss Ephemeris
- sweed (3.2.1+dfsg-1)
- assessment of SNPs for their evolutionary advantage
- sweep (0.9.3-8+b1)
- Audio editor and live playback tool
- sweep-dev (0.9.3-8)
- Audio editor and live playback tool (development)
- sweeper (4:18.04.1-1)
- history and temporary file cleaner
- sweethome3d (6.1.2+dfsg-2)
- Interior 2D design application with 3D preview
- sweethome3d-furniture (1.6.4-1)
- Interior 2D design application with 3D preview (additional furniture)
- sweethome3d-furniture-editor (1.24-2)
- Sweet Home 3D Furniture Library Editor
- sweethome3d-furniture-nonfree (1.6.2-2) [non-free]
- Interior 2D design application with 3D preview (additional non-free furniture)
- sweethome3d-textures-editor (1.6-2)
- Sweet Home 3D Textures Library Editor
- swell-foop (1:3.30.0-2)
- Colored ball puzzle game
- swfmill (0.3.6-1)
- xml2swf and swf2xml processor
- swh-lv2 (1.0.16+git20160519~repack0-3)
- Steve Harris's SWH plugins ported to LV2
- swh-plugins (0.4.17-2)
- Steve Harris's LADSPA plugins
- swi-prolog (8.0.2+dfsg-3+deb10u1)
- ISO/Edinburgh-style Prolog interpreter
- swi-prolog-bdb (8.0.2+dfsg-3+deb10u1)
- Berkeley DB interface for SWI-Prolog
- swi-prolog-doc (5.6.59-2)
- Documentation for SWI-Prolog interpreter and XPCE
- swi-prolog-java (8.0.2+dfsg-3+deb10u1)
- Bidirectional interface between SWI-Prolog and Java
- swi-prolog-nox (8.0.2+dfsg-3+deb10u1)
- ISO/Edinburgh-style Prolog interpreter (without X support)
- swi-prolog-odbc (8.0.2+dfsg-3+deb10u1)
- ODBC library for SWI-Prolog
- swi-prolog-x (8.0.2+dfsg-3+deb10u1)
- User interface library for SWI-Prolog (with X support)
- swift (2.19.1-1+deb10u1) [security]
- distributed virtual object store - common files
- swift-account (2.19.1-1+deb10u1) [security]
- distributed virtual object store - account server
- swift-bench (1.2.0-4)
- benchmarking tool for Swift
- swift-container (2.19.1-1+deb10u1) [security]
- distributed virtual object store - container server
- swift-doc (2.19.1-1+deb10u1) [security]
- distributed virtual object store - documentation
- swift-drive-audit (2.19.1-1+deb10u1) [security]
- distributed virtual object store - drive audit
- swift-object (2.19.1-1+deb10u1) [security]
- distributed virtual object store - object server
- swift-object-expirer (2.19.1-1+deb10u1) [security]
- distributed virtual object store - object-expirer
- swift-proxy (2.19.1-1+deb10u1) [security]
- distributed virtual object store - proxy server
- swig (3.0.12-2)
- Generate scripting interfaces to C/C++ code
- swig-doc (3.0.12-2)
- HTML documentation for SWIG
- swig-examples (3.0.12-2)
- Examples for applications of SWIG
- swig-sphinxbase (0.8+5prealpha+1-3)
- Speech recognition tool - swig helpers
- swig3.0 (3.0.12-2)
- Generate scripting interfaces to C/C++ code
- swig3.0-doc (3.0.12-2)
- HTML documentation for SWIG
- swig3.0-examples (3.0.12-2)
- Examples for applications of SWIG
- swish (0.10.0.1-1)
- semantic web toolkit - tools
- swish++ (6.1.5-5)
- Simple Document Indexing System for Humans: C++ version
- swish-e (2.4.7-6+b1)
- Simple Web Indexing System for Humans - Enhanced
- swish-e-dev (2.4.7-6+b1)
- Simple Web Indexing System for Humans - Enhanced
- swissknife
- virtueel pakket geboden door libswiss-perl
- swisswatch (0.6-18)
- Swiss Railway Clock for the X Window System
- switchconf (0.0.15-1)
- switch between system configuration sets
- switcheroo-control (1.2-2)
- D-Bus service to check the availability of dual-GPU
- switchsh (0~20070801-4)
- bind-mount bash as /bin/sh
- sword-comm
- virtueel pakket geboden door sword-comm-scofield, sword-comm-mhcc, sword-comm-tdavid
- sword-comm-mhcc (1.1.0.1-4)
- Matthew Henry Concise Commentary for SWORD
- sword-comm-scofield (1.0.1-4)
- Scofield Reference Notes, 1917 edition for SWORD
- sword-comm-tdavid (1.1.1-4)
- C. H. Spurgeon's Treasury of David for SWORD
- sword-dict
- virtueel pakket geboden door sword-dict-naves, sword-dict-strongs-hebrew, sword-dict-strongs-greek
- sword-dict-naves (1.1.0.1-4)
- Naves Topical Bible for SWORD
- sword-dict-strongs-greek (1.2.1-4)
- Strong's Greek Bible Dictionary for SWORD
- sword-dict-strongs-hebrew (1.2.1-4)
- Strong's Hebrew Bible Dictionary for SWORD
- sword-frontend
- virtueel pakket geboden door xiphos, diatheke, bibletime
- sword-text
- virtueel pakket geboden door sword-text-sparv, sword-text-kjv, sword-text-web
- sword-text-kjv (2.9.1-4)
- King James Version with Strongs Numbers for SWORD
- sword-text-sparv (1.6.1-4)
- Spanish Reina-Valera Bible (1909) for SWORD
- sword-text-web (3.1.1-4)
- World English Bible (WEB) for SWORD
- sx (2.0+ds-4+b1)
- Scalable public and private cloud storage
- sxhkd (0.5.9-1)
- Simple X hotkey daemon
- sxid (4.20130802-1+b1)
- suid, sgid file and directory checking
- sxiv (25-1)
- simple X image viewer
- sylfilter (0.8-6)
- generic spam filter tool
- sylph-searcher (1.2.0-13)
- Full-text search program for Sylpheed or MH folders
- sylpheed (3.7.0-4)
- Light weight e-mail client with GTK+
- sylpheed-doc (20140827-2)
- Light weight e-mail client with GTK+ (documentation)
- sylpheed-i18n (3.7.0-4)
- Locale data for Sylpheed (i18n support)
- sylpheed-plugins (3.7.0-4)
- Loadable modules for extending Sylpheed features
- sylseg-sk (0.7.2-2)
- Syllabic segmentation for Slovak language
- symlinks (1.4-3+b1)
- scan/change symbolic links
- symmetrica-doc (2.0+ds-6)
- Symmetrica Combinatoric C Library -- documentation
- sympa (6.2.40~dfsg-1+deb10u1)
- Modern mailing list manager
- sympathy (1.2.1+woking+cvs+git20171124-1)
- serial port concentrator system - server/client program
- sympow (2.023.5-2)
- mathematical program for SYMmetric POWer elliptic curve L-functions -- program
- sympow-data (2.023.5-2)
- mathematical program for SYMmetric POWer elliptic curve L-functions -- shared
- synapse (0.2.99.4-2)
- semantic file launcher
- synaptic (0.84.6)
- Grafische pakketbeheerder
- sync-ui (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (GTK+ GUI)
- syncache (1.4-1)
- Thread-safe time-limited cache for Ruby
- syncevolution (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (CLI)
- syncevolution-common (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (common files)
- syncevolution-dbus (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (D-Bus support)
- syncevolution-http (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (HTTP server)
- syncevolution-libs (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (backend libraries)
- syncevolution-libs-gnome (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (GNOME backend)
- syncevolution-libs-kde (1.5.3-2)
- Sync personal information data via SyncML/CalDAV/CardDAV (KDE backend)
- syncmaildir (1.3.0-1)
- mailbox synchronization tools
- syncmaildir-applet (1.3.0-1)
- mailbox synchronization tools - GNOME applet
- syncthing (1.0.0~ds1-1+deb10u1) [security]
- decentralized file synchronization
- syncthing-discosrv (1.0.0~ds1-1+deb10u1) [security]
- decentralized file synchronization - discovery server
- syncthing-gtk (0.9.4.3-1)
- GTK3-based GUI and notification area icon for syncthing
- syncthing-relaysrv (1.0.0~ds1-1+deb10u1) [security]
- decentralized file synchronization - relay server
- syndie (1.107b-3)
- system for operating distributed forums
- synfig (1.2.2-1)
- vector-based 2D animation renderer
- synfig-examples (1.2.2-1)
- synfig animation examples
- synfigstudio (1.2.2-1)
- vector-based 2D animation package (graphical user interface)
- synopsis (0.12-10)
- source-code Introspection Tool
- synopsis-doc (0.12-10)
- Documentation for synopsis
- synopsis-idl (0.12-10)
- IDL parser for synopsis
- synthv1 (0.8.6-1)
- old-school polyphonic synthesizer - standalone
- synthv1-common (0.8.6-1)
- old-school polyphonic synthesizer - common files
- synthv1-lv2 (0.8.6-1)
- old-school polyphonic synthesizer - LV2 plugin
- syrep (0.9-4.3)
- A generic file repository synchronization tool
- syrthes (4.3.0-dfsg1-3)
- Transient thermal simulations in complex solid geometries
- syrthes-gui (4.3.0-dfsg1-3)
- Transient thermal simulations in complex solid geometries - GUI
- syrthes-tests (4.3.0-dfsg1-3)
- Test cases for SYRTHES
- syrthes-tools (4.3.0-dfsg1-3)
- Transient thermal simulations in complex solid geometries - tools
- sysconftool (0.17-1)
- development tool to install and update configuration files
- sysfsutils (2.1.0+repack-5)
- sysfs query tool and boot-time setup
- syslinux (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (DOS FAT and NTFS bootloader)
- syslinux-common (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (common)
- syslinux-efi (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (UEFI bootloader)
- syslinux-utils (3:6.04~git20190206.bf6db5b4+dfsg1-1)
- collection of bootloaders (utilities)
- syslog-nagios-bridge (1.0.3-1)
- Syslog to Nagios integration
- syslog-ng (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (metapackage)
- syslog-ng-core (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (core)
- syslog-ng-dbg (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (debug symbols)
- syslog-ng-dev (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (development files)
- syslog-ng-mod-add-contextual-data (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (add-contextual-data plugin)
- syslog-ng-mod-amqp (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (AMQP plugin)
- syslog-ng-mod-examples (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (example plugins)
- (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (extra plugins)
- syslog-ng-mod-geoip (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (GeoIP plugin)
- syslog-ng-mod-geoip2 (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (GeoIP2 plugin)
- syslog-ng-mod-getent (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (getent plugin)
- syslog-ng-mod-graphite (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (graphite plugin)
- syslog-ng-mod-journal (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (systemd journal plugin)
- syslog-ng-mod-map-value-pairs (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (map-value-pairs plugin)
- syslog-ng-mod-mongodb (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (MongoDB plugin)
- syslog-ng-mod-pacctformat (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (getent)
- syslog-ng-mod-python (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (Python plugin)
- syslog-ng-mod-redis (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (Redis plugin)
- syslog-ng-mod-riemann (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (Riemann destination)
- syslog-ng-mod-smtp (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (SMTP plugin)
- syslog-ng-mod-snmptrapd-parser (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (snmptrapd-parser plugin)
- syslog-ng-mod-sql (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (SQL plugin)
- syslog-ng-mod-stardate (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (stardate plugin)
- syslog-ng-mod-stomp (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (STOMP plugin)
- syslog-ng-mod-tag-parser (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (tag parser plugin)
- syslog-ng-mod-xml-parser (3.19.1-5+deb10u1) [security]
- Enhanced system logging daemon (xml parser plugin)
- syslog-summary (1.14-2.1)
- summarize the contents of a syslog log file
- syslogout (0.3.9)
- Modularized system wide shell logout mechanism
- sysnews (0.9-18)
- program to display system news
- sysprof (3.30.2-2)
- system-wide Linux profiler
- sysprofile (0.3.9)
- Modularized system wide shell configuration mechanism
- sysrqd (14-1+b2)
- small daemon intended to manage Linux SysRq over network
- sysstat (12.0.3-2+deb10u2) [security]
- system performance tools for Linux
- system-boot
- virtueel pakket geboden door open-infrastructure-system-boot
- system-build
- virtueel pakket geboden door open-infrastructure-system-build
- system-config
- virtueel pakket geboden door open-infrastructure-system-config
- system-config-printer (1.5.11-4)
- graphical interface to configure the printing system
- system-config-printer-common (1.5.11-4)
- backend and the translation files for system-config-printer
- system-config-printer-udev (1.5.11-4)
- Utilities to detect and configure printers automatically
- system-images
- virtueel pakket geboden door open-infrastructure-system-images
- system-log-daemon
- virtueel pakket geboden door inetutils-syslogd, rsyslog, busybox-syslogd, syslog-ng-core
- system-tools-backends (2.10.2-3+b1)
- System Tools to manage computer configuration -- scripts
- system-tools-backends-dev (2.10.2-3)
- System Tools to manage computer configuration -- development files
- systemd (241-7~deb10u10) [security]
- system and service manager
- systemd-bootchart (233-2)
- boot performance graphing tool
- systemd-container (241-7~deb10u10) [security]
- systemd container/nspawn tools
- systemd-coredump (241-7~deb10u10) [security]
- tools for storing and retrieving coredumps
- systemd-cron (1.5.14-2)
- systemd units to provide cron daemon & anacron functionality
- systemd-journal-remote (241-7~deb10u10) [security]
- tools for sending and receiving remote journal logs
- systemd-sysv (241-7~deb10u10) [security]
- system and service manager - SysV links
- systemd-tests (241-7~deb10u10) [security]
- tests for systemd
- systempreferences.app (1.2.0-2+b5)
- GNUstep preferences application
- systempreferences.app-dbg (1.2.0-2+b5)
- GNUstep preferences application - debugging symbols
- systemsettings (4:5.14.5-1.1)
- System Settings interface
- systemtap (4.0-1)
- instrumentation system for Linux
- systemtap-client (4.0-1)
- instrumentation system for Linux (client for compile server)
- systemtap-common (4.0-1)
- instrumentation system for Linux (common component)
- systemtap-doc (4.0-1)
- documentation and examples for SystemTap
- systemtap-runtime (4.0-1)
- instrumentation system for Linux (runtime component)
- systemtap-sdt-dev (4.0-1)
- statically defined probes development files
- systemtap-server (4.0-1)
- instrumentation system for Linux (compile server)
- systraq (20160803-3)
- monitor your system and warn when system files change
- systray-mdstat (1.1.0-1)
- Notifies about Linux Software RAID changes in system tray
- systune (0.5.7)
- kernel tuning through the /proc file system
- sysuser-helper (1.3.3)
- dh-sysuser implementation detail
- sysv-rc (2.93-8)
- System-V-like runlevel change mechanism
- sysv-rc-conf (0.99-7)
- SysV init runlevel configuration tool for the terminal
- sysvbanner (1:1.0-16)
- System-V banner clone
- sysvinit-core (2.93-8)
- System-V-stijl init hulpprogramma's
- sysvinit-utils (2.93-8)
- System-V-achtige hulpprogramma's
- t-code (2:2.3.1-8)
- Japanese direct input method environment for emacsen
- t-code-common (2:2.3.1-8)
- Japanese direct input method environment - common files
- t-coffee (12.00.7fb08c2-4)
- Multiple Sequence Alignment
- t-coffee-examples (12.00.7fb08c2-4)
- annotated examples for the use of T-Coffee
- t-prot (3.4-4)
- display filter for Internet messages
- t1-cyrillic (4.17)
- Een basisset van vrije Postscript lettertypen
- t1-oldslavic (4.17)
- OldSlavic -- a Cyrillic Type1 font with medieval design
- t1-teams (4.17)
- Teams -- een PostScript lettertype dat ASCII en basis Cyrillisch beslaat
- t1-xfree86-nonfree (4.2.1-5) [non-free]
- non-free Postscript Type 1 fonts from XFree86
- t1utils (1.41-3)
- Collection of simple Type 1 font manipulation programs
- t2html (2016.1020+git294e8d7-1)
- text to HTML converter implemented in Perl
- t2n (0.6-6)
- Simple command-line tool for LEGO Mindstorms NXT
- t3highlight (0.4.6-1)
- Command-line syntax highligher
- t50 (5.8.3-2)
- Multi-protocol packet injector tool
- tabbed
- virtueel pakket geboden door suckless-tools
- tabble (0.43-3)
- program launcher with tabs for X
- tabix (1.9-12~deb10u1)
- generic indexer for TAB-delimited genome position files
- tableau-parm (0.2.0-5)
- tableau write-blocking bridge query/command utility
- tablix2 (0.3.5-3.1+b1)
- Kernel for solving general timetabling problems
- tacacs+ (4.0.4.27a-3)
- TACACS+ authentication daemon
- tachyon (0.99~b6+dsx-9)
- Parallel/Multiprocessor Standalone Ray Tracer - metapackage
- tachyon-bin
- virtueel pakket geboden door tachyon-bin-nox, tachyon-bin-ogl
- tachyon-bin-nox (0.99~b6+dsx-9)
- Parallel/Multiprocessor Standalone Ray Tracer - with no X support
- tachyon-bin-ogl (0.99~b6+dsx-9)
- Parallel/Multiprocessor Standalone Ray Tracer - with OpenGL display
- tachyon-doc (0.99~b6+dsx-9)
- Parallel/Multiprocessor Ray Tracing System - reference manual
- tack (1.08-1+b1)
- terminfo action checker
- tads2-interpreter
- virtueel pakket geboden door gargoyle-free
- tads3-interpreter
- virtueel pakket geboden door gargoyle-free
- taffybar (1.0.1-1)
- desktop bar extensible in Haskell
- tagainijisho (1.0.2-2)
- Japanese dictionary and learning assistant
- tagainijisho-common (1.0.2-2)
- Common files for Tagaini Jisho
- tagainijisho-dic-de (1.0.2-2)
- German dictionary files for Tagaini Jisho
- tagainijisho-dic-en (1.0.2-2)
- English dictionary files for Tagaini Jisho
- tagainijisho-dic-es (1.0.2-2)
- Spanish dictionary files for Tagaini Jisho
- tagainijisho-dic-fr (1.0.2-2)
- French dictionary files for Tagaini Jisho
- tagainijisho-dic-it (1.0.2-2)
- Italian dictionary files for Tagaini Jisho
- tagainijisho-dic-pt (1.0.2-2)
- Portuguese dictionary files for Tagaini Jisho
- tagainijisho-dic-ru (1.0.2-2)
- Russian dictionary files for Tagaini Jisho
- tagainijisho-dic-th (1.0.2-2)
- Thai dictionary files for Tagaini Jisho
- tagainijisho-dic-tr (1.0.2-2)
- Turkish dictionary files for Tagaini Jisho
- tagcloud (1.4-1.2)
- Visualize tagged notes as a cloud
- tagcoll (2.0.14-2)
- Commandline tool to perform operations on tagged collections
- taggrepper (0.05-3)
- search and match tags of audio files against regular expressions
- taglog (0.2.5-1)
- Personal time management system
- tagua (1.0~alpha2-16-g618c6a0-2)
- Board-game frontend for playing chess variants and other games
- tagua-data (1.0~alpha2-16-g618c6a0-2)
- Theme data for the tagua board-game frontend
- tahoe-lafs (1.12.1-5)
- Secure distributed file store
- taktuk (3.7.7-1)
- efficient, large scale, parallel remote execution of commands
- tali (1:3.22.0-3)
- sort of poker with dice and less money
- talk (0.17-16)
- Chat with another user
- talk
- virtueel pakket geboden door inetutils-talk
- talkd (0.17-16)
- Remote user communication server
- talkd
- virtueel pakket geboden door inetutils-talkd
- talksoup.app (1.0alpha-32-g55b4d4e-2+b5)
- IRC client for GNUstep
- tamil-gtk2im (2.2-6)
- Tamil input method for GTK-2.0
- tandem-mass (1:201702011-1)
- mass spectrometry software for protein identification
- tang (7-1+deb10u2) [security]
- network-based cryptographic binding server
- tangerine (0.3.4-6.1)
- music server using DAAP
- tangerine-dbg (0.3.4-6.1)
- music server using DAAP (debug symbols)
- tangerine-icon-theme (0.26.debian-5) [non-free]
- Tangerine Icon theme
- tanglet (1.5.3-2)
- single player word finding game based on Boggle
- tanglet-data (1.5.3-2)
- single player word finding game based on Boggle - data files
- tango-accesscontrol (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - accesscontrol server
- tango-common (9.2.5a+dfsg1-2)
- TANGO distributed control system - common files
- tango-db (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - database server
- tango-icon-theme (0.8.90-7)
- Tango icon library
- tango-starter (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - starter server
- tango-test (9.2.5a+dfsg1-2+b2)
- TANGO distributed control system - test device
- tantan (22-1)
- low complexity and tandem repeat masker for biosequences
- tao-pegtl-dev (2.7.1-1)
- Parsing Expression Grammar Template Library
- taopm (1.0-6)
- Sound synthesis software with physical models
- tap-plugins (1.0.0-1)
- Tom's Audio Processing LADSPA plugins
- tap-plugins-doc (20140526-3)
- TAP-plugins documentation
- tapecalc (20070214-2+b3)
- a full-screen tape editor that lets the user edit a calculation
- tappy (2.5-2)
- TAP consumer tool
- taptempo (1.4.4-1)
- command line tap tempo
- tar (1.30+dfsg-6+deb10u1) [security]
- GNU versie van het tar archiveringsprogramma
- tar-doc (1.30-1) [non-free]
- documentation for the tar package
- tar-scripts (1.30+dfsg-6+deb10u1) [security]
- optional scripts for GNU version of the tar archiving utility
- tar-split (0.10.2-1+b11)
- tar archive assembly/disassembly
- tarantool (1.9.1.26.g63eb81e3c-1.1)
- In-memory database with a Lua application server
- tarantool-common (1.9.1.26.g63eb81e3c-1.1)
- Tarantool in-memory database - common files
- tarantool-dev (1.9.1.26.g63eb81e3c-1.1)
- Tarantool in-memory database - development headers
- tarantool-lts (1.5.5.37.g1687c02-1+b2)
- In-memory database with Lua application server
- tarantool-lts-client (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - command line client
- tarantool-lts-common (1.5.5.37.g1687c02-1)
- Tarantool in-memory database - common files
- tarantool-lts-dev (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - development headers
- tarantool-lts-modules (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - all plug-ins bundle
- tarantool-lts-mysql-module (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - MySQL connector
- tarantool-lts-postgresql-module (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - PostgreSQL connector
- tarantool-lts-sophia-module (1.5.5.37.g1687c02-1+b2)
- Tarantool in-memory database - sophia bindings
- tardiff (0.1-5)
- Tarball comparison tool
- tardy (1.25-1+b1 [amd64, armhf, i386], 1.25-1 [arm64])
- post-processor for tar command
- targetcli-fb (2.1.48-2)
- Command shell for managing the Linux LIO kernel target
- tarlz (0.13-1)
- archiver with multimember lzip compression
- tart (3.10-1+b1)
- versatile and feature-rich email signature generator
- task-albanian-desktop (3.53)
- Albanian desktop
- task-amharic (3.53)
- Amharic environment
- task-amharic-desktop (3.53)
- Amharic desktop
- task-amharic-kde-desktop (3.53)
- Amharic KDE Plasma desktop
- task-arabic (3.53)
- Arabic environment
- task-arabic-desktop (3.53)
- Arabic desktop
- task-arabic-kde-desktop (3.53)
- Arabic KDE Plasma desktop
- task-asturian (3.53)
- Asturian environment
- task-asturian-desktop (3.53)
- Asturian desktop
- task-basque (3.53)
- Basque environment
- task-basque-desktop (3.53)
- Basque desktop
- task-basque-kde-desktop (3.53)
- Basque KDE Plasma desktop
- task-belarusian (3.53)
- Belarusian environment
- task-belarusian-desktop (3.53)
- Belarusian desktop
- task-belarusian-kde-desktop (3.53)
- Belarusian KDE Plasma desktop
- task-bengali (3.53)
- Bengali environment
- task-bengali-desktop (3.53)
- Bengali desktop
- task-bengali-kde-desktop (3.53)
- Bengali KDE Plasma desktop
- task-bosnian (3.53)
- Bosnian environment
- task-bosnian-desktop (3.53)
- Bosnian desktop
- task-bosnian-kde-desktop (3.53)
- Bosnian KDE Plasma desktop
- task-brazilian-portuguese (3.53)
- Brazilian Portuguese environment
- task-brazilian-portuguese-desktop (3.53)
- Brazilian Portuguese desktop
- task-brazilian-portuguese-kde-desktop (3.53)
- Brazilian Portuguese KDE Plasma desktop
- task-british-desktop (3.53)
- British English desktop
- task-british-kde-desktop (3.53)
- British KDE Plasma desktop
- task-bulgarian (3.53)
- Bulgarian environment
- task-bulgarian-desktop (3.53)
- Bulgarian desktop
- task-bulgarian-kde-desktop (3.53)
- Bulgarian KDE Plasma desktop
- task-catalan (3.53)
- Catalan environment
- task-catalan-desktop (3.53)
- Catalan desktop
- task-catalan-kde-desktop (3.53)
- Catalan KDE Plasma desktop
- task-chinese-s (3.53)
- Simplified Chinese environment
- task-chinese-s-desktop (3.53)
- Simplified Chinese desktop
- task-chinese-s-kde-desktop (3.53)
- Simplified Chinese KDE Plasma desktop
- task-chinese-t (3.53)
- Traditional Chinese environment
- task-chinese-t-desktop (3.53)
- Traditional Chinese desktop
- task-chinese-t-kde-desktop (3.53)
- Traditional Chinese KDE Plasma desktop
- task-cinnamon-desktop (3.53)
- Cinnamon
- task-croatian (3.53)
- Croatian environment
- task-croatian-desktop (3.53)
- Croatian desktop
- task-croatian-kde-desktop (3.53)
- Croatian KDE Plasma desktop
- task-cyrillic (3.53)
- Cyrillic environment
- task-cyrillic-desktop (3.53)
- Cyrillic desktop
- task-cyrillic-kde-desktop (3.53)
- Cyrillic KDE Plasma desktop
- task-czech (3.53)
- Czech environment
- task-czech-desktop (3.53)
- Czech desktop
- task-czech-kde-desktop (3.53)
- Czech KDE Plasma desktop
- task-danish (3.53)
- Danish environment
- task-danish-desktop (3.53)
- Danish desktop
- task-danish-kde-desktop (3.53)
- Danish KDE Plasma desktop
- task-desktop (3.53)
- Debian desktop environment
- task-dutch (3.53)
- Dutch environment
- task-dutch-desktop (3.53)
- Dutch desktop
- task-dutch-kde-desktop (3.53)
- Dutch KDE Plasma desktop
- task-dzongkha-desktop (3.53)
- Dzongkha desktop
- task-dzongkha-kde-desktop (3.53)
- Dzongkha KDE Plasma desktop
- task-english (3.53)
- General English environment
- task-esperanto (3.53)
- Esperanto environment
- task-esperanto-desktop (3.53)
- Esperanto desktop
- task-esperanto-kde-desktop (3.53)
- Esperanto KDE Plasma desktop
- task-estonian (3.53)
- Estonian environment
- task-estonian-desktop (3.53)
- Estonian desktop
- task-estonian-kde-desktop (3.53)
- Estonian KDE Plasma desktop
- task-finnish (3.53)
- Finnish environment
- task-finnish-desktop (3.53)
- Finnish desktop
- task-finnish-kde-desktop (3.53)
- Finnish KDE Plasma desktop
- task-french (3.53)
- French environment
- task-french-desktop (3.53)
- French desktop
- task-french-kde-desktop (3.53)
- French KDE Plasma desktop
- task-galician (3.53)
- Galician environment
- task-galician-desktop (3.53)
- Galician desktop
- task-galician-kde-desktop (3.53)
- Galician KDE Plasma desktop
- task-georgian-desktop (3.53)
- Georgian desktop
- task-german (3.53)
- German environment
- task-german-desktop (3.53)
- German desktop
- task-german-kde-desktop (3.53)
- German KDE Plasma desktop
- task-gnome-desktop (3.53)
- GNOME
- task-greek (3.53)
- Greek environment
- task-greek-desktop (3.53)
- Greek desktop
- task-greek-kde-desktop (3.53)
- Greek KDE Plasma desktop
- task-gujarati (3.53)
- Gujarati environment
- task-gujarati-desktop (3.53)
- Gujarati desktop
- task-gujarati-kde-desktop (3.53)
- Gujarati KDE Plasma desktop
- task-hebrew (3.53)
- Hebrew environment
- task-hebrew-desktop (3.53)
- Hebrew desktop
- task-hebrew-gnome-desktop (3.53)
- Hebrew GNOME desktop
- task-hebrew-kde-desktop (3.53)
- Hebrew KDE Plasma desktop
- task-hindi (3.53)
- Hindi environment
- task-hindi-desktop (3.53)
- Hindi desktop
- task-hindi-kde-desktop (3.53)
- Hindi KDE Plasma desktop
- task-hungarian (3.53)
- Hungarian environment
- task-hungarian-desktop (3.53)
- Hungarian desktop
- task-hungarian-kde-desktop (3.53)
- Hungarian KDE Plasma desktop
- task-icelandic (3.53)
- Icelandic environment
- task-icelandic-desktop (3.53)
- Icelandic desktop
- task-icelandic-kde-desktop (3.53)
- Icelandic KDE Plasma desktop
- task-indonesian-desktop (3.53)
- Indonesian desktop
- task-indonesian-kde-desktop (3.53)
- Indonesian KDE Plasma desktop
- task-irish (3.53)
- Irish environment
- task-irish-desktop (3.53)
- Irish desktop
- task-irish-kde-desktop (3.53)
- Irish KDE Plasma desktop
- task-italian (3.53)
- Italian environment
- task-italian-desktop (3.53)
- Italian desktop
- task-italian-kde-desktop (3.53)
- Italian KDE Plasma desktop
- task-japanese (3.53)
- Japanese environment
- task-japanese-desktop (3.53)
- Japanese desktop
- task-japanese-gnome-desktop (3.53)
- Japanese GNOME desktop
- task-japanese-kde-desktop (3.53)
- Japanese KDE Plasma desktop
- task-kannada-desktop (3.53)
- Kannada desktop
- task-kannada-kde-desktop (3.53)
- Kannada KDE Plasma desktop
- task-kazakh (3.53)
- Kazakh environment
- task-kazakh-desktop (3.53)
- Kazakh desktop
- task-kazakh-kde-desktop (3.53)
- Kazakh KDE Plasma desktop
- task-kde-desktop (3.53)
- KDE Plasma
- task-khmer (3.53)
- Khmer environment
- task-khmer-desktop (3.53)
- Khmer desktop
- task-khmer-kde-desktop (3.53)
- Khmer KDE Plasma desktop
- task-korean (3.53)
- Korean environment
- task-korean-desktop (3.53)
- Korean desktop
- task-korean-gnome-desktop (3.53)
- Korean GNOME desktop
- task-korean-kde-desktop (3.53)
- Korean KDE Plasma desktop
- task-kurdish (3.53)
- Kurdish environment
- task-kurdish-desktop (3.53)
- Kurdish desktop
- task-kurdish-kde-desktop (3.53)
- Kurdish KDE Plasma desktop
- task-laptop (3.53)
- laptop
- task-latvian (3.53)
- Latvian environment
- task-latvian-desktop (3.53)
- Latvian desktop
- task-latvian-kde-desktop (3.53)
- Latvian KDE Plasma desktop
- task-lithuanian (3.53)
- Lithuanian environment
- task-lithuanian-desktop (3.53)
- Lithuanian desktop
- task-lithuanian-kde-desktop (3.53)
- Lithuanian KDE Plasma desktop
- task-lxde-desktop (3.53)
- LXDE
- task-lxqt-desktop (3.53)
- LXQt
- task-macedonian (3.53)
- Macedonian environment
- task-macedonian-desktop (3.53)
- Macedonian desktop
- task-macedonian-kde-desktop (3.53)
- Macedonian KDE Plasma desktop
- task-malayalam (3.53)
- Malayalam environment
- task-malayalam-desktop (3.53)
- Malayalam desktop
- task-malayalam-gnome-desktop (3.53)
- Malayalam GNOME desktop
- task-malayalam-kde-desktop (3.53)
- Malayalam KDE Plasma desktop
- task-marathi (3.53)
- Marathi environment
- task-marathi-desktop (3.53)
- Marathi desktop
- task-mate-desktop (3.53)
- MATE
- task-nepali-desktop (3.53)
- Nepali desktop
- task-nepali-kde-desktop (3.53)
- Nepali KDE Plasma desktop
- task-northern-sami (3.53)
- Northern Sami environment
- task-northern-sami-desktop (3.53)
- Northern Sami desktop
- task-norwegian (3.53)
- Norwegian (Bokmaal and Nynorsk) environment
- task-norwegian-desktop (3.53)
- Norwegian (Bokmaal and Nynorsk) desktop
- task-norwegian-kde-desktop (3.53)
- Norwegian KDE Plasma desktop
- task-persian (3.53)
- Persian environment
- task-persian-desktop (3.53)
- Persian desktop
- task-persian-kde-desktop (3.53)
- Persian KDE Plasma desktop
- task-polish (3.53)
- Polish environment
- task-polish-desktop (3.53)
- Polish desktop
- task-polish-kde-desktop (3.53)
- Polish KDE Plasma desktop
- task-portuguese (3.53)
- Portuguese environment
- task-portuguese-desktop (3.53)
- Portuguese desktop
- task-portuguese-kde-desktop (3.53)
- Portuguese KDE Plasma desktop
- task-print-server (3.53)
- print server
- task-punjabi (3.53)
- Punjabi environment
- task-punjabi-desktop (3.53)
- Punjabi desktop
- task-punjabi-kde-desktop (3.53)
- Punjabi KDE Plasma desktop
- task-romanian (3.53)
- Romanian environment
- task-romanian-desktop (3.53)
- Romanian desktop
- task-romanian-kde-desktop (3.53)
- Romanian KDE Plasma desktop
- task-russian (3.53)
- Russian environment
- task-russian-desktop (3.53)
- Russian desktop
- task-russian-kde-desktop (3.53)
- Russian KDE Plasma desktop
- task-serbian (3.53)
- Serbian environment
- task-serbian-desktop (3.53)
- Serbian desktop
- task-serbian-kde-desktop (3.53)
- Serbian KDE Plasma desktop
- task-sinhala-desktop (3.53)
- Sinhala desktop
- task-sinhala-kde-desktop (3.53)
- Sinhala KDE Plasma desktop
- task-slovak (3.53)
- Slovak environment
- task-slovak-desktop (3.53)
- Slovak desktop
- task-slovak-kde-desktop (3.53)
- Slovak KDE Plasma desktop
- task-slovenian (3.53)
- Slovenian environment
- task-slovenian-desktop (3.53)
- Slovenian desktop
- task-slovenian-kde-desktop (3.53)
- Slovenian KDE Plasma desktop
- task-south-african-english-desktop (3.53)
- South African English desktop
- task-spanish (3.53)
- Spanish environment
- task-spanish-desktop (3.53)
- Spanish desktop
- task-spanish-kde-desktop (3.53)
- Spanish KDE Plasma desktop
- task-spooler (1.0-1)
- personal job scheduler
- task-ssh-server (3.53)
- SSH server
- task-swedish (3.53)
- Swedish environment
- task-swedish-desktop (3.53)
- Swedish desktop
- task-swedish-kde-desktop (3.53)
- Swedish KDE Plasma desktop
- task-tagalog (3.53)
- Tagalog environment
- task-tamil (3.53)
- Tamil environment
- task-tamil-desktop (3.53)
- Tamil desktop
- task-tamil-gnome-desktop (3.53)
- Tamil GNOME desktop
- task-telugu (3.53)
- Telugu environment
- task-telugu-desktop (3.53)
- Telugu desktop
- task-telugu-gnome-desktop (3.53)
- Telugu GNOME desktop environment
- task-telugu-kde-desktop (3.53)
- Telugu KDE Plasma desktop environment
- task-thai (3.53)
- Thai environment
- task-thai-desktop (3.53)
- Thai desktop
- task-thai-gnome-desktop (3.53)
- Thai GNOME desktop
- task-thai-kde-desktop (3.53)
- Thai KDE Plasma desktop
- task-turkish (3.53)
- Turkish environment
- task-turkish-desktop (3.53)
- Turkish desktop
- task-turkish-kde-desktop (3.53)
- Turkish KDE Plasma desktop
- task-ukrainian (3.53)
- Ukrainian environment
- task-ukrainian-desktop (3.53)
- Ukrainian desktop
- task-ukrainian-kde-desktop (3.53)
- Ukrainian KDE Plasma desktop
- task-uyghur-desktop (3.53)
- Uyghur desktop
- task-uyghur-kde-desktop (3.53)
- Uyghur KDE Plasma desktop
- task-vietnamese-desktop (3.53)
- Vietnamese desktop
- task-vietnamese-kde-desktop (3.53)
- Vietnamese KDE Plasma desktop
- task-web-server (3.53)
- web server
- task-welsh (3.53)
- Welsh environment
- task-welsh-desktop (3.53)
- Welsh desktop
- task-xfce-desktop (3.53)
- Xfce
- task-xhosa-desktop (3.53)
- Xhosa desktop
- task-xhosa-kde-desktop (3.53)
- Xhosa KDE Plasma desktop
- taskcoach (1.4.4-1)
- friendly task manager
- taskd (1.1.0+dfsg-3)
- Synchronisation server for taskwarrior
- tasksel (3.53)
- tool for selecting tasks for installation on Debian systems
- tasksel-data (3.53)
- official tasks used for installation of Debian systems
- tasksh (1.2.0-1)
- shell command that wraps Taskwarrior commands
- taskwarrior (2.5.1+dfsg-7)
- feature-rich console based todo list manager
- tasque (0.1.12-4.1)
- simple task management application
- tatan (1.0.dfsg1-8)
- pointing STG shooter game
- tayga (0.9.2-8)
- userspace stateless NAT64
- tbb-examples (2018~U6-4)
- parallelism library for C++ - example files
- tcc (0.9.27-8)
- small ANSI C compiler
- tcl (8.6.9+1)
- Tool Command Language (default version) - shell
- tcl-dev (8.6.9+1)
- Tool Command Language (default version) - development files
- tcl-doc (8.6.9+1)
- Tool Command Language (default version) - manual pages
- tcl-expect (5.45.4-2)
- Automates interactive applications (Tcl package)
- tcl-expect-dev (5.45.4-2)
- Automates interactive applications (development)
- tcl-fitstcl (2.4-4)
- Tcl interface to FITS files
- tcl-funtools (1.4.7-4)
- Minimal buy-in FITS library (Tcl interface)
- tcl-itcl-dev
- virtueel pakket geboden door tcl-itcl4-dev, itcl3-dev
- tcl-itcl-doc
- virtueel pakket geboden door tcl-itcl4-doc, itcl3-doc
- tcl-itcl4 (4.1.2-1)
- [incr Tcl] OOP extension for Tcl - run-time files
- tcl-itcl4-dev (4.1.2-1)
- [incr Tcl] OOP extension for Tcl - development files
- tcl-itcl4-doc (4.1.2-1)
- [incr Tcl] OOP extension for Tcl - manual pages
- tcl-memchan (2.3+dfsg-1+b11)
- Tcl extension for in-memory channels - runtime library
- tcl-memchan-dev (2.3+dfsg-1+b11)
- Tcl extension for in-memory channels - development files
- tcl-signal (1.4.4-1)
- "Signal" extension for Tcl
- tcl-snack (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - Tcl/Tk library
- tcl-snack-dev (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - development files
- tcl-snack-doc (2.2.10.20090623-dfsg-8)
- Sound extension to Tcl/Tk and Python/Tkinter - documentation
- tcl-sugar (0.1-1)
- Lisp-like macro system for Tcl
- tcl-tclex (1.2a1-17)
- Lexical analyzer generator for Tcl
- tcl-tclreadline (2.3.2-1)
- GNU Readline Extension for Tcl/Tk
- tcl-thread (1:2.8.4-1)
- Tcl extension implementing script level access to Tcl threading capabilities
- tcl-tls (1.7.16-1)
- TLS OpenSSL extension to Tcl
- tcl-trf (2.1.4-dfsg3-2+b1)
- Tcl data transformations - runtime library
- tcl-trf-dev (2.1.4-dfsg3-2+b1)
- Tcl data transformations - development files
- tcl-trf-doc (2.1.4-dfsg3-2)
- Tcl data transformations - documentation
- tcl-udp (1.0.11-2)
- UDP sockets for Tcl
- tcl-vfs (1.4.2~20121213-2)
- Exposes Tcl's virtual filesystem C API to the Tcl script level
- tcl-vtk6 (6.3.0+dfsg2-2+b5)
- Tcl bindings for VTK
- tcl-vtk7 (7.1.1+dfsg1-12+b1)
- Tcl bindings for VTK
- tcl-xmlrpc (0.3-3)
- XML-RPC implementation for Tcl
- tcl-xpa (2.1.18-4)
- Seamless communication between Unix programs (Tcl interface)
- tcl8.6 (8.6.9+dfsg-2)
- Tcl (the Tool Command Language) v8.6 - shell
- tcl8.6-dev (8.6.9+dfsg-2)
- Tcl (the Tool Command Language) v8.6 - development files
- tcl8.6-doc (8.6.9+dfsg-2)
- Tcl (the Tool Command Language) v8.6 - manual pages
- tcl8.6-tdbc (1.1.0-1)
- Tcl Database Connectivity
- tcl8.6-tdbc-mysql (1.1.0-2)
- Tcl Database Connectivity
- tcl8.6-tdbc-odbc (1.1.0-1)
- ODBC driver for the Tcl Database Connectivity
- tcl8.6-tdbc-postgres (1.1.0-1)
- Tcl Database Connectivity
- tcl8.6-tdbc-sqlite3 (1.1.0-1)
- Tcl Database Connectivity
- tclcl (1.20-9)
- tcl2c++ and otcldoc program from tclcl
- tclcl-dbg (1.20-9)
- debug symbols of TclCL: both tclcl and libtclcl1
- tclcl-dev (1.20-9)
- transitional dummy package to libtclcl-dev
- tclcl-dev
- virtueel pakket geboden door libtclcl1-dev
- tclcurl (7.22.0+hg20160822-2)
- Tcl bindings to libcurl
- tcldoc
- virtueel pakket geboden door tcl8.6-doc
- tclex
- virtueel pakket geboden door tcl-tclex
- tclgeoip (0.2-1.1)
- Tcl extension implementing GeoIP lookup functions
- tcliis (8.0.1+repack-2)
- Tcl IIS protocol package
- tcllib (1.19-dfsg-2)
- Standard Tcl Library
- tcllib-critcl (1.19-dfsg-2)
- Standard Tcl Library (CriTcl accelerators)
- tclodbc (2.5.1-2)
- ODBC extension for Tcl
- tclreadline
- virtueel pakket geboden door tcl-tclreadline
- tclsh
- virtueel pakket geboden door tcl
- tclspice (30.2-1)
- NGSpice library for Tcl
- tclthread
- virtueel pakket geboden door tcl-thread
- tcltls
- virtueel pakket geboden door tcl-tls
- tclvfs
- virtueel pakket geboden door tcl-vfs
- tclws (2.6.2-1)
- Tcl Web Services
- tclx
- virtueel pakket geboden door tclx8.4
- tclx-doc
- virtueel pakket geboden door tclx8.4-doc
- tclx8.4 (8.4.1-3)
- Extended Tcl (TclX) - shared library
- tclx8.4-dev (8.4.1-3)
- Extended Tcl (TclX) - development package
- tclx8.4-doc (8.4.1-3)
- Extended Tcl (TclX) - manpages
- tclxapian (1.4.11-2)
- Xapian search engine interface for Tcl
- tclxml (1:3.2.7-3)
- Tcl library for XML parsing
- tclxml-dev (1:3.2.7-3)
- Tcl library for XML parsing - development files
- tcm (2.20+TSQD-5)
- Toolkit for Conceptual Modeling (TCM)
- tcm-doc (2.20+TSQD-5)
- Documentation for Toolkit for Conceptual Modeling (TCM)
- tcode (0.1.20080918-3)
- create a Java file from an associated LaTex file
- tcpcryptd (0.5-1+b1)
- Opportunistically encrypt network transport
- tcpd (7.6.q-28)
- Wietse Venema's TCP wrapper utilities
- tcpdump (4.9.3-1~deb10u2)
- command-line network traffic analyzer
- tcpflow (1.5.2+repack1-1)
- TCP flow recorder
- tcpflow
- virtueel pakket geboden door tcpflow-nox
- tcpflow-nox (1.5.2+repack1-1)
- TCP flow recorder - version without X11 dependencies
- tcpick (0.2.1-8)
- TCP stream sniffer and connection tracker
- tcplay (1.1-6)
- Free and simple TrueCrypt Implementation based on dm-crypt
- tcpreen (1.4.4-2+b2 [amd64, armhf, i386], 1.4.4-2 [arm64])
- Simple TCP re-engineering tool
- tcpreplay (4.3.1-1)
- Tool to replay saved tcpdump files at arbitrary speeds
- tcpser (1.0rc12-2+b1)
- emulate a Hayes compatible modem
- tcpslice (1.2a3-4+b2)
- extract pieces of and/or glue together tcpdump files
- tcpspy (1.7d-13)
- Incoming and Outgoing TCP/IP connections logger
- tcpstat (1.5-8+b1)
- network interface statistics reporting tool
- tcptrace (6.6.7-5)
- Tool for analyzing tcpdump output
- tcptraceroute (1.5beta7+debian-4+b2)
- traceroute implementation using TCP packets
- tcptrack (1.4.2-2+b2)
- TCP connection tracker, with states and speeds
- tcputils (0.6.2-10+b1)
- Utilities for TCP programming in shell-scripts
- tcpwatch-httpproxy (1.3.1-3)
- TCP monitoring and logging tool with support for HTTP 1.1
- tcpxtract (1.0.1-13)
- extract files from network traffic based on file signatures
- tcs (1-11.1)
- character set translator
- tcsh (6.20.00-7+b1)
- TENEX C Shell, an enhanced version of Berkeley csh
- tcvt (0.1.20171010-1)
- multicolumn virtual terminal
- td2planet (0.3.0-3)
- Ruby-based server-side blog aggregator
- tdb-dev
- virtueel pakket geboden door libtdb-dev
- tdb-tools (1.3.16-2+b1)
- Trivial Database - bundled binaries
- tdc (1.6-2)
- Tiny Dockable Clock
- tdfsb (0.0.10-3)
- 3D filesystem browser
- tdiary (5.0.11-1)
- Metapackages of tDiary
- tdiary-contrib (5.0.11-2)
- Plugins of tDiary to add functionalities
- tdiary-core (5.0.11-1)
- Communication-friendly weblog system
- tdiary-mode (5.0.11-2)
- tDiary editing mode for Emacsen
- tdiary-style-gfm (0.5.1-1)
- GFM Style for tDiary
- tdiary-style-rd (0.0.3-3)
- RD Style for tDiary
- tdiary-theme (5.0.10-1)
- Themes of tDiary to change the design
- tdom (0.9.1-1)
- fast XML/DOM/XPath/XSLT/HTML/JSON extension for Tcl written in C
- tdom-dev (0.9.1-1)
- header files and development libraries for tDOM
- tdsodbc (1.00.104-1+deb10u1)
- ODBC driver for connecting to MS SQL and Sybase SQL servers
- te923con (0.6.1-3)
- reading data from weather stations based on Hideki ones
- tea (47.0.1-1)
- graphical text editor with syntax highlighting
- tea-data (47.0.1-1)
- graphical text editor with syntax highlighting - data files
- teamd
- virtueel pakket geboden door libteam-utils
- teckit (2.5.8+ds2-5)
- Custom legacy encoding conversion tools for plain text files
- tecnoballz (0.93.1-9)
- breaking block game ported from the Amiga platform
- tecnoballz-data (0.93.1-9)
- graphic, sound and music files for the game tecnoballz
- teensy-loader-cli (2.1-1)
- load and run programs onto your Teensy micro controller
- teeworlds (0.7.2-5+deb10u1)
- online multi-player platform 2D shooter
- teeworlds-data (0.7.2-5+deb10u1)
- data for Teeworlds; an online multi-player platform 2D shooter
- teeworlds-server (0.7.2-5+deb10u1)
- server for Teeworlds; an online multi-player platform 2D shooter
- teg (0.11.2+debian-8)
- Turn based strategy game
- tegaki-recognize (0.3.1.2-1)
- handwriting recognition application
- tegaki-train (0.3.1-1.1)
- train tegaki with your own handwriting
- tegaki-zinnia-japanese (0.3-1)
- Japanese handwriting model for Zinnia
- tegaki-zinnia-simplified-chinese (0.3-1)
- Simplified Chinese handwriting model for Zinnia
- tegrarcm (1.8-1) [non-free]
- Tool to upload payloads in Tegra SoC recovery mode
- tekka (1.4.0+git20160822+dfsg-4.1)
- D-Bus-based IRC suite (graphical client)
- telegnome (0.3.4-1)
- graphical teletext viewer
- telegram-desktop (1.5.11-1)
- official telegram messaging app
- telepathy-accounts-signon (1.0-1)
- Mission control integration with libaccounts and libsignon
- telepathy-connection-manager
- virtueel pakket geboden door telepathy-rakia, telepathy-salut, telepathy-ring, telepathy-haze, telepathy-idle, telepathy-gabble
- telepathy-gabble (0.18.4-1)
- Jabber/XMPP connection manager
- telepathy-gabble-tests (0.18.4-1)
- Jabber/XMPP connection manager (automated tests)
- telepathy-haze (0.8.0-2.1)
- Telepathy connection manager that uses libpurple
- telepathy-haze-dbg (0.8.0-2.1)
- Telepathy connection manager that uses libpurple - debug symbols
- telepathy-idle (0.2.0-2+b1)
- IRC connection manager for Telepathy
- telepathy-logger (0.8.2-3)
- Telepathy logger service - Daemon
- telepathy-mission-control-5 (1:5.16.4-2)
- management daemon for Telepathy real-time communication framework
- telepathy-rakia (0.8.0-3+b1)
- SIP connection manager for the Telepathy framework
- telepathy-ring (2.3.24-1)
- GSM and 3G UMTS Telepathy connection manager
- telepathy-salut (0.8.1-5.1)
- Link-local XMPP connection manager for the Telepathy framework
- telepathy-salut-dbg (0.8.1-5.1)
- Link-local XMPP for the Telepathy framework - debug symbols
- telepathy-sofiasip (0.8.0-3)
- Transitional package for telepathy-rakia
- telepathy-sofiasip
- virtueel pakket geboden door telepathy-rakia
- telepathy-specification (0.27.3-1)
- Telepathy D-Bus specification
- tellico (3.1.4-1+b2)
- Collection manager for books, videos, music, etc
- tellico-data (3.1.4-1)
- Collection manager for books, videos, music, etc [data]
- tellico-doc (3.1.4-1)
- Collection manager for books, videos, music, etc [doc]
- tellico-scripts (3.1.4-1)
- Collection manager for books, videos, music, etc [scripts]
- telnet (0.17-41.2)
- basic telnet client
- telnet-client
- virtueel pakket geboden door telnet, inetutils-telnet
- telnet-server
- virtueel pakket geboden door telnetd, inetutils-telnetd
- telnetd (0.17-41.2)
- basic telnet server
- tempest (1:19.0.0-2)
- OpenStack Integration Test Suite
- tempest-for-eliza (1.0.5-2.1)
- demonstrate electromagnetic emissions from computer systems
- templatetoolkit-perl
- virtueel pakket geboden door libtemplate-perl
- tenace (0.16-2)
- Bridge hand viewer and editor
- tenmado (0.10-3)
- hard-core shoot 'em up game in blue-or-red world
- tennix (1.1-3.1)
- 2D tennis game
- tenshi (0.13-2.1)
- log monitoring and reporting tool
- tercpp (0.6.2+svn46-1.1+b1)
- Translation Error Rate scoring tool - binary
- termcast
- virtueel pakket geboden door libapp-termcast-perl
- termdebug (2.2+dfsg-1+b4)
- Tools for recording and replaying terminal I/O
- terminal.app (0.9.9-1+b2)
- Terminal Emulator for GNUstep
- terminator (1.91-4)
- Meerdere GNOME-terminals in één venster
- terminatorx (4.0.1-2)
- realtime audio synthesizer
- termineter (1.0.4-1)
- Smart meter testing framework
- terminology (1.3.2-1)
- Enlightenment efl based terminal emulator
- terminology-data (1.3.2-1)
- Enlightenment efl based terminal emulator data
- termit (3.0-1+b1)
- Simple terminal emulator based on vte library, embedded lua
- termonad (0.2.1.0-2)
- terminal emulator configurable in Haskell
- termrec (0.18-1)
- terminal videos/scripts recorder and player
- termsaver (0.3-1)
- simple text-based terminal screensaver
- terraintool (1.13-2)
- Generates survex format terrain models from SRTM and ASTER data
- teseq (1.1-0.1+b1)
- utility for rendering terminal typescripts human-readable
- tesseract-ocr (4.0.0-2)
- Tesseract command line OCR tool
- tesseract-ocr-afr (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Afrikaans
- tesseract-ocr-all (4.0.0-2)
- Tesseract OCR with all language and script packages
- tesseract-ocr-amh (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Amharic
- tesseract-ocr-ara (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Arabic
- tesseract-ocr-asm (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Assamese
- tesseract-ocr-aze (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Azerbaijani
- tesseract-ocr-aze-cyrl (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Azerbaijani (Cyrillic)
- tesseract-ocr-bel (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Belarusian
- tesseract-ocr-ben (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Bengali
- tesseract-ocr-bod (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tibetan Standard
- tesseract-ocr-bos (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Bosnian
- tesseract-ocr-bre (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Breton
- tesseract-ocr-bul (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Bulgarian
- tesseract-ocr-cat (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Catalan
- tesseract-ocr-ceb (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Cebuano
- tesseract-ocr-ces (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Czech
- tesseract-ocr-chi-sim (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Chinese - Simplified
- tesseract-ocr-chi-sim-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Chinese - Simplified (vertical)
- tesseract-ocr-chi-tra (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Chinese - Traditional
- tesseract-ocr-chi-tra-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Chinese - Traditional (vertical)
- tesseract-ocr-chr (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Cherokee
- tesseract-ocr-cos (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Corsican
- tesseract-ocr-cym (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Welsh
- tesseract-ocr-dan (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Danish
- tesseract-ocr-deu (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for German
- tesseract-ocr-div (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Divehi
- tesseract-ocr-dzo (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Dzongkha
- tesseract-ocr-ell (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Greek
- tesseract-ocr-eng (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for English
- tesseract-ocr-enm (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for English, Middle (1100-1500)
- tesseract-ocr-epo (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Esperanto
- tesseract-ocr-est (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Estonian
- tesseract-ocr-eus (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Basque
- tesseract-ocr-fao (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Faroese
- tesseract-ocr-fas (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Persian
- tesseract-ocr-fil (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Filipino
- tesseract-ocr-fin (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Finnish
- tesseract-ocr-fra (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for French
- tesseract-ocr-frk (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for German (Fraktur)
- tesseract-ocr-frm (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for French, Middle (ca.1400-1600)
- tesseract-ocr-fry (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Frisian (Western)
- tesseract-ocr-gla (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Gaelic (Scots)
- tesseract-ocr-gle (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Irish
- tesseract-ocr-glg (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Galician
- tesseract-ocr-grc (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Greek, Ancient (to 1453)
- tesseract-ocr-guj (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Gujarati
- tesseract-ocr-hat (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Haitian
- tesseract-ocr-heb (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Hebrew
- tesseract-ocr-hin (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Hindi
- tesseract-ocr-hrv (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Croatian
- tesseract-ocr-hun (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Hungarian
- tesseract-ocr-hye (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Armenian
- tesseract-ocr-iku (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Inuktitut
- tesseract-ocr-ind (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Indonesian
- tesseract-ocr-isl (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Icelandic
- tesseract-ocr-ita (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Italian
- tesseract-ocr-ita-old (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Italian - Old
- tesseract-ocr-jav (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Javanese
- tesseract-ocr-jpn (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Japanese
- tesseract-ocr-jpn-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Japanese (vertical)
- tesseract-ocr-kan (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Kannada
- tesseract-ocr-kat (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Georgian
- tesseract-ocr-kat-old (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Old Georgian
- tesseract-ocr-kaz (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Kazakh
- tesseract-ocr-khm (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Khmer
- tesseract-ocr-kir (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Kyrgyz
- tesseract-ocr-kmr (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Kurmanji (Latin)
- tesseract-ocr-kor (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Korean
- tesseract-ocr-kor-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Korean (vertical)
- tesseract-ocr-lang
- virtueel pakket geboden door tesseract-ocr-chi-tra, tesseract-ocr-pus, tesseract-ocr-chi-sim, tesseract-ocr-script-cans, tesseract-ocr-mar, tesseract-ocr-script-beng, tesseract-ocr-cos, tesseract-ocr-enm, tesseract-ocr-hun, tesseract-ocr-fry, tesseract-ocr-script-ethi, tesseract-ocr-pan, tesseract-ocr-eng, tesseract-ocr-bel, tesseract-ocr-sin, tesseract-ocr-lit, tesseract-ocr-uzb-cyrl, tesseract-ocr-script-khmr, tesseract-ocr-mkd, tesseract-ocr-script-knda, tesseract-ocr-grc, tesseract-ocr-script-thai, tesseract-ocr-epo, tesseract-ocr-ltz, tesseract-ocr-cym, tesseract-ocr-hrv, tesseract-ocr-snd, tesseract-ocr-nld, tesseract-ocr-kor, tesseract-ocr-ita, tesseract-ocr-nor, tesseract-ocr-osd, tesseract-ocr-fil, tesseract-ocr-script-gujr, tesseract-ocr-ind, tesseract-ocr-syr, tesseract-ocr-glg, tesseract-ocr-slv, tesseract-ocr-msa, tesseract-ocr-script-telu, tesseract-ocr-fas, tesseract-ocr-sqi, tesseract-ocr-swa, tesseract-ocr-mal, tesseract-ocr-fra, tesseract-ocr-script-thaa, tesseract-ocr-chi-sim-vert, tesseract-ocr-script-guru, tesseract-ocr-bos, tesseract-ocr-ara, tesseract-ocr-vie, tesseract-ocr-dan, tesseract-ocr-script-hebr, tesseract-ocr-srp, tesseract-ocr-afr, tesseract-ocr-mlt, tesseract-ocr-script-taml, tesseract-ocr-srp-latn, tesseract-ocr-jpn-vert, tesseract-ocr-script-hant, tesseract-ocr-cat, tesseract-ocr-script-hans-vert, tesseract-ocr-tur, tesseract-ocr-frm, tesseract-ocr-rus, tesseract-ocr-script-geor, tesseract-ocr-script-mlym, tesseract-ocr-ceb, tesseract-ocr-deu, tesseract-ocr-urd, tesseract-ocr-lao, tesseract-ocr-aze-cyrl, tesseract-ocr-hat, tesseract-ocr-ita-old, tesseract-ocr-gla, tesseract-ocr-kmr, tesseract-ocr-script-frak, tesseract-ocr-est, tesseract-ocr-script-hant-vert, tesseract-ocr-ukr, tesseract-ocr-script-latn, tesseract-ocr-script-syrc, tesseract-ocr-bod, tesseract-ocr-heb, tesseract-ocr-ron, tesseract-ocr-frk, tesseract-ocr-script-jpan, tesseract-ocr-tam, tesseract-ocr-nep, tesseract-ocr-fin, tesseract-ocr-script-viet, tesseract-ocr-hye, tesseract-ocr-mya, tesseract-ocr-kir, tesseract-ocr-ell, tesseract-ocr-div, tesseract-ocr-que, tesseract-ocr-script-armn, tesseract-ocr-script-hang, tesseract-ocr-oci, tesseract-ocr-kor-vert, tesseract-ocr-script-deva, tesseract-ocr-eus, tesseract-ocr-san, tesseract-ocr-lat, tesseract-ocr-chr, tesseract-ocr-spa, tesseract-ocr-kat-old, tesseract-ocr-ben, tesseract-ocr-script-hans, tesseract-ocr-script-grek, tesseract-ocr-chi-tra-vert, tesseract-ocr-script-jpan-vert, tesseract-ocr-asm, tesseract-ocr-script-cher, tesseract-ocr-khm, tesseract-ocr-isl, tesseract-ocr-ces, tesseract-ocr-pol, tesseract-ocr-dzo, tesseract-ocr-script-laoo, tesseract-ocr-mri, tesseract-ocr-uzb, tesseract-ocr-tir, tesseract-ocr-tgk, tesseract-ocr-slk, tesseract-ocr-tha, tesseract-ocr-script-sinh, tesseract-ocr-jpn, tesseract-ocr-fao, tesseract-ocr-kan, tesseract-ocr-uig, tesseract-ocr-hin, tesseract-ocr-ori, tesseract-ocr-bre, tesseract-ocr-script-mymr, tesseract-ocr-swe, tesseract-ocr-script-orya, tesseract-ocr-spa-old, tesseract-ocr-kat, tesseract-ocr-script-arab, tesseract-ocr-aze, tesseract-ocr-bul, tesseract-ocr-por, tesseract-ocr-yor, tesseract-ocr-guj, tesseract-ocr-tel, tesseract-ocr-yid, tesseract-ocr-gle, tesseract-ocr-lav, tesseract-ocr-tat, tesseract-ocr-kaz, tesseract-ocr-script-hang-vert, tesseract-ocr-iku, tesseract-ocr-script-tibt, tesseract-ocr-ton, tesseract-ocr-amh, tesseract-ocr-script-cyrl, tesseract-ocr-sun, tesseract-ocr-jav, tesseract-ocr-mon
- tesseract-ocr-language
- virtueel pakket geboden door tesseract-ocr-kor, tesseract-ocr-nld, tesseract-ocr-ita, tesseract-ocr-nor, tesseract-ocr-osd, tesseract-ocr-fil, tesseract-ocr-script-gujr, tesseract-ocr-bel, tesseract-ocr-sin, tesseract-ocr-lit, tesseract-ocr-uzb-cyrl, tesseract-ocr-script-khmr, tesseract-ocr-grc, tesseract-ocr-script-knda, tesseract-ocr-mkd, tesseract-ocr-epo, tesseract-ocr-script-thai, tesseract-ocr-cym, tesseract-ocr-ltz, tesseract-ocr-hrv, tesseract-ocr-snd, tesseract-ocr-script-ethi, tesseract-ocr-pan, tesseract-ocr-eng, tesseract-ocr-chi-tra, tesseract-ocr-pus, tesseract-ocr-chi-sim, tesseract-ocr-script-cans, tesseract-ocr-mar, tesseract-ocr-script-beng, tesseract-ocr-cos, tesseract-ocr-fry, tesseract-ocr-hun, tesseract-ocr-enm, tesseract-ocr-lao, tesseract-ocr-urd, tesseract-ocr-ita-old, tesseract-ocr-hat, tesseract-ocr-aze-cyrl, tesseract-ocr-script-frak, tesseract-ocr-kmr, tesseract-ocr-gla, tesseract-ocr-est, tesseract-ocr-script-hant-vert, tesseract-ocr-script-latn, tesseract-ocr-ukr, tesseract-ocr-script-syrc, tesseract-ocr-ron, tesseract-ocr-heb, tesseract-ocr-bod, tesseract-ocr-cat, tesseract-ocr-script-hant, tesseract-ocr-jpn-vert, tesseract-ocr-tur, tesseract-ocr-script-hans-vert, tesseract-ocr-frm, tesseract-ocr-script-geor, tesseract-ocr-script-mlym, tesseract-ocr-rus, tesseract-ocr-ceb, tesseract-ocr-deu, tesseract-ocr-script-hebr, tesseract-ocr-vie, tesseract-ocr-dan, tesseract-ocr-srp, tesseract-ocr-afr, tesseract-ocr-script-taml, tesseract-ocr-mlt, tesseract-ocr-srp-latn, tesseract-ocr-ind, tesseract-ocr-syr, tesseract-ocr-script-telu, tesseract-ocr-fas, tesseract-ocr-msa, tesseract-ocr-slv, tesseract-ocr-glg, tesseract-ocr-swa, tesseract-ocr-sqi, tesseract-ocr-mal, tesseract-ocr-fra, tesseract-ocr-script-thaa, tesseract-ocr-bos, tesseract-ocr-ara, tesseract-ocr-script-guru, tesseract-ocr-chi-sim-vert, tesseract-ocr-dzo, tesseract-ocr-script-laoo, tesseract-ocr-uzb, tesseract-ocr-mri, tesseract-ocr-tgk, tesseract-ocr-tir, tesseract-ocr-slk, tesseract-ocr-tha, tesseract-ocr-chi-tra-vert, tesseract-ocr-script-jpan-vert, tesseract-ocr-asm, tesseract-ocr-script-cher, tesseract-ocr-khm, tesseract-ocr-isl, tesseract-ocr-pol, tesseract-ocr-ces, tesseract-ocr-script-hang, tesseract-ocr-oci, tesseract-ocr-kor-vert, tesseract-ocr-script-deva, tesseract-ocr-san, tesseract-ocr-lat, tesseract-ocr-eus, tesseract-ocr-kat-old, tesseract-ocr-ben, tesseract-ocr-spa, tesseract-ocr-chr, tesseract-ocr-script-hans, tesseract-ocr-script-grek, tesseract-ocr-frk, tesseract-ocr-script-jpan, tesseract-ocr-fin, tesseract-ocr-tam, tesseract-ocr-nep, tesseract-ocr-hye, tesseract-ocr-script-viet, tesseract-ocr-div, tesseract-ocr-ell, tesseract-ocr-kir, tesseract-ocr-mya, tesseract-ocr-script-armn, tesseract-ocr-que, tesseract-ocr-kaz, tesseract-ocr-iku, tesseract-ocr-script-hang-vert, tesseract-ocr-script-tibt, tesseract-ocr-ton, tesseract-ocr-amh, tesseract-ocr-script-cyrl, tesseract-ocr-mon, tesseract-ocr-sun, tesseract-ocr-jav, tesseract-ocr-script-arab, tesseract-ocr-por, tesseract-ocr-bul, tesseract-ocr-aze, tesseract-ocr-yor, tesseract-ocr-guj, tesseract-ocr-yid, tesseract-ocr-tel, tesseract-ocr-tat, tesseract-ocr-lav, tesseract-ocr-gle, tesseract-ocr-hin, tesseract-ocr-ori, tesseract-ocr-bre, tesseract-ocr-script-mymr, tesseract-ocr-swe, tesseract-ocr-kat, tesseract-ocr-spa-old, tesseract-ocr-script-orya, tesseract-ocr-script-sinh, tesseract-ocr-jpn, tesseract-ocr-fao, tesseract-ocr-kan, tesseract-ocr-uig
- tesseract-ocr-lao (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Lao
- tesseract-ocr-lat (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Latin
- tesseract-ocr-lav (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Latvian
- tesseract-ocr-lit (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Lithuanian
- tesseract-ocr-ltz (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Luxembourgish
- tesseract-ocr-mal (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Malayalam
- tesseract-ocr-mar (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Marathi
- tesseract-ocr-mkd (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Macedonian
- tesseract-ocr-mlt (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Maltese
- tesseract-ocr-mon (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Mongolian
- tesseract-ocr-mri (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Maori
- tesseract-ocr-msa (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Malay
- tesseract-ocr-mya (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Burmese
- tesseract-ocr-nep (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Nepali
- tesseract-ocr-nld (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Dutch
- tesseract-ocr-nor (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Norwegian
- tesseract-ocr-oci (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Occitan (post 1500)
- tesseract-ocr-ori (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Oriya
- tesseract-ocr-osd (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for script and orientation
- tesseract-ocr-pan (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Punjabi
- tesseract-ocr-pol (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Polish
- tesseract-ocr-por (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Portuguese
- tesseract-ocr-pus (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Pashto
- tesseract-ocr-que (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Quechua
- tesseract-ocr-ron (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Romanian
- tesseract-ocr-rus (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Russian
- tesseract-ocr-san (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Sanskrit
- tesseract-ocr-script-arab (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Arabic script
- tesseract-ocr-script-armn (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Armenian script
- tesseract-ocr-script-beng (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Bengali script
- tesseract-ocr-script-cans (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Canadian Aboriginal script
- tesseract-ocr-script-cher (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Cherokee script
- tesseract-ocr-script-cyrl (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Cyrillic script
- tesseract-ocr-script-deva (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Devanagari script
- tesseract-ocr-script-ethi (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Ethiopic script
- tesseract-ocr-script-frak (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Fraktur script
- tesseract-ocr-script-geor (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Georgian script
- tesseract-ocr-script-grek (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Greek script
- tesseract-ocr-script-gujr (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Gujarati script
- tesseract-ocr-script-guru (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Gurmukhi script
- tesseract-ocr-script-hang (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Hangul script
- tesseract-ocr-script-hang-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Hangul (vertical) script
- tesseract-ocr-script-hans (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Han - Simplified script
- tesseract-ocr-script-hans-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Han - Simplified (vertical) script
- tesseract-ocr-script-hant (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Han - Traditional script
- tesseract-ocr-script-hant-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Han - Traditional (vertical) script
- tesseract-ocr-script-hebr (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Hebrew script
- tesseract-ocr-script-jpan (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Japanese script
- tesseract-ocr-script-jpan-vert (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Japanese (vertical) script
- tesseract-ocr-script-khmr (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Khmer script
- tesseract-ocr-script-knda (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Kannada script
- tesseract-ocr-script-laoo (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Lao script
- tesseract-ocr-script-latn (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Latin script
- tesseract-ocr-script-mlym (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Malayalam script
- tesseract-ocr-script-mymr (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Myanmar script
- tesseract-ocr-script-orya (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Oriya (Odia) script
- tesseract-ocr-script-sinh (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Sinhala script
- tesseract-ocr-script-syrc (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Syriac script
- tesseract-ocr-script-taml (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Tamil script
- tesseract-ocr-script-telu (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Telugu script
- tesseract-ocr-script-thaa (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Thaana script
- tesseract-ocr-script-thai (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Thai script
- tesseract-ocr-script-tibt (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Tibetan script
- tesseract-ocr-script-viet (1:4.00~git30-7274cfa-1)
- tesseract-ocr data for Vietnamese script
- tesseract-ocr-sin (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Sinhala
- tesseract-ocr-slk (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Slovakian
- tesseract-ocr-slv (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Slovenian
- tesseract-ocr-snd (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Sindhi
- tesseract-ocr-spa (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Spanish
- tesseract-ocr-spa-old (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Spanish, Castilian - Old
- tesseract-ocr-sqi (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Albanian
- tesseract-ocr-srp (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Serbian
- tesseract-ocr-srp-latn (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Serbian (Latin)
- tesseract-ocr-sun (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Sundanese
- tesseract-ocr-swa (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Swahili
- tesseract-ocr-swe (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Swedish
- tesseract-ocr-syr (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Syriac
- tesseract-ocr-tam (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tamil
- tesseract-ocr-tat (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tatar
- tesseract-ocr-tel (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Telugu
- tesseract-ocr-tgk (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tajik
- tesseract-ocr-tha (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Thai
- tesseract-ocr-tir (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tigrinya
- tesseract-ocr-ton (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Tonga
- tesseract-ocr-tur (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Turkish
- tesseract-ocr-uig (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Uyghur
- tesseract-ocr-ukr (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Ukrainian
- tesseract-ocr-urd (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Urdu
- tesseract-ocr-uzb (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Uzbek
- tesseract-ocr-uzb-cyrl (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Uzbek (Cyrillic)
- tesseract-ocr-vie (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Vietnamese
- tesseract-ocr-yid (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Yiddish
- tesseract-ocr-yor (1:4.00~git30-7274cfa-1)
- tesseract-ocr language files for Yoruba
- test-kitchen (1.23.2-2)
- integration tool for Chef
- testdisk (7.0-3+b4)
- Partition scanner and disk recovery tool, and PhotoRec file recovery tool
- testdisk-dbg (7.0-3+b4)
- Partition scanner and disk recovery tool, and PhotoRec file recovery tool
- testng (6.9.12-4)
- testing framework for Java
- testrepository (0.0.20-3)
- unit test system with database of test results - metapackage and doc
- testssl.sh (2.9.5-7+dfsg1-2)
- Command line tool to check TLS/SSL ciphers, protocols and cryptographic flaws
- testu01-bin (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- utils
- testu01-data (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- data
- testu01-doc (1.2.3+ds1-1) [non-free]
- testing suite for uniform random number generators -- doc
- tetex-brev (4.22.github.20140417-3)
- Norwegian A4 letter style for LaTeX
- tetgen (1.5.0-5)
- Quality Tetrahedral Mesh Generator
- tetradraw (2.0.3-9+b2)
- ANSI teken en weergave gereedschap
- tetraproc (0.8.2-2.1)
- Tetrahedral Microphone Processor for Ambisonic Recording
- tetrinet-client (0.11+CVS20070911-2+b2)
- textmode client for tetrinet, a multiplayer tetris-like game
- tetrinet-server (0.11+CVS20070911-2+b2)
- server for tetrinet, a multiplayer tetris-like game
- tetrinetx (1.13.16-14+b1)
- game server for Tetrinet
- tetzle (2.1.3+dfsg1-2)
- Jigsaw puzzle game
- tex-common (6.11)
- common infrastructure for building and installing TeX
- tex-gyre (20180621-3)
- scalable PostScript and OpenType fonts based on URW Fonts
- tex4ht
- virtueel pakket geboden door texlive-plain-generic
- texi2html (1.82+dfsg1-5)
- Convert Texinfo files to HTML
- texify (1.20-4)
- Beautify source code for use with LaTeX
- texinfo (6.5.0.dfsg.1-4+b1)
- Documentatiesysteem voor on-line en afgedrukte informatie.
- texinfo-doc-nonfree (6.5.0-1) [non-free]
- texinfo and info documentation that is non-free
- texlive (2018.20190227-2)
- TeX Live: A decent selection of the TeX Live packages
- texlive-base (2018.20190227-2)
- TeX Live: Essential programs and files
- texlive-base-bin
- virtueel pakket geboden door texlive-binaries
- (2018.20190227-2)
- TeX Live: BibTeX additional styles
- texlive-binaries (2018.20181218.49446-1+deb10u2) [security]
- Binaries for TeX Live
- texlive-context
- virtueel pakket geboden door context
- (2018.20190227-2)
- TeX Live: TeX auxiliary programs
- texlive-font-utils (2018.20190227-2)
- TeX Live: Graphics and font utilities
- (2018.20190227-2)
- TeX Live: Additional fonts
- (2018.20190227-2)
- TeX Live: Documentation files for texlive-fonts-extra
- (2018.20190227-2)
- TeX Live:
- texlive-fonts-recommended (2018.20190227-2)
- TeX Live: Recommended fonts
- texlive-fonts-recommended-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-fonts-recommended
- (2018.20190227-2)
- TeX Live: Additional formats
- texlive-full (2018.20190227-2)
- TeX Live: metapackage pulling in all components of TeX Live
- texlive-games (2018.20190227-2)
- TeX Live: Games typesetting
- (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-generic-recommended (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-htmlxml (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-humanities (2018.20190227-2)
- TeX Live: Humanities packages
- texlive-humanities-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-humanities
- texlive-lang-african (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-lang-all (2018.20190227-2)
- TeX Live: metapackage depending on all TeX Live language packages
- texlive-lang-arabic (2018.20190227-2)
- TeX Live: Arabic
- texlive-lang-chinese (2018.20190227-2)
- TeX Live: Chinese
- texlive-lang-cjk (2018.20190227-2)
- TeX Live: Chinese/Japanese/Korean (base)
- texlive-lang-cyrillic (2018.20190227-2)
- TeX Live: Cyrillic
- texlive-lang-czechslovak (2018.20190227-2)
- TeX Live: Czech/Slovak
- texlive-lang-english (2018.20190227-2)
- TeX Live: US and UK English
- texlive-lang-european (2018.20190227-2)
- TeX Live: Other European languages
- texlive-lang-french (2018.20190227-2)
- TeX Live: French
- texlive-lang-german (2018.20190227-2)
- TeX Live: German
- texlive-lang-greek (2018.20190227-2)
- TeX Live: Greek
- texlive-lang-indic (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-lang-italian (2018.20190227-2)
- TeX Live: Italian
- texlive-lang-japanese (2018.20190227-2)
- TeX Live: Japanese
- texlive-lang-korean (2018.20190227-2)
- TeX Live: Korean
- texlive-lang-other (2018.20190227-2)
- TeX Live: Other languages
- texlive-lang-polish (2018.20190227-2)
- TeX Live: Polish
- texlive-lang-portuguese (2018.20190227-2)
- TeX Live: Portuguese
- texlive-lang-spanish (2018.20190227-2)
- TeX Live: Spanish
- texlive-latex-base (2018.20190227-2)
- TeX Live: LaTeX fundamental packages
- texlive-latex-base-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-latex-base
- (2018.20190227-2)
- TeX Live: LaTeX additional packages
- (2018.20190227-2)
- TeX Live: Documentation files for texlive-latex-extra
- texlive-latex-recommended (2018.20190227-2)
- TeX Live: LaTeX recommended packages
- texlive-latex-recommended-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-latex-recommended
- texlive-luatex (2018.20190227-2)
- TeX Live: LuaTeX packages
- texlive-metapost (2018.20190227-2)
- TeX Live: MetaPost and Metafont packages
- texlive-metapost-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-metapost
- texlive-music (2018.20190227-2)
- TeX Live: Music packages
- texlive-omega (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-pictures (2018.20190227-2)
- TeX Live: Graphics, pictures, diagrams
- texlive-pictures-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-pictures
- (2018.20190227-2)
- TeX Live: transitional dummy package
- texlive-plain-generic (2018.20190227-2)
- TeX Live: Plain (La)TeX packages
- texlive-pstricks (2018.20190227-2)
- TeX Live: PSTricks
- texlive-pstricks-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-pstricks
- texlive-publishers (2018.20190227-2)
- TeX Live: Publisher styles, theses, etc.
- texlive-publishers-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-publishers
- texlive-science (2018.20190227-2)
- TeX Live: Mathematics, natural sciences, computer science packages
- texlive-science-doc (2018.20190227-2)
- TeX Live: Documentation files for texlive-science
- texlive-xetex (2018.20190227-2)
- TeX Live: XeTeX and packages
- texmaker (5.0.3-1)
- cross-platform LaTeX editor
- texmaker-data (5.0.3-1)
- Texmaker LaTeX editor -- arch-independent files
- texstudio (2.12.14+debian-1)
- LaTeX Editor
- texstudio-doc (2.12.14+debian-1)
- LaTeX Editor (doc)
- texstudio-l10n (2.12.14+debian-1)
- LaTeX Editor (localization)
- textdraw (0.2+ds-0+nmu1+b3)
- Tool to draw/modify/move geometric figures & text for ASCII art
- textedit.app (5.0-2+b1)
- Text editor for GNUstep
- textql (2.0.3-3+b10)
- execute SQL against structured text like CSV or TSV
- texworks (0.6.2-3+b3)
- Environment for authoring TeX (LaTeX, ConTeXt, etc) documents
- texworks-help-en (20150506-2)
- English help files for TeXworks
- texworks-help-fr (20150506-2)
- French help files for TeXworks
- texworks-scripting-lua (0.6.2-3+b3)
- Plugin to bring Lua scripting to TeXworks
- texworks-scripting-python (0.6.2-3+b3)
- Plugin to bring Python scripting to TeXworks
- tf (1:4.0s1-20+b1)
- Tinyfugue MUD client for TinyMUDs, DikuMUDs, and LPMUDs
- tf-tools (1.12.0-5+b1)
- Set of utilities to operate with the Robot OS tf lib
- tf2-tools (0.6.5-3)
- Robot OS tool for tf2 transform library second generation
- tf5 (5.0beta8-7)
- text-based MU* and chatserver client
- tfdocgen (1.0-2)
- TiLP framework documentation generator
- tfm-arphic-bkai00mp
- virtueel pakket geboden door latex-cjk-chinese-arphic-bkai00mp
- tfm-arphic-bsmi00lp
- virtueel pakket geboden door latex-cjk-chinese-arphic-bsmi00lp
- tfm-arphic-gbsn00lp
- virtueel pakket geboden door latex-cjk-chinese-arphic-gbsn00lp
- tfm-arphic-gkai00mp
- virtueel pakket geboden door latex-cjk-chinese-arphic-gkai00mp
- tftp (0.17-22)
- Trivial file transfer protocol client
- tftp-hpa (5.2+20150808-1+b1)
- HPA's tftp client
- tftp-hpa-dbg (5.2+20150808-1+b1)
- HPA's tftp (debug)
- tftp-server
- virtueel pakket geboden door tftpd-hpa
- tftpd (0.17-22)
- Trivial file transfer protocol server
- tftpd-hpa (5.2+20150808-1+b1)
- HPA's tftp server
- tgif (1:4.2.5-1.3+b1)
- 2D vector graphic drawing tool using Xlib
- tgt (1:1.0.74-1)
- Linux SCSI target user-space daemon and tools
- tgt-glusterfs (1:1.0.74-1)
- Linux SCSI target user-space daemon and tools - GlusterFS support
- tgt-rbd (1:1.0.74-1)
- Linux SCSI target user-space daemon and tools - RBD support
- th-scheme-utilities (1.1.15-1)
- TH Scheme Utilities library
- thawab (4.1-1) [non-free]
- Arabic/Islamic encyclopedia system
- thc-ipv6 (3.6-1)
- The Hacker Choice's IPv6 Attack Toolkit
- theano-doc (1.0.3+dfsg-1)
- CPU/GPU math expression compiler for Python (docs)
- thefuck (3.11-2.1)
- spelling corrector of console commands
- theme-d-doc (1.1.15-1)
- Documentation for programming language Theme-D
- theme-d-gnome (0.7.5-2)
- GNOME library for programming language Theme-D
- theme-d-rte (1.1.15-1)
- Theme-D runtime environment
- theme-d-stdlib (1.1.15-1)
- Theme-D Standard Library
- theme-d-translator (1.1.15-1)
- Programming language extending Scheme with static typing
- themole (0.3-2)
- automatic SQL injection exploitation tool
- themonospot (0.7.3.1-7)
- application to scan video files
- therion (5.4.3ds1-6)
- Cave surveying - 2D and 3D drawing software
- therion-doc (5.4.3ds1-6)
- Documentation for Therion Cave surveying software
- therion-viewer (5.4.3ds1-6)
- Cave surveying - 3D viewer for therion models
- thermald (1.8.0-1)
- Thermal monitoring and controlling daemon
- theseus (3.3.0-8)
- superimpose macromolecules using maximum likelihood
- theseus-examples (3.3.0-8)
- superimpose macromolecules using maximum likelihood (examples)
- theunarchiver
- virtueel pakket geboden door unar
- thin (1.7.2-1)
- fast and very simple Ruby web server
- thin-provisioning-tools (0.7.6-2.1)
- Tools for handling thinly provisioned device-mapper meta-data
- thinkfan (0.9.3-2)
- simple and lightweight fan control program
- thonny (3.1.2-1)
- Python IDE for beginners
- threadscope (0.2.11.1-2)
- graphical thread profiler for Haskell programs
- thrift-compiler (0.11.0-4)
- code generator/compiler for Thrift definitions
- thuban (1.2.2-14+b1)
- Interactive geographic data viewer
- thuban-doc (1.2.2-14)
- Interactive geographic data viewer - documentation
- thunar (1.8.4-1)
- File Manager for Xfce
- thunar-archive-plugin (0.4.0-2)
- Archive plugin for Thunar file manager
- thunar-data (1.8.4-1)
- Provides thunar documentation, icons and translations
- thunar-dropbox-plugin (0.2.1+dfsg1-5) [contrib]
- context-menu items from dropbox for Thunar
- thunar-gtkhash (1.2-1)
- thunar extension for computing checksums and more using gtkhash
- thunar-media-tags-plugin (0.3.0-2)
- Media tags plugin for Thunar file manager
- thunar-vcs-plugin (0.2.0-1)
- VCS plugin for Thunar file manager
- thunar-volman (0.9.1-1)
- Thunar extension for volumes management
- thunderbird (1:115.11.0-1~deb10u1 [amd64, arm64, i386], 1:78.8.0-1~deb10u1 [armhf]) [security]
- mail/news client with RSS, chat and integrated spam filter support
- thunderbird-bidiui (0.9.7-2)
- BiDirectional support for Thunderbird
- thunderbird-enigmail
- virtueel pakket geboden door enigmail
- thunderbird-exteditor
- virtueel pakket geboden door xul-ext-exteditor
- thunderbird-l10n-af (1:115.11.0-1~deb10u1) [security]
- Africaans language package for Thunderbird
- thunderbird-l10n-all (1:115.11.0-1~deb10u1) [security]
- All language packages for Thunderbird (meta)
- thunderbird-l10n-ar (1:115.11.0-1~deb10u1) [security]
- Arabic language package for Thunderbird
- thunderbird-l10n-ast (1:115.11.0-1~deb10u1) [security]
- Asturian language package for Thunderbird
- thunderbird-l10n-be (1:115.11.0-1~deb10u1) [security]
- Belarusian language package for Thunderbird
- thunderbird-l10n-bg (1:115.11.0-1~deb10u1) [security]
- Bulgarian language package for Thunderbird
- thunderbird-l10n-br (1:115.11.0-1~deb10u1) [security]
- Breton language package for Thunderbird
- thunderbird-l10n-ca (1:115.11.0-1~deb10u1) [security]
- Catalan/Valencian language package for Thunderbird
- thunderbird-l10n-cak (1:115.11.0-1~deb10u1) [security]
- Kacqhikel language package for Thunderbird
- thunderbird-l10n-cs (1:115.11.0-1~deb10u1) [security]
- Czech language package for Thunderbird
- thunderbird-l10n-cy (1:115.11.0-1~deb10u1) [security]
- Welsh language package for Thunderbird
- thunderbird-l10n-da (1:115.11.0-1~deb10u1) [security]
- Danish language package for Thunderbird
- thunderbird-l10n-de (1:115.11.0-1~deb10u1) [security]
- German language package for Thunderbird
- thunderbird-l10n-dsb (1:115.11.0-1~deb10u1) [security]
- Lower Sorbian language package for Thunderbird
- thunderbird-l10n-el (1:115.11.0-1~deb10u1) [security]
- Greek language package for Thunderbird
- thunderbird-l10n-en-ca (1:115.11.0-1~deb10u1) [security]
- English (Canada) language package for Thunderbird
- thunderbird-l10n-en-gb (1:115.11.0-1~deb10u1) [security]
- English (Great Britain) language package for Thunderbird
- thunderbird-l10n-es-ar (1:115.11.0-1~deb10u1) [security]
- Spanish (Argentina) language package for Thunderbird
- thunderbird-l10n-es-es (1:115.11.0-1~deb10u1) [security]
- Spanish (Spain) language package for Thunderbird
- thunderbird-l10n-es-mx (1:115.11.0-1~deb10u1) [security]
- Spanish (Mexican) language package for Thunderbird
- thunderbird-l10n-et (1:115.11.0-1~deb10u1) [security]
- Estonian language package for Thunderbird
- thunderbird-l10n-eu (1:115.11.0-1~deb10u1) [security]
- Basque language package for Thunderbird
- thunderbird-l10n-fi (1:115.11.0-1~deb10u1) [security]
- Finnish language package for Thunderbird
- thunderbird-l10n-fr (1:115.11.0-1~deb10u1) [security]
- French language package for Thunderbird
- thunderbird-l10n-fy-nl (1:115.11.0-1~deb10u1) [security]
- Frisian language package for Thunderbird
- thunderbird-l10n-ga-ie (1:115.11.0-1~deb10u1) [security]
- Irish (Ireland) language package for Thunderbird
- thunderbird-l10n-gd (1:115.11.0-1~deb10u1) [security]
- Gaelic (Scottish) language package for Thunderbird
- thunderbird-l10n-gl (1:115.11.0-1~deb10u1) [security]
- Galician language package for Thunderbird
- thunderbird-l10n-he (1:115.11.0-1~deb10u1) [security]
- Hebrew language package for Thunderbird
- thunderbird-l10n-hr (1:115.11.0-1~deb10u1) [security]
- Croatian language package for Thunderbird
- thunderbird-l10n-hsb (1:115.11.0-1~deb10u1) [security]
- Upper Sorbian language package for Thunderbird
- thunderbird-l10n-hu (1:115.11.0-1~deb10u1) [security]
- Hungarian language package for Thunderbird
- thunderbird-l10n-hy-am (1:115.11.0-1~deb10u1) [security]
- Armenian language package for Thunderbird
- thunderbird-l10n-id (1:115.11.0-1~deb10u1) [security]
- Indonesian language package for Thunderbird
- thunderbird-l10n-is (1:115.11.0-1~deb10u1) [security]
- Icelandic language package for Thunderbird
- thunderbird-l10n-it (1:115.11.0-1~deb10u1) [security]
- Italian language package for Thunderbird
- thunderbird-l10n-ja (1:115.11.0-1~deb10u1) [security]
- Japanese language package for Thunderbird
- thunderbird-l10n-ka (1:115.11.0-1~deb10u1) [security]
- Georgian language package for Thunderbird
- thunderbird-l10n-kab (1:115.11.0-1~deb10u1) [security]
- Kabyle language package for Thunderbird
- thunderbird-l10n-kk (1:115.11.0-1~deb10u1) [security]
- Kazakh language package for Thunderbird
- thunderbird-l10n-ko (1:115.11.0-1~deb10u1) [security]
- Korean language package for Thunderbird
- thunderbird-l10n-lt (1:115.11.0-1~deb10u1) [security]
- Lithuanian language package for Thunderbird
- thunderbird-l10n-lv (1:115.11.0-1~deb10u1) [security]
- Ltavian language package for Thunderbird
- thunderbird-l10n-ms (1:115.11.0-1~deb10u1) [security]
- Malay language package for Thunderbird
- thunderbird-l10n-nb-no (1:115.11.0-1~deb10u1) [security]
- Bokmaal (Norway) language package for Thunderbird
- thunderbird-l10n-nl (1:115.11.0-1~deb10u1) [security]
- Dutch language package for Thunderbird
- thunderbird-l10n-nn-no (1:115.11.0-1~deb10u1) [security]
- Nynorsk (Norway) language package for Thunderbird
- thunderbird-l10n-pa-in (1:115.11.0-1~deb10u1) [security]
- Punjabi (Gurmukhi) language package for Thunderbird
- thunderbird-l10n-pl (1:115.11.0-1~deb10u1) [security]
- Polish language package for Thunderbird
- thunderbird-l10n-pt-br (1:115.11.0-1~deb10u1) [security]
- Portuguese (Brazil) language package for Thunderbird
- thunderbird-l10n-pt-pt (1:115.11.0-1~deb10u1) [security]
- Portuguese (Portugal) language package for Thunderbird
- thunderbird-l10n-rm (1:115.11.0-1~deb10u1) [security]
- Romansh language package for Thunderbird
- thunderbird-l10n-ro (1:115.11.0-1~deb10u1) [security]
- Romania language package for Thunderbird
- thunderbird-l10n-ru (1:115.11.0-1~deb10u1) [security]
- Russian language package for Thunderbird
- thunderbird-l10n-si (1:78.14.0-1~deb10u1)
- Sinhala language package for Thunderbird
- thunderbird-l10n-sk (1:115.11.0-1~deb10u1) [security]
- Slovak language package for Thunderbird
- thunderbird-l10n-sl (1:115.11.0-1~deb10u1) [security]
- Slovenian language package for Thunderbird
- thunderbird-l10n-sq (1:115.11.0-1~deb10u1) [security]
- Albanian language package for Thunderbird
- thunderbird-l10n-sr (1:115.11.0-1~deb10u1) [security]
- Serbian language package for Thunderbird
- thunderbird-l10n-sv-se (1:115.11.0-1~deb10u1) [security]
- Swedish (Sweden) language package for Thunderbird
- thunderbird-l10n-th (1:115.11.0-1~deb10u1) [security]
- Thai language package for Thunderbird
- thunderbird-l10n-tr (1:115.11.0-1~deb10u1) [security]
- Turkish language package for Thunderbird
- thunderbird-l10n-uk (1:115.11.0-1~deb10u1) [security]
- Ukrainian language package for Thunderbird
- thunderbird-l10n-uz (1:115.11.0-1~deb10u1) [security]
- Uzbek language package for Thunderbird
- thunderbird-l10n-vi (1:115.11.0-1~deb10u1) [security]
- Vietnamese language package for Thunderbird
- thunderbird-l10n-zh-cn (1:115.11.0-1~deb10u1) [security]
- Chinese (China) language package for Thunderbird
- thunderbird-l10n-zh-tw (1:115.11.0-1~deb10u1) [security]
- Chinese (Taiwan) language package for Thunderbird
- thunderbolt-tools (0.9.3-4+b1)
- Intel Thunderbolt userspace components
- tiarra (20100212+r39209-7)
- IRC proxy, stationing, logger and bot program (pirc)
- tiarra-conf-el (20100212+r39209-7)
- edit mode for tiarra.conf
- ticgit (1.0.2.17-2.1)
- ticketing system built on Git
- ticgitweb (1.0.2.17-2.1)
- web interface to ticgit
- ticker (1.11)
- configurable text scroller
- tickr (0.6.4-1+b1)
- GTK-based highly graphically-customizable Feed Ticker
- tictactoe-ng (0.3.2.1-1.1)
- fun, simple, tic tac toe game
- tidy (2:5.6.0-10)
- HTML/XML syntax checker and reformatter
- tidy-proxy (0.97-4)
- small http proxy which tidies html
- tiemu (3.04~git20110801-nogdb+dfsg1-2)
- Texas Instruments calculators emulator (without GDB)
- tiemu-skinedit (1.27-3)
- skin editor for TiEmu
- tifffile (20181128-1+deb10u1)
- Read and write image data from and to TIFF files
- tig (2.4.1-1)
- ncurses-based text-mode interface for Git
- tiger (1:3.2.4~rc1-1)
- Report system security vulnerabilities
- tiger-otheros (1:3.2.4~rc1-1)
- Scripts to run Tiger in other operating systems
- tigervnc-common (1.9.0+dfsg-3+deb10u3)
- Virtual network computing; Common software needed by servers
- tigervnc-scraping-server (1.9.0+dfsg-3+deb10u3)
- Virtual network computing server performing X screen scraping
- tigervnc-standalone-server (1.9.0+dfsg-3+deb10u3)
- Standalone virtual network computing server
- tigervnc-viewer (1.9.0+dfsg-3+deb10u3)
- Virtual network computing client for X
- tigervnc-xorg-extension (1.9.0+dfsg-3+deb10u3)
- Virtual network computing X server extension
- tightvncserver (1:1.3.9-9+deb10u1)
- virtual network computing server software
- tigr-glimmer (3.02b-2)
- Gene detection in archea and bacteria
- tikzit (2.1.4-1)
- visual PGF/TikZ graph editor
- tilda (1.4.1-2.1)
- GTK+ based drop down terminal for Linux and Unix
- tilde (1.0.0-1)
- Intuitive text editor for the terminal
- tilecache (2.11+ds-4)
- Web map tile caching system
- tiled (1.2.1+dfsg.1-1)
- general purpose tile map editor
- tilem (2.0-3)
- GTK+ TI Z80 calculator emulator
- tilem-data (2.0-3)
- GTK+ TI Z80 calculator emulator (data files)
- tilestache (1.51.5-4)
- map tiles caching system
- tilix (1.8.9-1)
- Tiling terminal emulator for GNOME
- tilix-common (1.8.9-1)
- Tiling terminal emulator - data files
- tilp2 (1.18-3)
- Texas Instruments hand-helds <-> PC communication program for X
- timbl (6.4.13-1)
- Tilburg Memory Based Learner
- timblserver (1.12-1)
- Server extensions for Timbl
- time (1.7-25.1+b1)
- GNU time program for measuring CPU resource usage
- time-daemon
- virtueel pakket geboden door openntpd, ntpsec, chrony
- timedate
- virtueel pakket geboden door libtimedate-perl
- timelimit (1.9.0-5)
- simple utility to limit a process's absolute execution time
- timemachine (0.3.3-2.1)
- JACK audio recorder for spontaneous and conservatory use
- timemon.app (4.2-2+b1)
- CPU time usage monitor for GNUstep
- timeobjects
- virtueel pakket geboden door php-horde-timeobjects
- timeshift (19.01+ds-2+deb10u1)
- System restore utility
- timewarrior (1.1.1+ds.1-1)
- feature-rich time tracking utility
- timgm6mb-soundfont (1.3-2)
- TimGM6mb SoundFont from MuseScore 1.3
- timidity (2.14.0-8)
- Software sound renderer (MIDI sequencer, MOD player)
- timidity-daemon (2.14.0-8)
- runs TiMidity++ as a system-wide MIDI sequencer
- timidity-el (2.14.0-8)
- Emacs front end to Timidity++
- (2.14.0-8)
- TiMidity++ extra user interfaces
- tin (1:2.4.3-1)
- Full-screen easy to use Usenet newsreader
- tina (0.1.12-3)
- text-based personal information manager
- tinc (1.0.35-2)
- Virtual Private Network daemon
- tini (0.18.0-1)
- tiny but valid init for containers
- tint (0.05)
- Tetris clone for text based terminal
- tint2 (16.6.1-1)
- lightweight taskbar
- tintii (2.10.0-1)
- photo filter for easy color effects
- tintin++ (2.01.5-2)
- classic text-based MUD client
- tiny-initramfs (0.1-5)
- Minimalistic initramfs implementation (automation)
- tiny-initramfs-core (0.1-5+b10)
- Minimalistic initramfs implementation (core tools)
- tinyca (0.7.5-6)
- simple graphical program for certification authority management
- tinycdb (0.78+b1)
- an utility to manipulate constant databases (cdb)
- tinydyndns (0.4.2.debian1-1+b2)
- pop-before-dyndns service using djbdns
- tinyeartrainer (0.1.0-4)
- A tool to learn recognizing musical intervals
- tinyirc (1:1.1.dfsg.1-3+b2)
- tiny IRC client
- tinyjsd (1.2+git1-1)
- Lightweight JavaScript Debugger for Thunderbird and SeaMonkey
- tinymce (3.4.8+dfsg0-2)
- platform independent web based Javascript/HTML WYSIWYG editor
- tinymux (2.10.1.14-1)
- text-based multi-user virtual world server
- tinyos-source (2.1.2+dfsg-1)
- operating system for sensor motes and embedded devices
- tinyos-tools (1.4.2-3+b1)
- development tools for TinyOS
- tinyows (1.1.1-6) [non-free]
- lightweight and fast WFS-T server
- tinyproxy (1.10.0-2+deb10u1)
- Lightweight, non-caching, optionally anonymizing HTTP proxy
- tinyproxy-bin (1.10.0-2+deb10u1)
- Lightweight, non-caching, optionally anonymizing HTTP proxy (executable only)
- tinyscheme (1.41.svn.2016.03.21-1)
- Very small scheme implementation
- tinysshd (20190101-1)
- Tiny SSH server - daemon
- tinywm (1.3-9+b2)
- tiny window manager
- tio (1.32-1)
- simple TTY terminal I/O application
- tipa (2:1.3-20)
- system for processing phonetic symbols in LaTeX
- tipa-doc (2:1.3-20)
- documentation for the TIPA LaTeX font
- tipp10 (2.1.0-2+b1)
- free open source touch typing software
- tiptop (2.3.1-4)
- performance monitoring tool for Linux
- tircd (0.30-4)
- ircd proxy to the twitter API
- titanion (0.3.dfsg1-7)
- strike down super high-velocity swooping insects
- titanion-data (0.3.dfsg1-7)
- strike down super high-velocity swooping insects - game data
- titantools (4.0.11+notdfsg1-6+b1) [non-free]
- Tools to secure bastion hosts
- tix (8.4.3-10)
- library for Tk -- runtime package
- tix-dev (8.4.3-10)
- library for Tk -- development package
- tj3 (3.6.0-6)
- project management software, aka TaskJuggler
- tk (8.6.9+1)
- Toolkit for Tcl and X11 (default version) - windowing shell
- tk-brief (5.10-0.1)
- GUI for easily writing letters with LaTeX
- tk-dev (8.6.9+1)
- Toolkit for Tcl and X11 (default version) - development files
- tk-doc (8.6.9+1)
- Toolkit for Tcl and X11 (default version) - manual pages
- tk-fsdialog (1.15+20140601-1)
- file selection dialog for Ttk
- tk-html1 (1.04-2)
- Tk HTML widget
- tk-html3 (3.0~fossil20110109-7)
- Render HTML and CSS content with Tk
- tk-itk-dev
- virtueel pakket geboden door itk3-dev, tk-itk4-dev
- tk-itk-doc
- virtueel pakket geboden door tk-itk4-doc, itk3-doc
- tk-itk4 (4.1.0-3)
- [incr Tk] OOP extension version 4 for Tk - run-time files
- tk-itk4-dev (4.1.0-3)
- [incr Tk] OOP extension version 4 for Tk - development files
- tk-itk4-doc (4.1.0-3)
- [incr Tk] OOP extension version 4 for Tk - manual pages
- tk-mpeg (1.0.6-1)
- Tk MPEG1 encoder based on ezMPEG
- tk-table (2.10-3)
- Table extension for Tcl/Tk
- tk-tktray (1.3.9-3)
- Freedesktop system tray icon support for Tcl/Tk on X11
- tk2 (1.1-10)
- Tk GUI for the ICOM IC-R2 receiver
- tk5 (0.6-6.2)
- Experimental Software for the ICOM IC-R5 Receiver
- tk707 (0.8-2)
- drum sequencer for a sound card or MIDI device
- tk8.6 (8.6.9-2)
- Tk toolkit for Tcl and X11 v8.6 - windowing shell
- tk8.6-blt2.5 (2.5.3+dfsg-4)
- graphics extension library for Tcl/Tk - library
- tk8.6-dev (8.6.9-2)
- Tk toolkit for Tcl and X11 v8.6 - development files
- tk8.6-doc (8.6.9-2)
- Tk toolkit for Tcl and X11 v8.6 - manual pages
- tkabber (1.1.2+20160818-1)
- GUI client for XMPP (Jabber) instant messaging protocol
- tkabber-plugins (1.1.2+20170328-1)
- standard plugins for Tkabber, an XMPP (Jabber) client
- tkblt (3.2.14-1)
- Graphics extension library for Tcl/Tk
- tkblt-dev (3.2.14-1)
- Graphics extension library for Tcl/Tk (development files)
- tkcon (2:2.7.2-1)
- Enhanced interactive console for developing in Tcl
- tkcvs (8.2.3-1.2)
- Graphical front-end to CVS and Subversion
- tkdesk (2.0-11)
- Tk/tcl based X11 Desktop/File manager
- tkdiff
- virtueel pakket geboden door tkcvs
- tkdnd (2.6-1.1)
- adds native drag & drop capabilities to the Tk toolkit
- tkdoc
- virtueel pakket geboden door tk8.6-doc
- tkgate (2.1+repack-3)
- Tcl/Tk based digital circuit editor and simulator
- tkgate-data (2.1+repack-3)
- Tcl/Tk based digital circuit editor and simulator - data files
- tkgate-doc (2.1+repack-3)
- Tcl/Tk based digital circuit editor and simulator - documentation
- tkinfo (2.11-2)
- Tcl/Tk Info browser
- tkinspect (5.1.6p10-6)
- Tk application inspector for developing in Tcl
- tklib (0.6+20190108-1)
- standard Tk Library
- tkmib (5.7.3+dfsg-5+deb10u4) [security]
- SNMP (Simple Network Management Protocol) MIB browser
- tkpng (0.9-3)
- PNG photo image support to Tcl/Tk
- tkremind (03.01.16-1)
- Tk GUI interface to remind
- tksao (8.0.1+repack-2)
- Tk widgets for astronomical imaging and data visualization
- tktray
- virtueel pakket geboden door tk-tktray
- tktreectrl (2.4.1-1)
- flexible listbox widget for Tcl/Tk
- tl-parser (0.0.0+git20180215.f49077de-4)
- command-line tool to parse TL scheme to tlo file
- tla (1.3.5+dfsg1-2+b1)
- GNU Arch revision control system
- tla-doc (1.3.5+dfsg1-2)
- GNU Arch revision control system (documentation)
- (2.2.0-2)
- Python tool for separating TLDs
- tldr (0.4.0-2+b2)
- Haskell tldr client
- tldr-py (0.7.0-3)
- Python client for tldr: simplified and community-driven man pages
- tlf (1.3.2-1)
- console based ham radio contest logger
- tlp (1.1-2)
- Save battery power on laptops
- tlp-rdw (1.1-2)
- Radio device wizard
- tlsh-tools (3.4.4+20151206-1.1)
- compare similar files using fuzzy hashing
- tm-align (20170708+dfsg-2)
- structural alignment of proteins
- tmate (2.2.1-1+b2)
- terminal multiplexer with instant terminal sharing
- tmexpand (0.1.2.0-4)
- text-macro processing script to create HTML and SGML documents
- tmfs (3-2+b6 [amd64, armhf, i386], 3-2+b4 [arm64])
- Apple Time Machine FUSE mount
- tmispell-voikko (0.7.1-4+b2)
- Ispell wrapper which uses Voikko for spell-checking
- tmperamental (1.1)
- LD_PRELOADable library to detect rogue writes to /tmp/
- tmpl (0.0~git20160209.0.8e77bc5-5+b10)
- Command line interface to Go's text/template library - CLI utility
- tmpreaper (1.6.14+deb10u1)
- cleans up files in directories based on their age
- tmux (2.8-3+deb10u1) [security]
- terminal multiplexer
- tmux-plugin-manager (3.0.0-1)
- tmux plugin manager based on git
- tmux-themepack-jimeh (0+git20180910-126150d-1)
- pack of various themes for tmux by jimeh
- tmuxinator (0.15.0-1)
- Create and manage tmux sessions easily
- tmuxp (1.5.0a-1)
- tmux session manager
- tnat64 (0.05-1+b2)
- IPv4 to NAT64 redirector
- tnef (1.4.12-1.2+deb10u1)
- Tool to unpack MIME application/ms-tnef attachments
- tnftp (20130505-3+b3)
- enhanced ftp client
- tnseq-transit (2.3.4-1)
- statistical calculations of essentiality of genes or genomic regions
- tntdb-mysql4v5 (1.3-4+b1)
- MySQL backend for tntdb database access library
- tntdb-postgresql4v5 (1.3-4+b1)
- PostgreSQL backend for tntdb database access library
- tntdb-sqlite4v5 (1.3-4+b1)
- SQLite backend for tntdb database access library
- tntnet (2.2.1-3+b1)
- modular, multithreaded web application server for C++
- tntnet-demos (2.2.1-3+b1)
- demo web applications for Tntnet
- tntnet-doc (2.2.1-3)
- documentation for Tntnet
- tntnet-runtime (2.2.1-3+b1)
- Tntnet runtime system
- todoman (3.5.0-1)
- Simple CalDAV-based todo manager
- todotxt-cli (2.11.0-2)
- simple and extensible shell script for managing todo.txt file
- tofrodos (1.7.13+ds-4)
- Converts DOS <-> Unix text files, alias tofromdos
- toga2 (3.0.0.1SE1-2)
- computer chess engine, calculates chess moves
- togl-demos (2.0-1)
- Tk OpenGL widget - demo files
- toil (3.18.0-2)
- cross-platform workflow engine
- toilet (0.3-1.2)
- display large colourful characters in text mode
- toilet-fonts (0.3-1.2)
- collection of TOIlet fonts
- tokyocabinet-bin (1.4.48-12)
- Tokyo Cabinet Database Utilities
- tokyocabinet-doc (1.4.48-12)
- Tokyo Cabinet Database Documentation
- tokyotyrant (1.1.40-4.2+b1)
- Tokyo Tyrant: network interface to Tokyo Cabinet
- tokyotyrant-dbg (1.1.40-4.2+b1)
- Tokyo Tyrant debugging symbols
- tokyotyrant-doc (1.1.40-4.2)
- Tokyo Tyrant documentation
- tokyotyrant-utils (1.1.40-4.2+b1)
- Tokyo Tyrant utilities
- tomatoes (1.55-9)
- I Have No Tomatoes - tomato smashing game
- tomatoes-data (1.55-9)
- I Have No Tomatoes - tomato smashing game - music files
- tomb (2.5+dfsg1-2)
- crypto undertaker
- tomcat9 (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine
- tomcat9-admin (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- admin web applications
- tomcat9-common (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- common files
- tomcat9-docs (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- documentation
- tomcat9-examples (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- example web applications
- tomcat9-user (9.0.31-1~deb10u12) [security]
- Apache Tomcat 9 - Servlet and JSP engine -- tools to create user instances
- tome (2.4~0.git.2015.12.29-1.2+b2) [non-free]
- single-player text-based roguelike dungeon simulation game
- tomoyo-tools (2.5.0-20170102-4)
- lightweight Linux Mandatory Access Control system
- toot (0.21.0-1)
- mastodon cli client
- topal (80-1)
- Links Pine and GnuPG together
- topcat (4.6.2-1)
- Tool for OPerations on Catalogues And Tables
- topcat-doc (4.6.2-1)
- Tool for OPerations on Catalogues And Tables (documentation)
- topgit (0.8-1.2)
- a Git patch queue manager
- tophat (2.1.1+dfsg1-2+b1)
- fast splice junction mapper for RNA-Seq reads
- topic-tools (1.14.3+ds1-5+deb10u3)
- Tools for messing with Robot OS topics
- topp (2.4.0-real-1)
- set of programs implementing The OpenMS Proteomic Pipeline
- toppler (1.1.6-3)
- clone of the "Nebulus" game on old 8 and 16 bit machines
- toppred (1.10-7)
- transmembrane topology prediction
- tor (0.3.5.16-1+deb10u1) [security]
- anonymizing overlay network for TCP
- tor-arm (2.1.0-2)
- transitional package
- tor-geoipdb (0.3.5.16-1+deb10u1) [security]
- GeoIP database for Tor
- tora (2.1.3-4)
- graphical toolkit for database developers and administrators
- tora-dbg (2.1.3-4)
- graphical toolkit for databaseis - debugging symbols
- torch-core-free (20171127)
- Scientific Computing Framework For Luajit (Core Components)
- torch-trepl (0~20170619-ge5e17e3-7)
- REPL Wrapper Package for Torch Framework
- torcs (1.3.7+dfsg-4)
- 3D racing cars simulator game using OpenGL
- torcs-data (1.3.7+dfsg-4)
- data files for TORCS
- torrus-apache2
- virtueel pakket geboden door torrus-common
- torrus-common (3.00-1)
- Universal front-end for Round-Robin Databases (common files)
- torsocks (2.3.0-2)
- use SOCKS-friendly applications with Tor
- tortoisehg (4.8.1-0.1)
- Graphical tool for working with Mercurial
- tortoisehg-caja (4.8.1-0.1)
- Graphical tool for working with Mercurial (Caja extension)
- tortoisehg-nautilus (4.8.1-0.1)
- Graphical tool for working with Mercurial (Nautilus extension)
- torus-trooper (0.22.dfsg1-12)
- speeding ship sailing through barrage
- torus-trooper-data (0.22.dfsg1-12)
- speeding ship sailing through barrage - game data
- totalopenstation (0.3.3-3)
- download and process data from total station devices
- totem (3.30.0-4)
- Eenvoudige mediaspeler voor de GNOME-desktop, gebaseerd op GStreamer
- totem-common (3.30.0-4)
- Data files for the Totem media player
- totem-plugin-gromit (3.30.0-4)
- Gromit plugin for the Totem media player
- totem-plugin-zeitgeist (3.30.0-4)
- Zeitgeist plugin for the Totem media player
- totem-plugins (3.30.0-4)
- Plugins for the Totem media player
- toulbar2 (1.0.0+dfsg3-2)
- Exact combinatorial optimization for Graphical Models
- toulbar2-doc (1.0.0+dfsg3-2)
- Exact combinatorial optimization for Graphical Models - documentation
- tourney-manager (20070820-4)
- perl interface to run chess engine tournaments
- tox (3.7.0-2)
- virtualenv-based automation of test activities
- toxcore-utils (0.2.9-1)
- Distributed, secure messenger - DHT bootstrap tools
- toxiproxy (2.0.0+dfsg1-6+b21)
- proxy to simulate network and system conditions
- toxiproxy-cli (2.0.0+dfsg1-6+b21)
- proxy to simulate network and system conditions - CLI
- toxiproxy-dev (2.0.0+dfsg1-6)
- proxy to simulate network and system conditions - dev package
- tp-smapi-dkms (0.43-1)
- ThinkPad hardware/firmware access modules source - dkms version
- tpb (0.6.4-11)
- program to use the IBM ThinkPad(tm) special keys
- tpm-quote-tools (1.0.4-1)
- TPM-based attestation using the TPM quote operation (tools
- tpm-tools (1.3.9.1-0.2)
- Management tools for the TPM hardware (tools)
- tpm-tools-pkcs11 (1.3.9.1-0.2)
- Management tools for the TPM hardware (PKCS#11 tools)
- tpm2-abrmd (2.1.0-1)
- TPM2 Access Broker & Resource Management Daemon
- tpm2-tools (3.1.3-2)
- TPM 2.0 utilities
- tpp (1.3.1-7)
- text presentation program
- trabucco (1.1-1)
- This is a launcher for people that are nostalgic about katapult
- trac (1.2.3+dfsg-1)
- Enhanced wiki and issue tracking system for software development projects
- trac-accountmanager (0.5.0-1)
- account management plugin for Trac
- trac-announcer (1.2.0+r16900-1)
- enhanced e-mail notification system for Trac
- trac-bitten (0.6+final-3)
- continuous integration plugin for Trac
- trac-bitten-slave (0.6+final-3)
- continuous integration plugin for Trac
- (1.1.1+dfsg-1)
- code comments and review plugin for Trac
- trac-customfieldadmin (0.2.13+r16679-1)
- panel for administrating custom ticket fields in Trac
- trac-datefield (1:3.0.0+r16195-1)
- Add custom date fields to Trac tickets
- trac-datefieldplugin
- virtueel pakket geboden door trac-datefield
- trac-diavisview (0.3-2)
- Renders dia and vdx files in Trac
- trac-email2trac (2.10.0-2)
- Creates and amends Trac tickets from e-mail
- trac-graphviz (0.7.5-1.1)
- Graphs printing plugin for Trac
- trac-httpauth (1.2-1)
- Force HTTP authentication from within Trac
- trac-icalview (1:0.4+r7253-1)
- Provides iCalendar feeds for ticket queries
- trac-icalviewplugin
- virtueel pakket geboden door trac-icalview
- trac-includemacro (3.0.0~r12030-1)
- Include external resources in a Trac wiki page
- trac-jsgantt (0.11+r14208-1)
- displays Trac tickets as a Gantt chart in a wiki page
- trac-mastertickets (4.0.2-1)
- adds inter-ticket dependencies to Trac
- trac-navadd (0.3+svn13554-1)
- add custom items to main and meta navigation bar in Trac webapp
- trac-privatewiki (1.0.0+r13400-1)
- add private wiki ability to Trac
- trac-roadmap (0.4.1+r11241-2)
- enhances the Trac roadmap with sorting and filtering
- trac-sensitivetickets (0.21-1)
- Plugin for Trac ticketing system to hide tickets marked as sensitive
- trac-spamfilter (1.0.9-1)
- Spam-prevention plugin for Trac
- trac-subcomponents (1.3.1-1)
- use multiple layers of components in Trac
- trac-subtickets (0.5.3-1)
- sub-ticket feature for Trac tickets
- trac-tags (0.9-3)
- Tagging plugin for Trac wiki and issue tracking system
- trac-translatedpages (1.0~svn11919-2)
- Show translated versions of wiki page in the Trac web application
- trac-virtualticketpermissions (1.0.0+svn4153-1)
- Extended permissions plugin for Trac ticketing system
- trac-wikiprint (2.0.0+r16816-1)
- Make Trac wiki pages printable, exporting to PDF or printable HTML
- trac-wikitablemacro (1:0.3-1)
- SQL Table in Wiki Page for Trac
- trac-wysiwyg (0.12.0.7-1)
- WYSIWYG style editor for the Trac issue tracking system
- trac-xmlrpc (1.1.7+r17052-1)
- XML-RPC interface to the Trac wiki and issue tracking system
- trace-cmd (2.6.1-0.1)
- Utility for retrieving and analyzing function tracing in the kernel
- trace-summary (0.88-1)
- tool for generating break-downs of network traffic
- trace2dbest (3.0.1-1)
- bulk submission of chromatogram data to dbEST
- trace2dbest-doc (3.0.1-1)
- Documentation and sample files for trace2dbest
- traceroute (1:2.1.0-2)
- Traces the route taken by packets over an IPv4/IPv6 network
- traceroute
- virtueel pakket geboden door inetutils-traceroute
- tracetuner (3.0.6~beta+dfsg-1)
- interpretation of DNA Sanger sequencing data
- trackballs (1.3.1-1)
- OpenGL-based game of steering a marble through a labyrinth
- trackballs-data (1.3.1-1)
- Data files for trackballs
- tracker (2.1.8-2)
- metadata database, indexer and search tool
- (2.1.6-1)
- metadata database, indexer and search tool - metadata extractors
- tracker-miner-fs (2.1.6-1)
- metadata database, indexer and search tool - filesystem indexer
- trafficserver (8.1.7-0+deb10u4) [security]
- fast, scalable and extensible HTTP/1.1 and HTTP/2.0 caching proxy server
- trafficserver-dev (8.1.7-0+deb10u4) [security]
- Apache Traffic Server Software Developers Kit (SDK)
- trafficserver-experimental-plugins (8.1.7-0+deb10u4) [security]
- experimental plugins for Apache Traffic Server
- trafficserver-plugin-conf-remap
- virtueel pakket geboden door trafficserver
- traildb-cli (0.6+dfsg1-1)
- library to handle series of discrete events (cli tool)
- tralics (2.14.4-2+b2)
- LaTeX to XML translator
- tran (4-1)
- transcribe between character scripts (alphabets)
- trang (20151127+dfsg-3)
- XML schema converter
- trans-de-en (1.8.1-7)
- German-English translation dictionary
- transcalc (0.14-6)
- microwave and RF transmission line calculator
- transcend (0.3.dfsg2-3+b1)
- retro-style, abstract 2D shooter
- transcriber (1.5.1.1-10+b1)
- transcribe speech data using an integrated editor
- transdecoder (5.0.1-2)
- find coding regions within RNA transcript sequences
- transdecoder-doc (5.0.1-2)
- find coding regions within transcripts
- transfermii (1:0.6.1-3)
- transfer your mii from and to your wiimotes
- transfermii-gui (1:0.6.1-3)
- transfer your mii from and to your wiimotes -- GUI
- transfig
- virtueel pakket geboden door fig2dev
- transgui (5.0.1-5.1)
- Front-end to remotely control Transmission
- transifex-client (0.13.5-2)
- Command line interface for Transifex
- transit
- virtueel pakket geboden door tnseq-transit
- translate (0.6-11)
- translates words from English into German or viceversa
- translate-docformat (0.6-5)
- any-to-any document translation system
- translate-shell (0.9.6.9-1) [contrib]
- Command-line translator using Google Translate, etc.
- translate-toolkit (2.3.1-3)
- Toolkit assisting in the localization of software
- translate-toolkit-doc (2.3.1-3)
- Toolkit assisting in the localization of software (documentation)
- translation-dictionary
- virtueel pakket geboden door trans-de-en
- transmission (2.94-2+deb10u2)
- lightweight BitTorrent client
- transmission-cli (2.94-2+deb10u2)
- lightweight BitTorrent client (command line programs)
- transmission-common (2.94-2+deb10u2)
- lichtgewicht BitTorrent-client (gemeenschappelijke bestanden)
- transmission-daemon (2.94-2+deb10u2)
- lightweight BitTorrent client (daemon)
- transmission-gtk (2.94-2+deb10u2)
- lightweight BitTorrent client (GTK+ interface)
- transmission-qt (2.94-2+deb10u2)
- lightweight BitTorrent client (Qt interface)
- transmission-remote-cli (1.7.0-1)
- ncurses interface for the Transmission BitTorrent daemon
- transmission-remote-gtk (1.4.1-1)
- GTK+ interface for the Transmission BitTorrent daemon
- transrate-tools (1.0.0-2)
- helper for transrate
- transtermhp (2.09-4)
- find rho-independent transcription terminators in bacterial genomes
- trash-cli (0.17.1.14-2)
- command line trashcan utility
- travis (190101-1)
- trajectory analyzer and visualizer
- trayer (1.1.8-2)
- Lightweight GTK2-based systray for UNIX desktop
- tre-agrep (0.8.0-6)
- approximate grep utility based on the tre library
- tree (1.8.0-1)
- displays an indented directory tree, in color
- tree-ppuzzle (5.2-11)
- Parallelized reconstruction of phylogenetic trees by maximum likelihood
- tree-puzzle (5.2-11)
- Reconstruction of phylogenetic trees by maximum likelihood
- tree-puzzle-doc (5.2-11)
- Reconstruction of phylogenetic trees by maximum likelihood (doc)
- treeline (3.0.1-1)
- versatile tree-like structured custom data manager
- treesheets (1:1.0.0-1)
- Data organizer that covers spreadsheets, mind mappers, and small databases
- treetop (1.6.8-1)
- Ruby-based text parsing and interpretation (command-line utility)
- treeview (1.1.6.4+dfsg1-4)
- Java re-implementation of Michael Eisen's TreeView
- treeviewx (0.5.1+git20100823.7e4d0e9-1)
- Displays and prints phylogenetic trees
- treil (1.8-2.2+b3)
- tree structure into tiles
- trend (1.4-1)
- general-purpose, efficient trend graph
- trezor (0.9.0-1)
- utilities for communicating with TREZOR Bitcoin HW wallet
- triangle-bin (1.6-2) [non-free]
- High-quality 2-D mesh generator binary programs
- trickle (1.07-10.1+b1)
- user-space bandwidth shaper
- trigger-rally (0.6.6+dfsg-2)
- free 3D rally racing car game
- trigger-rally-data (0.6.6+dfsg-2)
- free 3D rally racing car game - data files
- triggerhappy (0.5.0-1)
- global hotkey daemon for Linux
- trilinos-all-dev (12.12.1-7)
- object-oriented framework for large-scale problems - development files (ALL)
- trilinos-dev (12.12.1-7)
- object-oriented framework for large-scale problems - development files
- trilinos-doc (12.12.1-7)
- object-oriented framework for large-scale problems - documentation
- trimage (1.0.5+git20130126.e47888e-1)
- GUI and command-line interface to optimize image files
- trimmomatic (0.38+dfsg-1)
- flexible read trimming tool for Illumina NGS data
- trinity (1.8-6)
- system call fuzz tester
- trinityrnaseq (2.6.6+dfsg-6)
- RNA-Seq De novo Assembly
- trinityrnaseq-examples (2.6.6+dfsg-6)
- RNA-Seq De novo Assembly common example and testing files
- triplane (1.0.8-2+b1)
- side-scrolling dogfighting game
- tripwire (2.4.3.7-1+b10)
- file and directory integrity checker
- tritium (0.3.8-3)
- tabbed/tiling window manager
- trn
- virtueel pakket geboden door trn4
- trn4 (4.0-test77-12) [non-free]
- Threaded USENET news reader, based on rn (4.0 beta test)
- trnascan-se (2.0.0-3) [non-free]
- detection of transfer RNA genes in genomic sequence
- trnascan-se-common (2.0.0-3) [non-free]
- detection of transfer RNA genes in genomic sequence (common files)
- trocla (0.2.3-1)
- generate and store passwords and certificates on a central server
- troffcvt (1.04-24)
- Converts troff source to HTML, RTF, and plain text
- trojan (1.10.0-3)
- fast and lightweight proxy that helps you bypass firewalls
- trollsched-bin (0.5.1-2)
- Scheduling satellite passes in Python - scripts
- trophy (2.0.3-2)
- 2D car racing action game
- trophy-data (2.0.3-2)
- data files for trophy
- trousers (0.3.14+fixed1-1)
- open-source TCG Software Stack (daemon)
- trousers-dbg (0.3.14+fixed1-1)
- open-source TCG Software Stack (debug)
- trovacap (0.2.2-1+b2)
- tool to find Italian ZIP codes
- trovacap-data (0.2.2-1)
- tool to find Italian ZIP codes - ZIP codes database
- trscripts (1.18)
- Scripts for reencoding text files and BDF-fonts
- trueprint (5.4-3)
- pretty printing of source code
- trustedqsl (2.4.3-1)
- QSL log signing for the Logbook of the World (LoTW)
- trydiffoscope (67.0.1)
- in-depth comparison of files, archives, etc. (try.diffoscope.org client)
- tryton-client (5.0.5-1)
- Tryton Application Platform (Client)
- tryton-client-doc (5.0.5-1)
- Tryton Application Platform (Client Documentation)
- tryton-modules-account (5.0.3-1)
- Tryton Application Platform (Financial and Accounting Module)
- tryton-modules-account-asset (5.0.0-3)
- Tryton Application Platform (Account Asset Module)
- tryton-modules-account-be (5.0.0-2)
- Tryton Application Platform (Financial and Accounting Module for Belgium)
- tryton-modules-account-credit-limit (5.0.0-2)
- Tryton Application Platform (Account Credit Limit Module)
- tryton-modules-account-de-skr03 (5.0.0-2)
- Tryton Application Platform (Financial and Accounting Module for Germany)
- tryton-modules-account-deposit (5.0.1-1)
- Tryton Application Platform (Account Deposit Module)
- tryton-modules-account-dunning (5.0.1-2)
- Tryton Application Platform (Account Dunning Module)
- tryton-modules-account-dunning-email (5.0.0-2)
- Tryton Application Platform (Account Dunning E-Mail Module)
- tryton-modules-account-dunning-fee (5.0.0-2)
- Tryton Application Platform (Account Dunning Fee Module)
- tryton-modules-account-dunning-letter (5.0.0-2)
- Tryton Application Platform (Account Dunning Letter Module)
- tryton-modules-account-es (5.0.1-2)
- Tryton Application Platform (Financial and Accounting Module for Spain)
- tryton-modules-account-eu (5.0.0-2)
- Tryton Application Platform (Account EU Module)
- tryton-modules-account-fr (5.0.0-2)
- Tryton Application Platform (Financial and Accounting Module for France)
- tryton-modules-account-fr-chorus (5.0.0-2)
- Tryton Application Platform (Account Chorus Module for France)
- tryton-modules-account-invoice (5.0.1-2)
- Tryton Application Platform (Account Invoice Module)
- tryton-modules-account-invoice-history (5.0.0-3)
- Tryton Application Platform (Account Invoice History Module)
- tryton-modules-account-invoice-line-standalone (5.0.0-2)
- Tryton Application Platform (Account Invoice Line Standalone Module)
- tryton-modules-account-invoice-stock (5.0.0-2)
- Tryton Application Platform (Account Invoice Stock Module)
- tryton-modules-account-payment (5.0.0-3)
- Tryton Application Platform (Account Payment Module)
- tryton-modules-account-payment-clearing (5.0.1-1)
- Tryton Application Platform (Account Payment Clearing Module)
- tryton-modules-account-payment-sepa (5.0.1-2)
- Tryton Application Platform (Account Payment SEPA Module)
- tryton-modules-account-payment-sepa-cfonb (5.0.0-2)
- Tryton Application Platform (Account Payment SEPA CFONB Module)
- tryton-modules-account-product (5.0.1-2)
- Tryton Application Platform (Account Product Module)
- tryton-modules-account-statement (5.0.1-2)
- Tryton Application Platform (Account Statement Module)
- tryton-modules-account-stock-anglo-saxon (5.0.0-3)
- Tryton Application Platform (Account Stock Anglo Saxon Module)
- tryton-modules-account-stock-continental (5.0.0-3)
- Tryton Application Platform (Account Stock Continental Module)
- tryton-modules-account-stock-landed-cost (5.0.0-2)
- Tryton Application Platform (Account Stock Landed Cost Module)
- tryton-modules-account-stock-landed-cost-weight (5.0.0-2)
- Tryton Application Platform (Account Stock Landed Cost Weight Module)
- tryton-modules-account-tax-rule-country (5.0.0-2)
- Tryton Application Platform (Account Tax Rule Country Module)
- tryton-modules-all (46)
- Tryton Application Platform (Tryton Modules Metapackage)
- tryton-modules-analytic-account (5.0.0-2)
- Tryton Application Platform (Analytic Account Module)
- tryton-modules-analytic-invoice (1:5.0.0-3)
- Tryton Application Platform (Analytic Invoice Module)
- tryton-modules-analytic-purchase (5.0.0-2)
- Tryton Application Platform (Analytic Purchase Module)
- tryton-modules-analytic-sale (5.0.0-2)
- Tryton Application Platform (Analytic Sale Module)
- tryton-modules-authentication-sms (5.0.0-2)
- Tryton Application Platform (Authentication SMS Module)
- tryton-modules-bank (5.0.0-2)
- Tryton Application Platform (Bank Module)
- tryton-modules-carrier (5.0.0-2)
- Tryton Application Platform (Carrier Module)
- tryton-modules-carrier-percentage (5.0.0-4)
- Tryton Application Platform (Carrier Percentage Module)
- tryton-modules-carrier-weight (5.0.0-4)
- Tryton Application Platform (Carrier Weight Module)
- tryton-modules-commission (5.0.0-2)
- Tryton Application Platform (Commission Module)
- tryton-modules-commission-waiting (5.0.0-2)
- Tryton Application Platform (Commission Waiting Module)
- tryton-modules-company (5.0.0-2)
- Tryton Application Platform (Company Module)
- tryton-modules-company-work-time (5.0.0-2)
- Tryton Application Platform (Company Work Time Module)
- tryton-modules-country (5.0.0-2)
- Tryton Application Platform (Country Module)
- tryton-modules-currency (5.0.1-2)
- Tryton Application Platform (Currency Module)
- tryton-modules-customs (5.0.0-2)
- Tryton Application Platform (Customs Module)
- tryton-modules-dashboard (5.0.0-2)
- Tryton Application Platform (Dashboard Module)
- tryton-modules-edocument-uncefact (5.0.0-3)
- Tryton Application Platform (Account Dunning E-Mail Module)
- tryton-modules-edocument-unece (5.0.0-2)
- Tryton Application Platform (Account Dunning E-Mail Module)
- tryton-modules-google-maps (5.0.0-2)
- Tryton Application Platform (Google Maps Module)
- tryton-modules-ldap-authentication (5.0.0-2)
- Tryton Application Platform (LDAP Authentication Module)
- tryton-modules-notification-email (5.0.0-2)
- Tryton Application Platform (E-Mail Notification Module)
- tryton-modules-party (5.0.0-2)
- Tryton Application Platform (Party Module)
- tryton-modules-party-relationship (5.0.0-2)
- Tryton Application Platform (Party Relationship Module)
- tryton-modules-party-siret (5.0.0-2)
- Tryton Application Platform (Party SIRET/SIREN Module)
- tryton-modules-product (5.0.0-3)
- Tryton Application Platform (Product Module)
- tryton-modules-product-attribute (5.0.0-2)
- Tryton Application Platform (Product Attribute Module)
- tryton-modules-product-classification (5.0.0-2)
- Tryton Application Platform (Product Classification Module)
- tryton-modules-product-classification-taxonomic (5.0.0-2)
- Tryton Application Platform (Product Classification Module)
- tryton-modules-product-cost-fifo (5.0.0-3)
- Tryton Application Platform (Product Cost FIFO Module)
- tryton-modules-product-cost-history (5.0.0-2)
- Tryton Application Platform (Product Cost History Module)
- tryton-modules-product-measurements (5.0.0-2)
- Tryton Application Platform (Product Measurements Module)
- tryton-modules-product-price-list (5.0.0-2)
- Tryton Application Platform (Product Price List Module)
- tryton-modules-production (5.0.0-2)
- Tryton Application Platform (Production Module)
- tryton-modules-production-routing (5.0.0-2)
- Tryton Application Platform (Production Routing Module)
- tryton-modules-production-work (5.0.0-2)
- Tryton Application Platform (Production Work Module)
- tryton-modules-project (5.0.0-2)
- Tryton Application Platform (Project Module)
- tryton-modules-project-invoice (5.0.0-2)
- Tryton Application Platform (Project Invoice Module)
- tryton-modules-project-plan (5.0.0-2)
- Tryton Application Platform (Project Plan Module)
- tryton-modules-project-revenue (5.0.0-2)
- Tryton Application Platform (Project Revenue Module)
- tryton-modules-purchase (5.0.0-2)
- Tryton Application Platform (Purchase Module)
- tryton-modules-purchase-invoice-line-standalone (5.0.0-2)
- Tryton Application Platform (Purchase Invoice Line Standalone Module)
- tryton-modules-purchase-request (5.0.1-3)
- Tryton Application Platform (Purchase Request Module)
- tryton-modules-purchase-shipment-cost (5.0.0-4)
- Tryton Application Platform (Purchase Shipment Cost Module)
- tryton-modules-sale (5.0.1-2)
- Tryton Application Platform (Sale Module)
- tryton-modules-sale-complaint (5.0.0-2)
- Tryton Application Platform (Sale Complaint Module)
- tryton-modules-sale-credit-limit (5.0.0-2)
- Tryton Application Platform (Sale Credit Limit Module)
- (5.0.0-2)
- Tryton Application Platform (Sale Extra Module)
- tryton-modules-sale-invoice-grouping (5.0.0-3)
- Tryton Application Platform (Sale Invoice Grouping Module)
- tryton-modules-sale-opportunity (5.0.0-2)
- Tryton Application Platform (Sale Opportunity Module)
- tryton-modules-sale-price-list (5.0.1-2)
- Tryton Application Platform (Sale Price List Module)
- tryton-modules-sale-promotion (5.0.0-2)
- Tryton Application Platform (Sale Promotion Module)
- tryton-modules-sale-shipment-cost (5.0.1-1)
- Tryton Application Platform (Sale Shipment Cost Module)
- tryton-modules-sale-shipment-grouping (5.0.0-3)
- Tryton Application Platform (Sale Shipment Grouping Module)
- tryton-modules-sale-stock-quantity (5.0.0-3)
- Tryton Application Platform (Sale Stock Quantity Module)
- tryton-modules-sale-subscription (5.0.1-2)
- Tryton Application Platform (Sale Subscription Module)
- tryton-modules-sale-supply (5.0.1-2)
- Tryton Application Platform (Sale Supply Module)
- tryton-modules-sale-supply-drop-shipment (5.0.0-2)
- Tryton Application Platform (Sale Supply Drop Shipment Module)
- tryton-modules-stock (5.0.2-1)
- Tryton Application Platform (Stock Module)
- tryton-modules-stock-forecast (5.0.0-2)
- Tryton Application Platform (Stock Forecast Module)
- tryton-modules-stock-inventory-location (5.0.0-2)
- Tryton Application Platform (Stock Inventory Location Module)
- tryton-modules-stock-location-sequence (5.0.0-2)
- Tryton Application Platform (Stock Location Sequence Module)
- tryton-modules-stock-lot (5.0.0-2)
- Tryton Application Platform (Stock Lot Module)
- tryton-modules-stock-lot-sled (5.0.0-2)
- Tryton Application Platform (Stock Lot SLED Module)
- tryton-modules-stock-package (5.0.0-2)
- Tryton Application Platform (Stock Package Module)
- tryton-modules-stock-package-shipping (5.0.0-2)
- Tryton Application Platform (Stock Package Shipping Module)
- tryton-modules-stock-package-shipping-dpd (5.0.0-3)
- Tryton Application Platform (Stock Package Shipping DPD Module)
- tryton-modules-stock-package-shipping-ups (5.0.0-3)
- Tryton Application Platform (Stock Package Shipping UPS Module)
- tryton-modules-stock-product-location (5.0.0-3)
- Tryton Application Platform (Stock Product Location Module)
- tryton-modules-stock-shipment-measurements (5.0.0-3)
- Tryton Application Platform (Stock Shipment Measurements Module)
- tryton-modules-stock-split (5.0.0-2)
- Tryton Application Platform (Stock Move Split Module)
- tryton-modules-stock-supply (5.0.1-2)
- Tryton Application Platform (Stock Supply Module)
- tryton-modules-stock-supply-day (5.0.0-2)
- Tryton Application Platform (Stock Supply Day Module)
- tryton-modules-stock-supply-forecast (5.0.0-3)
- Tryton Application Platform (Stock Supply Forecast Module)
- tryton-modules-stock-supply-production (5.0.0-2)
- Tryton Application Platform (Stock Supply Production Module)
- tryton-modules-timesheet (5.0.0-2)
- Tryton Application Platform (Timesheet Module)
- tryton-modules-timesheet-cost (5.0.0-2)
- Tryton Application Platform (Timesheet Cost Module)
- tryton-proteus (5.0.1-3+deb10u1)
- Tryton Application Platform (Proteus)
- tryton-server (5.0.4-2+deb10u2) [security]
- Tryton Application Platform (Server)
- tryton-server-doc (5.0.4-2+deb10u2) [security]
- Tryton Application Platform (Server Documentation)
- tsdecrypt (10.0-2+b2)
- decrypt MPEG transport stream
- tse3play (0.3.1-6)
- MIDI/TSE3MDL player/converter
- tshark (2.6.20-0+deb10u8) [security]
- network traffic analyzer - console version
- tsmarty2c (1.6.1-1)
- Smarty Gettext's translation string ripper
- tsocks (1.8beta5+ds1-1)
- transparent network access through a SOCKS 4 or 5 proxy
- tss2 (1045-1.2)
- IBM's TCG Software Stack (TSS) for TPM 2.0 and related utilities
- tstools (1.11-1+b1 [armhf], 1.11-1 [amd64, arm64, i386])
- set of tools for reporting on and manipulating MPEG data
- tsung (1.7.0-3)
- distributed multi-protocol load testing tool
- (18.12+dfsg-1.1)
- Tiny Tiny RSS - web-based news feed (RSS/Atom) aggregator
- ttf-adf-accanthis (0.20110505-3)
- transitional dummy package
- ttf-adf-accanthis
- virtueel pakket geboden door fonts-adf-accanthis
- ttf-adf-baskervald (0.20110505-3)
- transitional dummy package
- ttf-adf-baskervald
- virtueel pakket geboden door fonts-adf-baskervald
- ttf-adf-berenis (0.20110505-3)
- transitional dummy package
- ttf-adf-berenis
- virtueel pakket geboden door fonts-adf-berenis
- ttf-adf-gillius (0.20110505-3)
- transitional dummy package
- ttf-adf-gillius
- virtueel pakket geboden door fonts-adf-gillius
- ttf-adf-ikarius (0.20110505-3)
- transitional dummy package
- ttf-adf-ikarius
- virtueel pakket geboden door fonts-adf-ikarius
- ttf-adf-irianis (0.20110505-3)
- transitional dummy package
- ttf-adf-irianis
- virtueel pakket geboden door fonts-adf-irianis
- ttf-adf-libris (0.20110505-3)
- transitional dummy package
- ttf-adf-libris
- virtueel pakket geboden door fonts-adf-libris
- ttf-adf-mekanus (0.20110505-3)
- transitional dummy package
- ttf-adf-mekanus
- virtueel pakket geboden door fonts-adf-mekanus
- ttf-adf-oldania (0.20110505-3)
- transitional dummy package
- ttf-adf-oldania
- virtueel pakket geboden door fonts-adf-oldania
- ttf-adf-romande (0.20110505-3)
- transitional dummy package
- ttf-adf-romande
- virtueel pakket geboden door fonts-adf-romande
- ttf-adf-switzera (0.20110505-3)
- transitional dummy package
- ttf-adf-switzera
- virtueel pakket geboden door fonts-adf-switzera
- ttf-adf-tribun (0.20110505-3)
- transitional dummy package
- ttf-adf-tribun
- virtueel pakket geboden door fonts-adf-tribun
- ttf-adf-universalis (0.20110505-3)
- transitional dummy package
- ttf-adf-universalis
- virtueel pakket geboden door fonts-adf-universalis
- ttf-adf-verana (0.20110505-3)
- transitional dummy package
- ttf-adf-verana
- virtueel pakket geboden door fonts-adf-verana
- ttf-aenigma (0.0.20080510.dfsg-2)
- 465 free TrueType fonts by Brian Kent
- ttf-ancient-fonts (2.60-1)
- Unicode Fonts for Ancient Scripts (transitional package)
- ttf-ancient-fonts
- virtueel pakket geboden door fonts-ancient-scripts
- ttf-ancient-fonts-symbola (2.60-1)
- symbolic font providing emoji chars from Unicode 9.0 (transit. pkg)
- ttf-ancient-fonts-symbola
- virtueel pakket geboden door fonts-symbola
- ttf-anonymous-pro (1.002-1)
- fixed width sans serif font designed for coders
- ttf-bitstream-vera (1.10-8)
- The Bitstream Vera family of free TrueType fonts
- ttf-dejavu (2.37-1)
- transitional dummy package
- ttf-dejavu-core (2.37-1)
- transitional dummy package
- (2.37-1)
- transitional dummy package
- ttf-denemo (2.2.0-1)
- music notation symbol fonts for denemo
- ttf-engadget (1.001-1-2)
- Modern font from John Stracke
- ttf-essays1743 (2.100-3)
- transitional dummy package
- ttf-femkeklaver (1.0-2)
- transitional dummy package for fonts-femkeklaver
- ttf-freefarsi (1.0.0~beta1-7)
- transitional dummy package
- ttf-freefarsi
- virtueel pakket geboden door fonts-freefarsi
- ttf-georgewilliams (20031023-2)
- transitional dummy package
- ttf-georgewilliams
- virtueel pakket geboden door fonts-georgewilliams
- ttf-goudybookletter (2010.07.03-4)
- transitional dummy package
- ttf-installer
- virtueel pakket geboden door fonts-freefont-udeb, fonts-farsiweb-udeb, fonts-tibetan-machine-udeb, fonts-sil-padauk-udeb, fonts-sil-scheherazade-udeb, fonts-sil-abyssinica-udeb
- ttf-isabella (1.202-1)
- transitional dummy package
- ttf-isabella
- virtueel pakket geboden door fonts-isabella
- ttf-jsmath (0.090709+0-3)
- transition dummy package.
- ttf-jsmath
- virtueel pakket geboden door fonts-jsmath
- ttf-mph-2b-damase
- virtueel pakket geboden door fonts-mph-2b-damase
- ttf-mplus
- virtueel pakket geboden door fonts-mplus
- ttf-mscorefonts-installer (3.7) [contrib]
- Installer for Microsoft TrueType core fonts
- ttf-opensymbol
- virtueel pakket geboden door fonts-opensymbol
- ttf-prociono
- virtueel pakket geboden door fonts-prociono
- ttf-sil-scheherazade
- virtueel pakket geboden door fonts-sil-scheherazade
- ttf-sjfonts (2.0.2-1.1)
- Some Juicy Fonts handwriting fonts
- ttf-staypuft (0.04-7)
- Free TrueType font
- ttf-summersby (1.007-9)
- Free TrueType typeface font
- ttf-tagbanwa (1.007)
- font for the Tagbanwa script
- ttf-tiresias
- virtueel pakket geboden door fonts-tiresias
- ttf-ubuntu-font-family (1:0.83-4) [non-free]
- sans-serif font set from Ubuntu (transitional package)
- ttf-unifont (1:11.0.03-1)
- TrueType version of GNU Unifont
- ttf-wqy-microhei
- virtueel pakket geboden door fonts-wqy-microhei
- ttf-wqy-zenhei
- virtueel pakket geboden door fonts-wqy-zenhei
- ttf-xfree86-nonfree (4.2.1-5) [non-free]
- non-free TrueType fonts from XFree86
- ttf-xfree86-nonfree-syriac (4.2.1-5) [non-free]
- non-free syriac OpenType fonts from XFree86
- ttf-yanone-kaffeesatz
- virtueel pakket geboden door fonts-yanone-kaffeesatz
- ttf2ufm (3.4.4~r2+gbp-1+b1)
- True Type to PostScript Type 1 Font Converter
- ttfautohint (1.8.2-1)
- Automatic font hinter
- tth (4.12+ds-2)
- TeX/LaTeX to HTML converter
- tth-common (4.12+ds-2)
- auxiliary software material for TtH and TtM
- tthsum (1.3.2-1+b1)
- generates or checks TTH message digests
- ttm (4.12+ds-2)
- TeX/LaTeX to MathML converter
- tty-clock (2.3-1+b1)
- simple terminal clock
- ttygif (1.4.0-1)
- generate gif animations from ttyrec terminal recordings
- ttyload (0.5+20131024+gitf949537-2)
- console based color-coded graphs of CPU load average
- ttylog (0.31-1)
- serial port logger
- ttyrec (1.0.8-5+b2)
- Terminal interaction recorder and player (for tty)
- ttysnoop (0.12d-6+b1)
- allows you to spy on telnet+serial connections
- tua (4.3-13+b1)
- The UUCP Analyzer
- tuareg-mode (1:2.1.0-2)
- emacs-mode for OCaml programs
- tucnak (4.09-1)
- VHF/UHF/SHF Hamradio contest logging program
- tudu (0.10.2-1+b1)
- Command line hierarchical ToDo list
- tumbler (0.2.3-1)
- D-Bus thumbnailing service
- tumbler-common (0.2.3-1)
- D-Bus thumbnailing service (common files)
- (0.2.3-1)
- D-Bus thumbnailing service (additional plugins)
- tumgreyspf (1.36-4.1)
- external policy checker for the postfix mail server
- tumiki-fighters (0.2.dfsg1-9)
- sticky 2D shooter
- tumiki-fighters-data (0.2.dfsg1-9)
- sticky 2D shooter - game data
- tuna (0.12-1)
- Application tuning GUI & command line utility
- tunapie (2.1.19-1)
- Lists audio and video streams from Shoutcast and Icecast
- tuned (2.10.0-1)
- daemon for monitoring and adaptive tuning of system devices
- tuned-gtk (2.10.0-1)
- GTK+ GUI for tuned
- tuned-utils (2.10.0-1)
- various tuned utilities
- tuned-utils-systemtap (2.10.0-1)
- disk and net statistic monitoring systemtap scripts
- tunnelx (20170928-2)
- Cave Survey drawing software
- tup (0.7.8-3)
- fast build system
- tuptime (3.5.0)
- report historical system real time
- turba
- virtueel pakket geboden door php-horde-turba
- turing (0.11~beta-1)
- assistant to learn algorithms and programming languages
- turn-server
- virtueel pakket geboden door ejabberd, coturn
- turnin-ng (1.3-1)
- assignment submitter and manager
- tutka (1.1.2-2)
- tracker style MIDI sequencer
- tuxcmd (0.6.70+dfsg-2+b1)
- twin-panel (commander-style) file manager using GTK+ 2
- tuxcmd-modules (0.6.70+ds-5+b1 [amd64, armhf, i386], 0.6.70+ds-5 [arm64])
- VFS modules for tuxcmd file manager
- (0.3.1-6)
- great 2D soccer (sometimes called football) game
- tuxguitar (1.2-23)
- Meersporen gitaartabulatuureditor en -speler (gp3 tot gp5)
- tuxguitar-alsa (1.2-23)
- tuxguitar plugin for sound playback using ALSA
- tuxguitar-fluidsynth (1.2-23)
- tuxguitar plugin for sound playback using fluidsynth
- tuxguitar-jack (1.2-23)
- tuxguitar plugin for sound playback using JACKD
- tuxguitar-jsa (1.2-23)
- tuxguitar plugin for sound playback using Java Sound API
- tuxguitar-oss (1.2-23)
- tuxguitar plugin for sound playback using OSS
- tuxmath (2.0.3-5)
- Rekenspel met Tux voor kinderen
- tuxmath-data (2.0.3-5)
- math game for kids with Tux -- data files
- tuxonice-userui (1.1+dfsg1.gc3bdd83-4+b1) [contrib]
- user-space interfaces for TuxOnIce
- tuxpaint (1:0.9.23-1)
- Paint program for young children
- tuxpaint-config (0.0.14-1)
- Configuration tool for Tux Paint
- tuxpaint-data (1:0.9.23-1)
- Data files for Tux Paint, a paint program for children
- tuxpaint-dev (1:0.9.23-1)
- Development files for Tux Paint
- tuxpaint-plugins
- virtueel pakket geboden door tuxpaint-plugins-default
- tuxpaint-plugins-default (1:0.9.23-1)
- Magic tool plugins for Tux Paint
- tuxpaint-stamps
- virtueel pakket geboden door tuxpaint-stamps-default
- tuxpaint-stamps-default (2014.08.23-3)
- Stamp files for Tux Paint, a paint program for children
- tuxpuck (0.8.2-8)
- air hockey game for single players
- tuxtype (1.8.3-4)
- Spel om te leren typen met Tux in de hoofdrol
- tuxtype-data (1.8.3-4)
- Data files for the Educational Typing Tutor Game Starring Tux
- tv-fonts (1.1-9)
- X11 fonts for TV applications
- tvc (5.0.3+git20151221.80e144e+dfsg-2)
- genetic variant caller for Ion Torrent sequencing platforms
- tvnamer (2.5-1)
- utility to rename TV-show episodes files
- tvoe (0.1-1+b2)
- Lightweight DVB-S/S2 TV streaming server
- tvtime (1.0.11-5)
- analog television display application
- tvtool
- virtueel pakket geboden door nvtv
- twatch (0.0.7-1)
- watch torrent trackers and automatically download new torrents
- twclock (3.4-2)
- World clock for ham radio operators
- tweak (3.02-4)
- Efficient text-mode hex editor
- tweeper (1.4.0-1)
- web scraper to convert supported websites like Twitter.com to RSS
- twiggy (0.1025+dfsg-1)
- AnyEvent HTTP server for PSGI (like Thin)
- twine (1.13.0-1)
- utility for interacting with PyPI
- twinkle (1:1.10.1+dfsg-4)
- Voice over Internet Protocol (VoIP) SIP Phone (GUI)
- twinkle-common (1:1.10.1+dfsg-4)
- Voice over Internet Protocol (VoIP) SIP Phone (common files)
- twinkle-console (1:1.10.1+dfsg-4)
- Voice over Internet Protocol (VoIP) SIP Phone (console)
- twinvoicerecalc (0.0.20170711-1)
- Taiwan invoice reverse calculator
- twisted-doc (18.9.0-3+deb10u2) [security]
- Official documentation of Twisted
- (3.1.0-1.1)
- Twitter client for Emacs
- (0.1-1)
- Simple Twitter bot detecting if no tweet was posted recently on a timeline
- twm (1:1.0.10-1)
- Tab window manager
- twms (0.07z-1)
- tiny web map service
- twoftpd (1.42-1.2)
- a simple secure efficient FTP server (programs)
- twoftpd-run (1.42-1.2)
- a simple secure efficient FTP server
- twolame (0.3.13-4)
- MPEG Audio Layer 2 encoder (command line frontend)
- tworld (1.3.2-3)
- Chip's Challenge Game Engine Emulation
- tworld-data (1.3.2-3)
- Chip's Challenge Game Engine Emulation - level data files
- twpsk (4.3-1)
- Soundcard-based X program for operating PSK31
- txt2html (2.5201-1)
- Text to HTML converter
- txt2man (1.6.0-5)
- convert flat ASCII text to manpage format
- txt2pdbdoc (1.4.4-8)
- convert plain text files to Palm DOC (for PalmOS) and back
- txt2regex (0.8-5)
- A Regular Expression "wizard", all written with bash2 builtins
- txt2tags (2.6-4.1)
- conversion tool to generating several file formats
- txwinrm (1.3.3-1)
- asynchronous Python WinRM client binaries
- typespeed (0.6.5-2.1+b3)
- Zap words flying across the screen by typing them correctly
- tz-converter (1.0.1-1)
- Convert the time and date across time zones
- tzc (2.6.15-5.4)
- Trivial Zephyr Client
- tzdata (2024a-0+deb10u1) [security]
- time zone and daylight-saving time data
- tzdata-buster
- virtueel pakket geboden door tzdata
- tzdiff (1.0-1)
- Show Timezone differences with local time in command-line interface
- tzsetup-udeb (1:0.111)
- choose time zone
- tzwatch (1.4.4-11)
- Displays time and date in specified time zones on console
- u-boot (2019.01+dfsg-7)
- A boot loader for embedded systems
- u-boot-amlogic (2019.01+dfsg-7)
- A boot loader for amlogic systems
- u-boot-exynos (2019.01+dfsg-7)
- A boot loader for exynos systems
- u-boot-imx (2019.01+dfsg-7)
- A boot loader for imx systems
- (3)
- u-boot menu update
- u-boot-mvebu (2019.01+dfsg-7)
- A boot loader for marvell systems
- u-boot-omap (2019.01+dfsg-7)
- A boot loader for omap systems
- u-boot-qcom (2019.01+dfsg-7)
- A boot loader for qcom systems
- u-boot-rockchip (2019.01+dfsg-7)
- A boot loader for rockchip systems
- u-boot-rpi (2019.01+dfsg-7)
- A boot loader for Raspberry PI systems
- u-boot-sunxi (2019.01+dfsg-7)
- A boot loader for sunxi systems
- u-boot-tegra (2019.01+dfsg-7)
- A boot loader for NVIDIA Tegra systems
- u-boot-tools (2019.01+dfsg-7)
- companion tools for Das U-Boot bootloader
- u1db-tools (13.10-6.3)
- ubuntu One structured data storage - Developer Tools
- u2f-host (1.1.9-1)
- Command line tool to do Universal 2nd Factor (U2F) operations
- u2f-server (1.1.0-2)
- Command line tool to do Universal 2nd Factor (U2F) operations
- u3-tool (0.3-3)
- tool for controlling the special features of a U3 USB flash disk
- uanytun (0.3.7-1)
- tiny implementation of the secure anycast tunneling protocol
- uap-core (20190213-2)
- User Agent Parser core - collection of regular expressions
- uapevent (1.4-2+b2)
- event monitoring tool for Marvell uAP wireless driver
- uaputl (1.12-2.1+b1)
- admin utility for Marvell uAP wireless driver
- ubertooth (2018.12.R1-2)
- 2.4 GHz wireless development platform for Bluetooth experimentation
- ubertooth-firmware (2018.12.R1-2)
- Firmware for Ubertooth
- ubertooth-firmware-source (2018.12.R1-2)
- Source code for the Ubertooth firmware
- ublock-origin-doc (1.42.0+dfsg-1~deb10u1)
- lightweight and efficient ads, malware, trackers blocker (docs)
- ubuntu-archive-keyring (2018.09.18.1-5)
- GnuPG keys of the Ubuntu archive - transition package
- ubuntu-archive-keyring
- virtueel pakket geboden door ubuntu-keyring
- ubuntu-cloud-keyring (2018.09.18.1-5)
- GnuPG keys of the Ubuntu Cloud Archive
- ubuntu-core-launcher (2.37.4-1+deb10u3) [security]
- Transitional package for snapd
- ubuntu-dbgsym-keyring (2018.09.18.1-5)
- GnuPG keys of the Ubuntu Debug Symbols Archive
- ubuntu-dev-tools (0.166)
- useful tools for Ubuntu developers
- ubuntu-keyring (2018.09.18.1-5)
- GnuPG keys of the Ubuntu archive
- ubuntu-packaging-guide (1.0.1)
- Ubuntu Packaging Guide - metapackage
- ubuntu-packaging-guide-common (1.0.1)
- Ubuntu Packaging Guide - common files
- ubuntu-packaging-guide-epub (1.0.1)
- Ubuntu Packaging Guide - EPUB guide
- ubuntu-packaging-guide-epub-de (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - German version
- ubuntu-packaging-guide-epub-es (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - Spanish version
- ubuntu-packaging-guide-epub-fr (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - French version
- ubuntu-packaging-guide-epub-pt-br (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - Brazilian Portuguese version
- ubuntu-packaging-guide-epub-ru (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - Russian version
- ubuntu-packaging-guide-epub-uk (1.0.1)
- Ubuntu Packaging Guide - EPUB guide - Ukrainian version
- ubuntu-packaging-guide-html (1.0.1)
- Ubuntu Packaging Guide - HTML guide
- ubuntu-packaging-guide-html-de (1.0.1)
- Ubuntu Packaging Guide - HTML guide - German version
- ubuntu-packaging-guide-html-es (1.0.1)
- Ubuntu Packaging Guide - HTML guide - Spanish version
- ubuntu-packaging-guide-html-fr (1.0.1)
- Ubuntu Packaging Guide - HTML guide - French version
- ubuntu-packaging-guide-html-pt-br (1.0.1)
- Ubuntu Packaging Guide - HTML guide - Brazilian Portuguese version
- ubuntu-packaging-guide-html-ru (1.0.1)
- Ubuntu Packaging Guide - HTML guide - Russian version
- ubuntu-packaging-guide-html-uk (1.0.1)
- Ubuntu Packaging Guide - HTML guide - Ukrainian version
- ubuntu-packaging-guide-pdf (1.0.1)
- Ubuntu Packaging Guide - PDF guide
- ubuntu-packaging-guide-pdf-de (1.0.1)
- Ubuntu Packaging Guide - PDF guide - German version
- ubuntu-packaging-guide-pdf-es (1.0.1)
- Ubuntu Packaging Guide - PDF guide - Spanish version
- ubuntu-packaging-guide-pdf-fr (1.0.1)
- Ubuntu Packaging Guide - PDF guide - French version
- ubuntu-packaging-guide-pdf-pt-br (1.0.1)
- Ubuntu Packaging Guide - PDF guide - Brazilian Portuguese version
- ubuntu-packaging-guide-pdf-ru (1.0.1)
- Ubuntu Packaging Guide - PDF guide - Russian version
- ubuntu-packaging-guide-pdf-uk (1.0.1)
- Ubuntu Packaging Guide - PDF guide - Ukrainian version
- uc-echo (1.12-11)
- error correction algorithm designed for short-reads from NGS
- ucarp (1.5.2-2.2)
- user-space replacement to VRRP -- automatic IP fail-over
- ucblogo (6.0+dfsg-2+b1)
- dialect of lisp using turtle graphics famous for teaching kids
- ucf (3.0038+nmu1)
- Update Configuration File(s): preserve user changes to config files
- uchardet (0.0.6-3)
- universal charset detection library - cli utility
- uchime
- virtueel pakket geboden door mothur
- uci2wb (4.0-1)
- protocol adapter between XBoard/CECP and UCI/USI/UCCI engines
- ucimf (2.3.8-10)
- Unicode console input method framework
- ucimf-chewing (0.3-3)
- ucimf wrapper for Chewing input method
- ucimf-openvanilla (2.10.11-4)
- openvanilla input method collection for ucimf
- ucimf-sunpinyin (0.5-1)
- ucimf wrapper for Sunpinyin IM engine
- uclibc-source (1.0.31-1)
- Small C library implementation - source
- ucommon-doc (7.0.0-16)
- lightweight C++ threading and sockets - documentation
- ucommon-utils (7.0.0-16)
- lightweight C++ threading and sockets - utilities
- ucpp (1.3.2-2+b1)
- embeddable, quick and light C preprocessor
- ucrpf1host (0.0.20181108-1)
- host program for Panowin F1 3D printer
- ucspi-proxy (0.99-1.1)
- Connection proxy for UCSPI tools
- ucspi-tcp (1:0.88-6)
- command-line tools for building TCP client-server applications
- ucspi-tcp
- virtueel pakket geboden door ucspi-tcp-ipv6
- ucspi-tcp-ipv6 (1:0.88-6)
- command-line tools for building TCP client-server applications (IPv6)
- ucspi-unix (1.0-1)
- UNIX-domain socket client-server command-line tools
- ucto (0.14-2)
- Unicode Tokenizer
- uctodata (0.8-2)
- Data files for Ucto
- udav (2.4.2.1-5)
- library for scientific graphs (window interface)
- udev (241-7~deb10u10) [security]
- /dev/ and hotplug management daemon
- udev-udeb (241-7~deb10u8)
- /dev/ and hotplug management daemon
- udevil (0.4.4-2)
- Alternative storage media interface
- udf-modules
- virtueel pakket geboden door udf-modules-4.19.0-21-686-di, udf-modules-4.19.0-20-armmp-di, udf-modules-4.19.0-20-arm64-di, udf-modules-4.19.0-21-amd64-di, udf-modules-4.19.0-21-arm64-di, udf-modules-4.19.0-21-686-pae-di, udf-modules-4.19.0-20-686-pae-di, udf-modules-4.19.0-21-armmp-di, udf-modules-4.19.0-20-amd64-di, udf-modules-4.19.0-20-686-di
- udf-modules-4.19.0-20-686-di (4.19.235-1)
- UDF modules
- udf-modules-4.19.0-20-686-pae-di (4.19.235-1)
- UDF modules
- udf-modules-4.19.0-20-amd64-di (4.19.235-1)
- UDF modules
- udf-modules-4.19.0-20-arm64-di (4.19.235-1)
- UDF modules
- udf-modules-4.19.0-20-armmp-di (4.19.235-1)
- UDF modules
- udf-modules-4.19.0-21-686-di (4.19.249-2)
- UDF modules
- udf-modules-4.19.0-21-686-pae-di (4.19.249-2)
- UDF modules
- udf-modules-4.19.0-21-amd64-di (4.19.249-2)
- UDF modules
- udf-modules-4.19.0-21-arm64-di (4.19.249-2)
- UDF modules
- udf-modules-4.19.0-21-armmp-di (4.19.249-2)
- UDF modules
- udfclient (0.8.9-1)
- userland implementation of the UDF filesystem
- udftools (2.1-1)
- tools for UDF filesystems and DVD/CD-R(W) drives
- udhcpc (1:1.30.1-4)
- Provides the busybox DHCP client implementation
- udhcpd (1:1.30.1-4)
- Provides the busybox DHCP server implementation
- udiskie (1.7.7-1)
- automounter for removable media for Python
- udisks2 (2.8.1-4+deb10u2) [security]
- D-Bus service to access and manipulate storage devices
- udisks2-bcache (2.8.1-4+deb10u2) [security]
- bcache support for udisks2
- udisks2-btrfs (2.8.1-4+deb10u2) [security]
- BTRFS support for udisks2
- udisks2-doc (2.8.1-4+deb10u2) [security]
- udisks2 documentation
- udisks2-lvm2 (2.8.1-4+deb10u2) [security]
- LVM2 support for udisks2
- udisks2-vdo (2.8.1-4+deb10u2) [security]
- VDO support for udisks2
- udisks2-zram (2.8.1-4+deb10u2) [security]
- zram support for udisks2
- udns-utils (0.4-1+b1)
- Several DNS-related utilities built on top of udns library
- udo (6.4.1-4)
- universal document - text processing utility
- udo-doc-de (6.4.1-4)
- universal document - German documentation
- udo-doc-en (6.4.1-4)
- universal document - English documentation
- udpcast (20120424-2)
- multicast file transfer tool
- udpkg (1.19)
- tiny dpkg clone
- udptunnel (1.1-5)
- tunnel UDP packets over a TCP connection
- udunits-bin (2.2.26-5)
- Utility for handling units of physical quantities
- ufiformat (0.9.9-1+b1)
- disk formatter for USB floppy drives
- ufo-core-doc (0.15.1-1)
- Library for high-performance, GPU-based computing - documentation
- ufo-filters (0.14.1+dfsg1-2)
- Set of plugins for ufo-core - runtime
- ufo-filters-data (0.14.1+dfsg1-2)
- Library for high-performance, GPU-based computing - data
- ufo-filters-doc (0.14.1+dfsg1-2)
- Library for high-performance, GPU-based computing - documentation
- ufo2otf (0.2.2-1)
- Take UFO font sources and generate OTF’s and webfonts
- ufoai (2.5-4)
- UFO: Alien Invasion -- build your team and stop the aliens
- ufoai-common (2.5-4)
- UFO: Alien Invasion -- scripts and configuration files
- ufoai-data (2.5-1)
- UFO: Alien Invasion -- data files
- ufoai-maps (2.5-1)
- UFO: Alien Invasion -- maps
- ufoai-misc (2.5-4)
- UFO: Alien Invasion -- miscellaneous files and documentation
- ufoai-music (2.5-1)
- UFO: Alien Invasion -- music files
- ufoai-server (2.5-4)
- UFO: Alien Invasion -- dedicated server
- ufoai-sound (2.5-1)
- UFO: Alien Invasion -- sound files
- ufoai-textures (2.5-1)
- UFO: Alien Invasion -- textures
- ufoai-tools (2.5-4)
- UFO: Alien Invasion -- developer tools
- ufoai-uforadiant (2.5-4)
- UFO: Alien Invasion -- map-building tool
- ufoai-uforadiant-data (2.5-4)
- UFO: Alien Invasion -- map-building tool data files
- ufod (0.15.1-1)
- Library for high-performance, GPU-based computing - daemon
- ufonormalizer (0.3.6-1)
- Normalize the XML and other data inside of a UFO
- ufraw (0.22-4)
- standalone importer for raw camera images
- ufraw-batch (0.22-4)
- batch importer for raw camera images
- uftp (4.9.9-1)
- Encrypted multicast file transfer program
- uftrace (0.9.0-1)
- Traces and analyzes execution of programs written in C/C++
- ufw (0.36-1)
- program for managing a Netfilter firewall
- uget (2.2.1-1+b1)
- easy-to-use download manager written in GTK+
- uglifyjs (3.4.9-5)
- JavaScript parser, mangler/compressor and beautifier - CLI tool
- uglifyjs
- virtueel pakket geboden door uglifyjs, node-uglify
- uhd-host (3.13.1.0-3)
- universal hardware driver for Ettus Research products - host apps
- uhd-soapysdr (0.3.4-1+b7)
- SoapySDR device support for libuhd
- uhexen2 (1.5.9+dfsg-1) [contrib]
- Hexen II - engine package
- uhexen2-common (1.5.9+dfsg-1) [contrib]
- Hexen II engine - data package
- uhub (0.4.1-3.1)
- High performance Advanced Direct Connect p2p hub
- uhubctl (2.0.0-5)
- USB hub per-port power control
- ui-auto (1.2.10-2)
- Autotools library and development tools
- uidmap (1:4.5-1.1)
- programs to help use subuids
- uif (1.1.9-1+deb10u1)
- Advanced iptables-firewall script
- uil (2.3.8-2)
- Motif - UIL (User Interface Language) compiler
- uim (1:1.8.8-4+deb10u5)
- Universal Input Method - main binary package
- uim-anthy (1:1.8.8-4+deb10u5)
- Universal Input Method - Anthy support metapackage
- uim-byeoru (1:1.8.8-4+deb10u5)
- Universal Input Method - byeoru hangul input support metapackage
- uim-chewing (0.1.0-6)
- Universal Input Method - Chewing plugin
- uim-data (1:1.8.8-4+deb10u5)
- Universal Input Method - data files
- uim-el (1:1.8.8-4+deb10u5)
- Universal Input Method - Emacs front end
- uim-fep (1:1.8.8-4+deb10u5)
- Universal Input Method - front end processor
- uim-gtk2.0 (1:1.8.8-4+deb10u5)
- Universal Input Method - GTK+2.x front end
- uim-gtk2.0-immodule (1:1.8.8-4+deb10u5)
- Universal Input Method - GTK+2.x IM-module
- uim-gtk3 (1:1.8.8-4+deb10u5)
- Universal Input Method - GTK+3.x front end
- uim-gtk3-immodule (1:1.8.8-4+deb10u5)
- Universal Input Method - GTK+3.x IM module
- uim-ipa-x-sampa (1:1.8.8-4+deb10u5)
- Universal Input Method - X-SAMPA IPA input support metapackage
- uim-latin (1:1.8.8-4+deb10u5)
- Universal Input Method - Latin script input support metapackage
- uim-look (1:1.8.8-4+deb10u5)
- Universal Input Method - Dictionary-based completion input support metapackage
- uim-m17nlib (1:1.8.8-4+deb10u5)
- Universal Input Method - m17nlib plugin metapackage
- uim-mozc (2.23.2815.102+dfsg-4)
- Mozc engine for uim - Client of the Mozc input method
- uim-pinyin (1:1.8.8-4+deb10u5)
- Universal Input Method - pinyin input support metapackage
- uim-plugins (1:1.8.8-4+deb10u5)
- Universal Input Method - plugin files
- uim-qt5 (1:1.8.8-4+deb10u5)
- Universal Input Method - Qt 5.x front end
- uim-qt5-immodule (1:1.8.8-4+deb10u5)
- Universal Input Method - Qt 5.x IM module
- uim-skk (1:1.8.8-4+deb10u5)
- Universal Input Method - SKK support metapackage
- uim-tcode (1:1.8.8-4+deb10u5)
- Universal Input Method - T-Code support metapackage
- uim-viqr (1:1.8.8-4+deb10u5)
- Universal Input Method - Vietnamese Quoted-Readable support metapackage
- uim-xim (1:1.8.8-4+deb10u5)
- Universal Input Method - XIM compatibility interface
- uima-doc (2.10.2-3)
- Documentation for the Apache UIMA framework
- uima-examples (2.10.2-3)
- Examples of UIMA components
- uima-utils (2.10.2-3)
- UIMA tools
- uinput-modules
- virtueel pakket geboden door uinput-modules-4.19.0-20-686-di, uinput-modules-4.19.0-21-arm64-di, uinput-modules-4.19.0-20-686-pae-di, uinput-modules-4.19.0-21-armmp-di, uinput-modules-4.19.0-21-686-pae-di, uinput-modules-4.19.0-20-armmp-di, uinput-modules-4.19.0-20-amd64-di, uinput-modules-4.19.0-20-arm64-di, uinput-modules-4.19.0-21-686-di, uinput-modules-4.19.0-21-amd64-di
- uinput-modules-4.19.0-20-686-di (4.19.235-1)
- uinput support
- uinput-modules-4.19.0-20-686-pae-di (4.19.235-1)
- uinput support
- uinput-modules-4.19.0-20-amd64-di (4.19.235-1)
- uinput support
- uinput-modules-4.19.0-20-arm64-di (4.19.235-1)
- uinput support
- uinput-modules-4.19.0-20-armmp-di (4.19.235-1)
- uinput support
- uinput-modules-4.19.0-21-686-di (4.19.249-2)
- uinput support
- uinput-modules-4.19.0-21-686-pae-di (4.19.249-2)
- uinput support
- uinput-modules-4.19.0-21-amd64-di (4.19.249-2)
- uinput support
- uinput-modules-4.19.0-21-arm64-di (4.19.249-2)
- uinput support
- uinput-modules-4.19.0-21-armmp-di (4.19.249-2)
- uinput support
- uisp (20050207-4.2+b1)
- Micro In-System Programmer for Atmel's AVR MCUs
- ukopp (4.9-1+b1)
- Full and incremental backup to disk or disk-like device
- ukui-biometric-manager (1.0.0-2)
- Manager for biometric authentication
- ukui-control-center (1.1.6-2)
- utilities to configure the UKUI desktop
- ukui-greeter (1.1.7-2)
- Lightdm greeter for UKUI
- ukui-indicators (1.1.3-1)
- notification area use to show time, network, etc.
- ukui-media (1.1.2-1)
- UKUI media utilities
- ukui-media-common (1.1.2-1)
- UKUI media utilities (common files)
- (1.1.9-1)
- Advanced UKUI menu
- (1.1.3-2+b1)
- implementation of the freedesktop menu specification for UKUI
- ukui-panel (1.1.5-1)
- launcher and docking facility for UKUI
- ukui-panel-common (1.1.5-1)
- launcher and docking facility for UKUI (common files)
- ukui-polkit (1.0.2-1)
- UKUI authentication agent for PolicyKit-1
- ukui-power-manager (1.1.2-1)
- power management tool for the UKUI desktop
- ukui-power-manager-common (1.1.2-1)
- power management tool for the UKUI desktop (common files)
- ukui-screensaver (2.0.3-1)
- Sreensaver for UKUI desktop environment
- ukui-session-manager (1.1.3.1-1)
- Session manager of the UKUI desktop environment
- ukui-settings-daemon (1.1.6-1)
- daemon handling the UKUI session settings
- ukui-settings-daemon-common (1.1.6-1)
- daemon handling the UKUI session settings (common files)
- ukui-settings-daemon-dev (1.1.6-1)
- daemon handling the UKUI session settings (development files)
- ukui-themes (0+git20190105-1)
- Official themes for the UKUI desktop
- ukui-window-switch (1.1.2-1)
- Front of the window switch
- ukwm (1.1.11-1)
- lightweight GTK+ window manager
- ukwm-common (1.1.11-1)
- shared files for the Ukwm window manager
- ulatency (0.5.0-9+b1)
- scriptable latency regulator using cgroups (client)
- ulatencyd (0.5.0-9+b1)
- scriptable latency regulator using cgroups (server)
- uligo (0.3-7)
- tsumego (go problems) practice tool
- ulogd2 (2.0.7-1+b1)
- Netfilter Userspace Logging Daemon
- ulogd2-dbi (2.0.7-1+b1)
- DBI extension to ulogd
- ulogd2-json (2.0.7-1+b1)
- JSON extension to ulogd
- ulogd2-mysql (2.0.7-1+b1)
- MySQL extension to ulogd
- ulogd2-pcap (2.0.7-1+b1)
- pcap extension to ulogd
- ulogd2-pgsql (2.0.7-1+b1)
- PostgreSQL extension to ulogd
- ulogd2-sqlite3 (2.0.7-1+b1)
- SQLite 3 extension to ulogd
- ultracopier (1.6.1.3-1)
- advanced graphical file copy system
- umbrello (4:17.08.3-1)
- UML modelling tool and code generator
- umegaya (1.0)
- Umegaya is a MEtadata GAtherer using YAml
- uml-utilities (20070815.2-1)
- User-mode Linux (utility programs)
- umoci (0.4.4+dfsg-1+b11)
- Open Container Images manipulation tool
- umockdev (0.12.1-2)
- record/mock hardware devices for bug reports and regression tests
- ums2net (0.1.3-1)
- USB Mass Storage to Network Proxy
- umview (0.8.2-1.2)
- View-OS in user space - Core and base modules
- umview-mod-umdevtap (0.8.2-1.2)
- View-OS in user space - Virtual TUN/TAP module
- umview-mod-umfuseext2 (0.4-1.2)
- View-OS in user space - ext2 module for UMFUSE
- umview-mod-umfusefat (0.1a-1.2)
- View-OS in user space - FAT module for UMFUSE
- umview-mod-umfuseiso9660 (0.3-1.3)
- View-OS in user space - ISO9660 module for UMFUSE
- umview-mod-umlwip (0.8.2-1.2)
- View-OS in user space - LWIPv6 gateway module
- umview-mod-viewfs (0.8.2-1.2)
- View-OS in user space - Filesystem mangling modules
- unaccent (1.8.0-8)
- Replace accented letters by their unaccented equivalent
- unace (1.2b-17)
- extract, test and view .ace archives
- unace-nonfree (2.5-9) [non-free]
- extract, test and view .ace archives (non-free version)
- unadf (0.7.11a-4+deb11u1~deb10u1) [security]
- Extract files from an Amiga Disk File dump (.adf)
- unagi (0.3.4-1+b2)
- Modular X compositing manager
- unagi-dbg (0.3.4-1+b2)
- Modular X compositing manager - debugging symbols
- unagi-dev (0.3.4-1+b2)
- Modular X compositing manager - development files
- unalz (0.65-7)
- De-archiver for .alz files
- unanimity (3.3.0+dfsg-2.1)
- generate and process accurate consensus nucleotide sequences
- unar (1.10.1-2+b4)
- Unarchiver for a variety of file formats
- unattended-upgrades (1.11.2)
- automatic installation of security upgrades
- unbound (1.9.0-2+deb10u4) [security]
- validating, recursive, caching DNS resolver
- unbound-anchor (1.9.0-2+deb10u4) [security]
- utility to securely fetch the root DNS trust anchor
- unbound-host (1.9.0-2+deb10u4) [security]
- reimplementation of the 'host' command
- unburden-home-dir (0.4.1.1)
- Remove or move cache files automatically from user's home
- unburden-home-dir-doc (0.4.1.1)
- HTML documentation for unburden-home-dir
- unclutter (8-21)
- hides the mouse cursor in X after a period of inactivity
- uncommons-watchmaker-doc (0.7.1-1)
- Framework for Evolutionary Computation - Documentations
- uncrustify (0.68.1+dfsg1-2)
- C, C++, ObjectiveC, C#, D, Java, Pawn and VALA source code beautifier
- undbx (0.21-2)
- tool to extract, recover and undelete e-mail messages from .dbx files
- undertaker (1.6.1-4.2+b1)
- variability-aware tool for static code analysis
- undertime (1.7.0)
- pick a meeting time using a table of world clocks
- undistract-me (0.1.0+git20130402+3a9144bc1f-1)
- Notifies user when long-running terminal commands complete via libnotify
- unhide (20130526-3+deb10u1)
- Forensic tool to find hidden processes and ports
- unhide.rb (22-4)
- Forensics tool to find processes hidden by rootkits
- unhtml (2.3.9-4)
- Remove the markup tags from an HTML file
- uni2ascii (4.18-3)
- UTF-8 to 7-bit ASCII and vice versa converter
- unibetacode (1.2-1)
- convert classical Greek and Coptic between Beta Code and Unicode
- unicode (2.7-1)
- display unicode character properties
- unicode-cldr-core (32.0.1-1)
- Common data from Unicode CLDR (core)
- unicode-data (12.1.0~pre1-2)
- Property data for the Unicode character set
- unicode-screensaver (0.5.1-2)
- screensaver displaying unicode characters
- unicon-im
- virtueel pakket geboden door unicon-imc2
- unicon-imc2 (3.0.4+dfsg1-1)
- Chinese Input Method Library
- uniconf-tools (4.6.1-14)
- Tools to interface with UniConf
- uniconfd (4.6.1-14)
- Server that manages UniConf elements
- unicorn (5.4.1-2)
- Rack HTTP server for fast clients
- unicycler (0.4.7+dfsg-2)
- hybrid assembly pipeline for bacterial genomes
- unicycler-data (0.4.7+dfsg-2)
- hybrid assembly pipeline for bacterial genomes (data package)
- unidesc
- virtueel pakket geboden door uniutils
- unidic-mecab (2.3.0+dfsg-5)
- Dictionary for Mecab (Corpus of Contemporary Written Japanese)
- unifdef (2.10-1.1)
- Remove cpp '#ifdef' lines from files
- unifont (1:11.0.03-1)
- font with a glyph for each visible Unicode Plane 0 character
- unifont-bin (1:11.0.03-1)
- utilities for manipulating GNU Unifont
- unionfs-fuse (1.0-1+b1)
- Fuse implementation of unionfs
- unison (2.48.4-1+b1)
- file-synchronization tool for Unix and Windows
- unison-all (2.48+2)
- file synchronization tool (all console versions)
- unison-all-gtk (2.48+2)
- file synchronization tool (all GTK+ versions)
- unison-gtk (2.48.4-1+b1)
- file-synchronization tool for Unix and Windows with GTK+ interface
- units (2.18-1)
- converts between different systems of units
- units-filter (3.9-1)
- Parser for expressions concerning physical values
- uniutils (2.27-2+b1)
- Tools for finding out what is in a Unicode file
- universal-ctags (0+git20181215-2)
- build tag file indexes of source code definitions
- universalindentgui (1.2.0-1.1)
- GUI frontend for several code beautifiers
- unixodbc (2.3.6-0.1)
- Basic ODBC tools
- unixodbc-bin (2.3.0-4+b1)
- Graphical tools for ODBC management and browsing
- unixodbc-dev (2.3.6-0.1)
- ODBC libraries for UNIX (development files)
- unknown-horizons (2019.1-1)
- 2D realtime strategy simulation
- unlambda (0.1.4.2-5+b2)
- interpreter for the Unlambda language
- unmass (0.9-4)
- Extract game archive files
- unmo3 (0.6-2)
- Uncompress and extract samples from MO3 modules
- uno-libs3 (6.1.5-3+deb10u11) [security]
- LibreOffice UNO runtime environment -- public shared libraries
- unoconv (0.7-1.1)
- converter between LibreOffice document formats
- unp (2.0~pre7+nmu1)
- unpack (almost) everything with one command
- unpaper (6.1-2+b2)
- post-processing tool for scanned pages
- unrar (1:5.6.6-1+deb10u4) [non-free] [security]
- Unarchiver for .rar files (non-free version)
- unrar-free (1:0.0.1+cvs20140707-4)
- Unarchiver for .rar files
- unrtf (0.21.10-clean-1)
- RTF to other formats converter
- unscd (0.53-1+b1)
- Micro Name Service Caching Daemon
- unshield (1.4.2-1)
- extracts CAB files from InstallShield installers
- unsort (1.2.1-1+b1)
- reorders lines in a file in semirandom ways
- untex (1:1.2-6)
- remove LaTeX commands from input
- unworkable (0.53-4+b2)
- efficient, simple and secure bittorrent client
- unyaffs (0.9.7-0.2)
- Extracts files from a YAFFS2 filesystem image
- unzip (6.0-23+deb10u3) [security]
- De-archiver for .zip files
- update-glx (1.0.0) [contrib]
- utility for switching the GLX implementation
- update-inetd (4.49)
- inetd configuration file updater
- update-notifier
- virtueel pakket geboden door gnome-packagekit
- upgrade-system (1.7.3.1)
- command for upgrading and sanitizing a Debian system
- uphpmvault (0.8+b2)
- upload recovery images to HP MediaVault2 via Ethernet
- upnp-router-control (0.2-1.2+b1)
- UPnP compliant router manager
- upower (0.99.10-1)
- abstraction for power management
- upower-doc (0.99.10-1)
- abstraction for power management - documentation
- uprightdiff (1.3.0-2)
- examine differences between two images
- ups-monitor
- virtueel pakket geboden door nut-client, apcupsd
- upse123 (1.0.0-2+b1)
- commandline player based on libupse
- upslug2 (11-5)
- utility to upgrade the firmware of a Linksys NSLU2 via the network
- uptimed (1:0.4.1-1)
- daemon to track uptimes, especially the high ones
- upx
- virtueel pakket geboden door upx-ucl
- upx-ucl (3.95-1)
- efficient live-compressor for executables
- uqm (0.6.2.dfsg-9.5) [contrib]
- The Ur-Quan Masters - An inter-galactic adventure game
- uqm-content (0.6.0+deb1-6) [non-free]
- The Ur-Quan Masters - Game data files
- uqm-music (0.6.0+deb1-6) [non-free]
- The Ur-Quan Masters - Game music files
- uqm-russian (1.0.2-5) [contrib]
- Russian addon for 'The Ur-Quan Masters' game
- uqm-voice (0.6.0+deb1-6) [non-free]
- The Ur-Quan Masters - Voice files
- uranium-plugins (3.3.0-1)
- application framework for Cura (plugins)
- uranium-tools (3.3.0-1)
- application framework for Cura (development tools)
- ure (6.1.5-3+deb10u11) [security]
- LibreOffice UNO runtime environment
- urfkill (0.5.0-6)
- wireless killswitch management daemon for laptops
- urjtag (0.10+r2007-1.2+b2)
- JTAG programmer for various flash parts and boards
- urlscan (0.8.2-1)
- Extract and browse the URLs contained in an email (urlview replacement)
- urlview (0.9-21)
- Extracts URLs from text
- urlwatch (2.16-1)
- monitors webpages for you
- uronode (2.9-1)
- Node front end for AX.25, NET/ROM, Rose and TCP
- uruk (20190121-1)
- Very small firewall script, for configuring iptables
- usb-discover (1.13)
- Discover USB and load kernel modules
- usb-modeswitch (2.5.2+repack0-2)
- mode switching tool for controlling "flip flop" USB devices
- usb-modeswitch-data (20170806-2)
- mode switching data for usb-modeswitch
- usb-modeswitch-data-packed
- virtueel pakket geboden door usb-modeswitch-data
- usb-modules
- virtueel pakket geboden door usb-modules-4.19.0-20-arm64-di, usb-modules-4.19.0-21-686-pae-di, usb-modules-4.19.0-21-arm64-di, usb-modules-4.19.0-20-686-di, usb-modules-4.19.0-20-686-pae-di, usb-modules-4.19.0-20-armmp-di, usb-modules-4.19.0-21-amd64-di, usb-modules-4.19.0-21-armmp-di, usb-modules-4.19.0-20-amd64-di, usb-modules-4.19.0-21-686-di
- usb-modules-4.19.0-20-686-di (4.19.235-1)
- USB support
- usb-modules-4.19.0-20-686-pae-di (4.19.235-1)
- USB support
- usb-modules-4.19.0-20-amd64-di (4.19.235-1)
- USB support
- usb-modules-4.19.0-20-arm64-di (4.19.235-1)
- USB support
- usb-modules-4.19.0-20-armmp-di (4.19.235-1)
- USB support
- usb-modules-4.19.0-21-686-di (4.19.249-2)
- USB support
- usb-modules-4.19.0-21-686-pae-di (4.19.249-2)
- USB support
- usb-modules-4.19.0-21-amd64-di (4.19.249-2)
- USB support
- usb-modules-4.19.0-21-arm64-di (4.19.249-2)
- USB support
- usb-modules-4.19.0-21-armmp-di (4.19.249-2)
- USB support
- usb-serial-modules
- virtueel pakket geboden door usb-serial-modules-4.19.0-21-arm64-di, usb-serial-modules-4.19.0-21-amd64-di, usb-serial-modules-4.19.0-20-armmp-di, usb-serial-modules-4.19.0-21-686-di, usb-serial-modules-4.19.0-21-686-pae-di, usb-serial-modules-4.19.0-20-686-pae-di, usb-serial-modules-4.19.0-20-686-di, usb-serial-modules-4.19.0-21-armmp-di, usb-serial-modules-4.19.0-20-amd64-di, usb-serial-modules-4.19.0-20-arm64-di
- usb-serial-modules-4.19.0-20-686-di (4.19.235-1)
- USB serial drivers
- usb-serial-modules-4.19.0-20-686-pae-di (4.19.235-1)
- USB serial drivers
- usb-serial-modules-4.19.0-20-amd64-di (4.19.235-1)
- USB serial drivers
- usb-serial-modules-4.19.0-20-arm64-di (4.19.235-1)
- USB serial drivers
- usb-serial-modules-4.19.0-20-armmp-di (4.19.235-1)
- USB serial drivers
- usb-serial-modules-4.19.0-21-686-di (4.19.249-2)
- USB serial drivers
- usb-serial-modules-4.19.0-21-686-pae-di (4.19.249-2)
- USB serial drivers
- usb-serial-modules-4.19.0-21-amd64-di (4.19.249-2)
- USB serial drivers
- usb-serial-modules-4.19.0-21-arm64-di (4.19.249-2)
- USB serial drivers
- usb-serial-modules-4.19.0-21-armmp-di (4.19.249-2)
- USB serial drivers
- usb-storage-modules
- virtueel pakket geboden door usb-storage-modules-4.19.0-20-amd64-di, usb-storage-modules-4.19.0-20-686-di, usb-storage-modules-4.19.0-21-arm64-di, usb-storage-modules-4.19.0-21-amd64-di, usb-storage-modules-4.19.0-21-686-di, usb-storage-modules-4.19.0-20-686-pae-di, usb-storage-modules-4.19.0-20-armmp-di, usb-storage-modules-4.19.0-20-arm64-di, usb-storage-modules-4.19.0-21-armmp-di, usb-storage-modules-4.19.0-21-686-pae-di
- usb-storage-modules-4.19.0-20-686-di (4.19.235-1)
- USB storage support
- usb-storage-modules-4.19.0-20-686-pae-di (4.19.235-1)
- USB storage support
- usb-storage-modules-4.19.0-20-amd64-di (4.19.235-1)
- USB storage support
- usb-storage-modules-4.19.0-20-arm64-di (4.19.235-1)
- USB storage support
- usb-storage-modules-4.19.0-20-armmp-di (4.19.235-1)
- USB storage support
- usb-storage-modules-4.19.0-21-686-di (4.19.249-2)
- USB storage support
- usb-storage-modules-4.19.0-21-686-pae-di (4.19.249-2)
- USB storage support
- usb-storage-modules-4.19.0-21-amd64-di (4.19.249-2)
- USB storage support
- usb-storage-modules-4.19.0-21-arm64-di (4.19.249-2)
- USB storage support
- usb-storage-modules-4.19.0-21-armmp-di (4.19.249-2)
- USB storage support
- usb.ids (2019.07.27-0+deb10u1)
- USB ID Repository
- usbauth (1.0.1+git20190123.5004f7d-1)
- USB firewall against BadUSB attacks
- usbauth-notifier (1.0.1+git20190124.b308d5b-1)
- Notifier for USB Firewall to use with desktop environments
- usbguard (0.7.4+ds-1+b1 [amd64], 0.7.4+ds-1 [arm64, armhf, i386])
- USB device authorization policy framework
- usbguard-applet-qt (0.7.4+ds-1+b1 [amd64], 0.7.4+ds-1 [arm64, armhf, i386])
- USB device authorization policy framework - qt applet
- usbip (2.0+4.19.304-1) [security]
- USB device sharing system over IP network
- usbmuxd (1.1.1~git20181007.f838cf6-1)
- USB multiplexor daemon for iPhone and iPod Touch devices
- usbprog (0.2.0-2.2+b2)
- Firmware programming tool for the USBprog hardware
- usbprog-gui (0.2.0-2.2+b2)
- GUI firmware programming tool for the USBprog hardware
- usbredirserver (0.8.0-1)
- Simple USB host TCP server
- usbrelay (0.4-1)
- USB HID relay driver
- usbtop (0.2-1+b1)
- utility to show bandwidth on USB buses and devices
- usbutils (1:010-3)
- Linux USB utilities
- usbview (2.0-21-g6fe2f4f-2+deb10u1)
- USB device viewer
- usemod-wiki (1.2.1-2)
- Perl-based Wiki clone
- usepackage (1.13-4)
- environment management program
- user-manager (4:5.14.5-1)
- user management tool for the Plasma workspace
- user-mode-linux (4.19-1um-1+deb10u1+b1)
- User-mode Linux (kernel)
- user-mode-linux-doc (20060501-3)
- User-mode Linux (Documentation)
- user-setup (1.81)
- Set up initial user and password
- user-setup-udeb (1.81)
- Set up users and passwords
- userinfo (2.5-4)
- display information about local users
- usermode (1.109-3)
- Graphical tools for certain user account management tasks
- userv (1.2.0)
- `user services' - program call across trust boundaries
- usrmerge (21)
- Convert the system to the merged /usr directories scheme
- ussp-push (0.11-4+b1)
- Client for OBEX PUSH
- ussp-push-dbg (0.11-4+b1)
- Client for OBEX PUSH (debugging information)
- uswsusp (1.0+20120915-6.2)
- tools to use userspace software suspend provided by Linux
- utalk (1.0.1.beta-8+b3)
- talk-like program with additional features
- utf8gen (1.1-2)
- convert ASCII hexadecimal Unicode code points to UTF-8
- utfcheck (1.2-1)
- check validity of UTF-8 and ASCII files
- utfout (0.0.1-1+b1)
- Utility to produce UTF-8 (Unicode) output
- uthash-dev (2.0.2-1)
- hash table and linked list for C structures
- util-linux (2.33.1-0.1+deb10u1) [security]
- miscellaneous system utilities
- util-linux-locales (2.33.1-0.1+deb10u1) [security]
- locales files for util-linux
- util-linux-udeb (2.33.1-0.1)
- stripped down miscellaneous system utilities, for debian-installer
- util-vserver (0.30.216-pre3120-1.4+b11)
- user-space tools for Linux-VServer virtual private servers
- utop (1.19.3-2+b1)
- improved OCaml toplevel
- utox (0.17.0-1)
- lightest and fluffiest Tox client
- uuagc (0.9.42.3-11)
- compiler for the Utrecht University Attribute Grammar system
- uucp (1.07-24)
- Unix to Unix Copy Program
- uucpsend (1.1-4.1)
- Alternative Frontend for UUCP Batching with INN
- uudeview (0.5.20-11)
- Smart multi-file multi-part decoder (command line)
- uuid (1.6.2-1.5+b7)
- Universally Unique Identifier Command-Line Tool
- uuid-dev (2.33.1-0.1+deb10u1) [security]
- Universally Unique ID library - headers and static libraries
- uuid-runtime (2.33.1-0.1+deb10u1) [security]
- runtime components for the Universally Unique ID library
- uuidcdef (0.3.13-7)
- Universally Unique Identifier (UUID) generator
- uvccapture (0.5-5)
- USB UVC Video Class snapshot software
- uvcdynctrl (0.2.4-1.1+b2)
- Command line tool to control v4l2 devices
- uvcdynctrl-data (0.2.4-1.1)
- Command line tool to control v4l2 devices - data files
- uvcdynctrl-dbg (0.2.4-1.1+b2)
- Debug Symbols for uvcdynctrl
- uvicorn (0.3.24-1)
- ASGI server implementation, using uvloop and httptools
- uw-mailutils (8:2007f~dfsg-6)
- c-client support programs
- uwsc (2.5.2-4+deb10u1)
- Ulfius WebSocket Client
- uwsgi (2.0.18-1)
- fast, self-healing application container server
- uwsgi-abi-a411bb8664cd85ae0fd852d2f665558a
- virtueel pakket geboden door uwsgi-core
- uwsgi-app-integration-plugins (2.0.18-1)
- plugins for integration of uWSGI and application
- uwsgi-core (2.0.18-1)
- fast, self-healing application container server (core)
- uwsgi-dbg (2.0.18-1)
- debugging symbols for uWSGI server and it's plugins
- uwsgi-dev (2.0.18-1)
- fast, self-healing application container server (headers)
- uwsgi-emperor (2.0.18-1)
- fast, self-healing application container server (emperor scripts)
- (2.0.18-1)
- fast, self-healing application container server (extra files)
- uwsgi-infrastructure-plugins (2.0.18-1)
- infrastructure plugins for uWSGI
- uwsgi-mongodb-plugins
- virtueel pakket geboden door uwsgi-plugin-mongo
- uwsgi-plugin-alarm-curl (2.0.18-1)
- cURL alarm plugin for uWSGI
- uwsgi-plugin-alarm-xmpp (2.0.18-1)
- XMPP alarm plugin for uWSGI
- uwsgi-plugin-asyncio-python (2.0.18-1)
- asyncio plugin for uWSGI (Python 2)
- uwsgi-plugin-asyncio-python3 (2.0.18-1)
- asyncio plugin for uWSGI (Python 3)
- uwsgi-plugin-curl-cron (2.0.18-1)
- cron cURL plugin for uWSGI
- uwsgi-plugin-emperor-pg (2.0.18-1)
- Emperor PostgreSQL plugin for uWSGI
- uwsgi-plugin-fiber (2.0.18-1)
- Fiber plugin for uWSGI
- uwsgi-plugin-gccgo (2.0.18-1)
- GNU Go plugin for uWSGI
- uwsgi-plugin-geoip (2.0.18-1)
- GeoIP plugin for uWSGI
- uwsgi-plugin-gevent-python (2.0.18-1)
- gevent plugin for uWSGI (Python 2)
- uwsgi-plugin-gevent-python3 (2.0.18-1)
- gevent plugin for uWSGI (Python 3)
- uwsgi-plugin-glusterfs (2.0.18-1)
- GlusterFS storage plugin for uWSGI
- uwsgi-plugin-graylog2 (2.0.18-1)
- graylog2 plugin for uWSGI
- uwsgi-plugin-greenlet-python (2.0.18-1)
- greenlet plugin for uWSGI (Python 2)
- uwsgi-plugin-greenlet-python3 (2.0.18-1)
- greenlet plugin for uWSGI (Python 3)
- uwsgi-plugin-jvm-openjdk-11 (2.0.18-1)
- Java plugin for uWSGI (OpenJDK 11)
- uwsgi-plugin-jwsgi-openjdk-11 (2.0.18-1)
- JWSGI plugin for uWSGI (OpenJDK 11)
- uwsgi-plugin-ldap (2.0.18-1)
- LDAP plugin for uWSGI
- uwsgi-plugin-lua5.1 (2.0.18-1)
- Lua WSAPI plugin for uWSGI (Lua 5.1)
- uwsgi-plugin-lua5.2 (2.0.18-1)
- Lua WSAPI plugin for uWSGI (Lua 5.2)
- uwsgi-plugin-luajit (2.0.17.1+2+0.0.3+b1)
- Lua WSAPI plugin for uWSGI (LuaJIT)
- uwsgi-plugin-mongo (2.0.17.1+9+0.0.3+b2)
- MongoDB/GridFS plugins for uWSGI
- uwsgi-plugin-mono (2.0.18-1)
- Mono/ASP.NET plugin for uWSGI
- uwsgi-plugin-php (2.0.17.1+8+0.0.3+b3)
- PHP plugin for uWSGI
- uwsgi-plugin-psgi (2.0.18-1)
- Perl PSGI plugin for uWSGI
- uwsgi-plugin-python (2.0.18-1)
- WSGI plugin for uWSGI (Python 2)
- uwsgi-plugin-python3 (2.0.18-1)
- WSGI plugin for uWSGI (Python 3)
- uwsgi-plugin-rack-ruby2.5 (2.0.18-1)
- Rack plugin for uWSGI (ruby2.5)
- uwsgi-plugin-rados (2.0.18-1)
- Ceph/RADOS storage plugin for uWSGI
- uwsgi-plugin-rbthreads (2.0.18-1)
- Ruby native threads plugin for uWSGI (ruby2.5)
- uwsgi-plugin-ring-openjdk-11 (2.0.18-1)
- Closure/Ring plugin for uWSGI (OpenJDK 11)
- uwsgi-plugin-router-access (2.0.18-1)
- Access router plugin for uWSGI
- uwsgi-plugin-servlet-openjdk-11 (2.0.18-1)
- JWSGI plugin for uWSGI (OpenJDK 11)
- uwsgi-plugin-sqlite3 (2.0.18-1)
- SQLite 3 configurations plugin for uWSGI
- uwsgi-plugin-tornado-python (2.0.18-1)
- tornado plugin for uWSGI (Python 2)
- uwsgi-plugin-tornado-python3 (2.0.18-1)
- tornado plugin for uWSGI (Python 3)
- uwsgi-plugin-xslt (2.0.18-1)
- XSLT request plugin for uWSGI
- uwsgi-plugins-all (2.0.18-1)
- all available plugins for uWSGI
- uwsgi-src (2.0.18-1)
- sources for uWSGI plugins
- v4l-utils (1.16.3-3)
- Collection of command line video4linux utilities
- v4l2loopback-dkms (0.12.1-1)
- Source for the v4l2loopback driver (DKMS)
- v4l2loopback-source (0.12.1-1)
- Source for the v4l2loopback driver
- v4l2loopback-utils (0.12.1-1)
- Commandline utilities for the for the v4l2-loopback module
- v4l2ucp (2.0.2-4+b2)
- Video for Linux 2 Universal Control Panel
- v86d (0.1.10-1+b1)
- daemon to run x86 code in an emulated environment
- va-driver
- virtueel pakket geboden door mesa-va-drivers, i965-va-driver-shaders, vdpau-va-driver, intel-media-va-driver, intel-media-va-driver-non-free, i965-va-driver
- va-driver-all (2.4.0-1)
- Video Acceleration (VA) API -- driver metapackage
- vacation (3.3.1+b1)
- email antwoordapparaat
- vagalume (0.8.6-2)
- GTK+-based client for Last.fm-compatible radio services
- vagrant (2.2.3+dfsg-1)
- Tool for building and distributing virtualized development environments
- vagrant-cachier (1.2.1-3)
- share a common package cache among similar VM instances
- vagrant-digitalocean (0.9.1-1)
- Digital Ocean provider for Vagrant
- vagrant-hostmanager (1.8.9-1)
- Vagrant plugin for managing /etc/hosts on guests and host
- vagrant-libvirt (0.0.45-2)
- Vagrant plugin that adds an Libvirt provider to Vagrant
- vagrant-lxc (1.4.3-1)
- Linux Containers provider for Vagrant
- vagrant-mutate (1.2.0-4)
- convert vagrant boxes to work with different providers
- vagrant-sshfs (1.3.1-1)
- vagrant plugin that adds synced folder support with sshfs
- vainfo (2.4.0+ds1-1)
- Video Acceleration (VA) API for Linux -- info program
- val-and-rick (0.1a.dfsg1-6)
- shooter game
- val-and-rick-data (0.1a.dfsg1-6)
- shooter game - game data
- vala-0.42-doc (0.42.5-1)
- C# like language for the GObject system - documentation
- vala-doc
- virtueel pakket geboden door vala-0.42-doc
- vala-mode-el (0.1-6)
- Transition Package, vala-mode-el to elpa-vala-mode
- vala-mode-el
- virtueel pakket geboden door elpa-vala-mode
- vala-panel (0.4.87+dfsg1-1)
- Desktop panel written in Vala and GTK+ 3
- (0.7.1+dfsg1-1)
- Application Menu plugin for vala-panel
- (0.7.1+dfsg1-1)
- Common files for Application Menu plugins
- vala-panel-common (0.4.87+dfsg1-1)
- Vala Panel - common files
- vala-panel-plugins-base (0.4.87+dfsg1-1)
- Plugins for vala-panel - non-X11 plugins
- vala-panel-plugins-wnck (0.4.87+dfsg1-1)
- Plugins for vala-panel - X11 plugins
- vala-sntray-plugin (0.4.11-1)
- plugin for xfce4-panel to show StatusNotifierItems - Vala
- valabind (1.7.1-1)
- converts vapi files to SWIG, C++, GIR or GEAR files
- valac (0.42.5-1)
- C# like language for the GObject system
- valac-0.42-vapi (0.42.5-1)
- C# like language for the GObject system - vapi files
- valac-vapi
- virtueel pakket geboden door valac-0.42-vapi
- valadoc (0.42.5-1)
- API documentation generator for vala
- valentina (0.6.1~dfsg-9)
- pattern making program
- valentina-l10n (0.6.1~dfsg-9)
- pattern making program - locale data
- valgrind (1:3.14.0-3)
- instrumentation framework for building dynamic analysis tools
- valgrind-dbg (1:3.14.0-3)
- instrumentation framework for building dynamic analysis tools (debug)
- valgrind-mpi (1:3.14.0-3)
- instrumentation framework for building dynamic analysis tools (MPI module)
- valinor (1.1.0-1)
- generate IDE project files to debug ELF files
- valkyrie (2.0.0-1+b1)
- open-source graphical user interface for the Valgrind
- vamp-examples (2.7.1~repack0-1)
- example Vamp plugins, host and RDF generator
- vamp-plugin-sdk (2.7.1~repack0-1)
- audio analysis and feature extraction plugins (SDK)
- vamp-plugin-sdk-doc (2.7.1~repack0-1)
- audio analysis and feature extraction plugins (API documentation)
- vamps (0.99.2-4+b2)
- Tool to recompress and modify the structure of a DVD
- vanguards (0.3.1-2~deb10u1)
- Additional protections for Tor Onion Services
- variety (0.7.1-2)
- Wallpaper changer, downloader and manager
- varmon (1.2.1-1+b3)
- VA RAID monitor
- varna (3-93+ds-2)
- Visualization Applet for RNA
- varnish (6.1.1-1+deb10u4) [security]
- state of the art, high-performance web accelerator
- varnish-doc (6.1.1-1+deb10u4) [security]
- documentation for Varnish Cache
- varnish-modules (0.15.0-1)
- Varnish module collection
- varnishabi-8.0
- virtueel pakket geboden door varnish
- varnishabi-strict-efc2f6c1536cf2272e471f5cff5f145239b19460
- virtueel pakket geboden door varnish
- varscan (2.4.3+dfsg-3) [non-free]
- variant detection in next-generation sequencing data
- vbackup (1.0.1-1.1)
- modular backup utility
- vbetool (1.1-4)
- run real-mode video BIOS code to alter hardware state
- vbindiff (3.0-beta5-1+b1)
- visual binary diff, visually compare binary files
- vblade (24-3)
- virtual AoE blade emulator
- vboot-kernel-utils (0~R63-10032.B-3)
- Chrome OS verified boot utils required to sign kernels
- vboot-utils (0~R63-10032.B-3)
- Chrome OS verified u-boot utilities
- vbrfix (0.24+dfsg-1)
- corrects MP3 files that have incorrect VBR information
- vc-dev (1.3.3-4)
- Library to ease explicit vectorization of C++ code
- vcdimager (2.0.1+dfsg-3)
- VideoCD (VCD) image mastering and ripping tool
- vcftools (0.1.16-1)
- Collection of tools to work with VCF files
- vcheck (1.2.1-7.1)
- Utility to check and download the most recent program version
- vclt-tools (0.1.4-6)
- Collection of tools to create and manipulate VCLT playlists
- vcmi (0.99+dfsg+git20190113.f06c8a87-1) [contrib]
- Rewrite of the Heroes of Might and Magic 3 game engine
- vco-plugins (0.3.0-5)
- LADSPA plugin sporting anti-aliased oscillators
- vcsh (1.20151229-1)
- Version Control System for $HOME - multiple Git repositories in $HOME
- vde2 (2.3.2+r586-2.2)
- Virtual Distributed Ethernet
- vde2-cryptcab (2.3.2+r586-2.2)
- Virtual Distributed Ethernet - CryptCab
- vdesk (1.2-5)
- manages virtual desktops for minimal window managers
- vdetelweb (1.2.1-2)
- Telnet and Web interface for VDE 2.x
- vdirsyncer (0.16.7-2)
- Synchronize calendars and contacts
- vdirsyncer-doc (0.16.7-2)
- Synchronize calendars and contacts - documentation
- vdpau-driver
- virtueel pakket geboden door mesa-vdpau-drivers, libvdpau-va-gl1, nvidia-legacy-390xx-vdpau-driver, nvidia-vdpau-driver, nvidia-legacy-340xx-vdpau-driver
- vdpau-driver-all (1.1.1-10)
- Video Decode and Presentation API for Unix (driver metapackage)
- vdpau-va-driver (0.7.4-7)
- VDPAU-based backend for VA API
- vdpauinfo (1.0-3)
- Video Decode and Presentation API for Unix (vdpauinfo utility)
- vdr (2.4.0-1+b1)
- Video Disk Recorder for DVB cards
- vdr-abi-2.4.0-debian
- virtueel pakket geboden door vdr
- vdr-dev (2.4.0-1+b1)
- Video Disk Recorder for DVB cards
- vdr-plugin-dvbsddevice (2.2.0-9)
- Plugin that adds support for full featured SD-DVB cards to VDR
- vdr-plugin-dvd (0.3.6~b03+cvs20090426.0013-25)
- DVD playback plugin for VDR
- vdr-plugin-epgsearch (2.2.0+git20170817-2)
- VDR plugin that provides extensive EPG searching capabilities
- vdr-plugin-epgsync (1.0.1-4)
- VDR plugin for EPG synchronization between VDR systems
- vdr-plugin-examples (2.4.0-1+b1)
- Plugins for vdr to show some possible features
- vdr-plugin-femon (2.4.0-1)
- DVB frontend status monitor plugin for VDR
- vdr-plugin-fritzbox (1.5.3-7.1)
- VDR plugin to access certain functions of an AVM Fritz!Box
- vdr-plugin-live (2.3.1-3)
- Web administration plugin for VDR
- vdr-plugin-mp3 (0.10.2-23)
- MP3 playback plugin for VDR
- vdr-plugin-mplayer (0.10.2-23)
- MPlayer playback plugin for VDR
- vdr-plugin-osdserver (0.1.3-18)
- VDR plugin to provide other programs access to the OSD
- vdr-plugin-osdteletext (0.9.7-2)
- Teletext plugin for VDR
- vdr-plugin-remote (0.7.0-2)
- VDR Plugin to support the built-in remote control port of DVB-Cards
- vdr-plugin-satip (2.4.0-1)
- SAT>IP plugin for VDR
- vdr-plugin-skinenigmang (0.1.2+git20180128-2.1)
- Skin plugin for VDR
- vdr-plugin-streamdev-client (0.6.1+git20170911-2)
- VDR Plugin to stream Live-TV to other VDR's - client part
- vdr-plugin-streamdev-server (0.6.1+git20170911-2)
- VDR Plugin to stream Live-TV to other VDR's - server part
- vdr-plugin-svdrpext (1.0.0-7)
- Transitional dummy package
- vdr-plugin-svdrpext
- virtueel pakket geboden door vdr-plugin-svdrposd
- vdr-plugin-svdrposd (1.0.0-7)
- VDR plugin that extends the SVDRP command set of VDR
- vdr-plugin-svdrpservice (1.0.0-6)
- VDR plugin that provides a SVDRP service for other plugins
- vdr-plugin-vcd (0.9-26)
- VDR Plugin for playing (S)VCD's
- vdr-plugin-vnsiserver (1:1.6.0-1)
- VDR plugin to provide PVR backend services for Kodi
- vdr-plugin-vnsiserver-dbg (1:1.6.0-1)
- VDR plugin to provide PVR backend services for Kodi
- vdr-plugin-weather (0.2.1e-68)
- Weather plugin for VDR
- vdr-plugin-xine (0.9.4-15)
- allows xine to be a display for vdr, the Video Disk Recorder
- vdr-plugin-xineliboutput (2.1.0-1+b1)
- VDR plugin for Xine based sofdevice frontends
- vdr-plugin-xineliboutput-dbg (2.1.0-1+b1)
- VDR plugin for Xine based sofdevice frontends
- vdradmin
- virtueel pakket geboden door vdradmin-am
- vdradmin-am (3.6.10-4)
- Web-based administration tool for vdr
- vectoroids (1.1.0-15)
- vector-based rock-shooting
- velocity (1.7-5+deb10u1)
- Java-based template engine for web application
- velocity-doc (1.7-5+deb10u1)
- Documentation for velocity
- velvet (1.2.10+dfsg1-5)
- Nucleic acid sequence assembler for very short reads
- velvet-example (1.2.10+dfsg1-5)
- Example data for the Velvet sequence assembler
- velvet-long (1.2.10+dfsg1-5)
- Nucleic acid sequence assembler for very short reads, long version
- velvet-tests (1.2.10+dfsg1-5)
- Test data for the Velvet sequence assembler
- velvetoptimiser (2.2.6-2)
- automatically optimise Velvet do novo assembly parameters
- vera (1.23-1)
- Dictionary of computer related acronyms -- info format
- vera++ (1.2.1-2+b5 [amd64, armhf, i386], 1.2.1-2+b4 [arm64])
- Programmable verification and analysis tool for C++
- verbiste (0.1.45-5)
- French and Italian conjugator
- verbiste-el (0.1.45-5)
- transitional package, verbiste-el to elpa-verbiste
- verbiste-gnome (0.1.45-5)
- French and Italian conjugator - GNOME interface
- verbiste-gtk (0.1.45-5)
- French and Italian conjugator - GTK app
- verdigris (1.0-1)
- Header-only Qt moc replacement
- verilator (4.010-1)
- fast free Verilog simulator
- verilog
- virtueel pakket geboden door iverilog
- verilog-mode (20161124.fd230e6-2)
- emacs mode for Verilog code
- verse (0.22.7+b1)
- Daily Devotional Verse from KJV Bible
- veyon-configurator (4.1.7+repack1-1)
- Computer Monitoring and Classroom Management Software - configurator
- veyon-master (4.1.7+repack1-1)
- Computer Monitoring and Classroom Management Software - master
- veyon-plugins (4.1.7+repack1-1)
- Computer Monitoring and Classroom Management Software - plugins
- veyon-service (4.1.7+repack1-1)
- Computer Monitoring and Classroom Management Software - client service
- vfdata-morisawa5
- virtueel pakket geboden door texlive-lang-japanese
- vflib3 (3.6.14.dfsg-3+nmu4)
- Versatile Font Library
- vflib3-bin (3.6.14.dfsg-3+nmu4)
- Sample programs using VFlib3
- vflib3-dev (3.6.14.dfsg-3+nmu4)
- Development files for VFlib3
- vflib3-doc (3.6.14.dfsg-3+nmu4)
- Documentation for VFlib3
- vfu (4.16+repack-1+b1)
- Versatile text-based filemanager
- vgabios (0.7a+cvs20180116-1)
- VGA BIOS software for the Bochs emulated VGA card
- vgrabbj (0.9.9-3)
- grabs an image from a camera and puts it in jpg/png format
- vibe.d (0.8.4-2)
- High-performance asynchronous I/O web application toolkit
- vice (3.3.0.dfsg-2) [contrib]
- Versatile Commodore Emulator
- videogen (0.33-8)
- Create arbitrary-res modelines using hardware parameters
- videotrans (1.6.1-8)
- DVD authoring utilities
- view3dscene (3.18.0-3)
- VRML / X3D browser, and a viewer for other 3D model formats
- viewmol (2.4.1-25)
- graphical front end for computational chemistry programs
- viewnior (1.6-1+b1)
- simple, fast and elegant image viewer
- viewpdf.app (1:0.2dfsg1-6+b2)
- Portable Document Format (PDF) viewer for GNUstep
- viewvc (1.1.26-1+deb10u1) [security]
- web interface for CVS and/or Subversion repositories
- viewvc-query (1.1.26-1+deb10u1) [security]
- utility to query CVS and Subversion commit database
- vifm (0.10-1)
- flexible vi-like file manager using ncurses
- vigor (0.016-27)
- nvi with the evil paperclip
- viking (1.7-1)
- GPS data editor, analyzer and viewer
- vile (9.8t-1)
- VI Like Emacs - vi work-alike
- vile-common (9.8t-1)
- VI Like Emacs - support files for vile/xvile
- vile-filters (9.8t-1)
- VI-Lijkende Emacs - markeerfilters voor vile/xvile
- vilistextum (2.6.9-1.1+b2)
- a HTML to text converter
- vim (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor
- vim
- virtueel pakket geboden door vim-gtk, vim-athena, vim-nox, vim-gtk3
- vim-addon-manager (0.5.10)
- manager of addons for the Vim editor
- vim-addon-mw-utils (0.2-1)
- Vim funcref library
- vim-airline (0.10-1)
- Lean & mean status/tabline for vim that's light as air
- vim-airline-themes (0+git.20180730-6e798f9-1)
- official theme collection for vim-airline
- vim-asciidoc (8.6.10-3)
- Vim syntax highlighting files for asciidoc
- vim-athena (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor - with Athena GUI
- vim-autopep8 (1.0.7-1)
- vim plugin to apply autopep8
- vim-bitbake (0~git20180720-1)
- Vim plugin to interact with Yocto bitbake-based recipes
- vim-command-t (5.0.2-5-g7147ba9-1)
- open files with a minimum number of keystrokes
- vim-common (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - Common files
- vim-conque (2.3-1)
- plugin for running interactive commands in a Vim buffer
- vim-ctrlp (1.80-1)
- fuzzy file, buffer, mru, tag, etc. finder for Vim
- vim-doc (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - HTML documentation
- vim-editorconfig (0.3.3+dfsg-2)
- EditorConfig Plugin for Vim
- vim-erlang
- virtueel pakket geboden door vim-vimerl
- vim-erlang-syntax
- virtueel pakket geboden door vim-vimerl-syntax
- vim-fugitive (2.4-1)
- Vim plugin to work with Git
- vim-gtk (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor - with GTK2 GUI
- vim-gtk3 (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor - with GTK3 GUI
- vim-gui-common (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - Common GUI files
- vim-haproxy (1.8.19-1+deb10u5) [security]
- syntax highlighting for HAProxy configuration files
- vim-icinga2 (2.10.3-2+deb10u1)
- syntax highlighting for Icinga 2 config files in VIM
- vim-julia (0.0~git20190129.84104d0-1)
- Vim support for Julia language
- vim-khuno (1.0.3-1)
- Python flakes Vim plugin
- vim-lastplace (3.1.1-2)
- Vim script to reopen files at your last edit position
- vim-latexsuite (1:1.9.0-1)
- view, edit and compile LaTeX documents from within Vim
- vim-ledger (1.0.0-2)
- Vim plugin for Ledger
- vim-lua
- virtueel pakket geboden door vim-gtk3, vim-nox, vim-athena, vim-gtk
- vim-migemo (1:1.2+gh0.20150404-7)
- VIM plugin for C/Migemo
- vim-nox (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor - with scripting languages support
- vim-pathogen (2.4-1)
- Manage your runtimepath with ease
- vim-perl
- virtueel pakket geboden door vim-nox, vim-gtk3, vim-athena, vim-gtk
- vim-puppet (4~20181115+git4793b074-1)
- syntax highlighting for puppet manifests in vim
- vim-python
- virtueel pakket geboden door python-neovim
- vim-python-jedi (0.13.2-1)
- autocompletion tool for Python - VIM addon files
- vim-python3
- virtueel pakket geboden door python3-neovim, vim-gtk, vim-athena, vim-nox, vim-gtk3
- vim-rails (4.5~20110829-1)
- vim development tools for Rails development
- vim-ruby
- virtueel pakket geboden door vim-gtk, vim-athena, vim-gtk3, vim-nox
- vim-runtime (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - Runtime files
- vim-scripts (20180807)
- plugins for vim, adding bells and whistles
- vim-snipmate (0.87-3)
- Vim script that implements some of TextMate's snippets features.
- vim-snippets (1.0.0-4)
- Snippets files for various programming languages.
- vim-syntastic (3.9.0-1)
- Syntax checking hacks for vim
- vim-syntax-docker (18.09.1+dfsg1-7.1+deb10u3)
- Docker container engine - Vim highlighting syntax files
- vim-syntax-gtk (20110314-1)
- Syntax files to highlight GTK+ keywords in vim
- vim-tabular (1.0-3)
- Vim script for text filtering and alignment
- vim-tcl
- virtueel pakket geboden door vim-nox, vim-gtk3, vim-athena, vim-gtk
- vim-tiny (2:8.1.0875-5+deb10u6) [security]
- Vi IMproved - enhanced vi editor - compact version
- vim-tjp (3.6.0-6)
- vim addon for TaskJuggler .tjp files
- vim-tlib (1.23-1)
- Some vim utility functions
- vim-ultisnips (3.1-3)
- snippet solution for Vim
- vim-vimerl (1.4.1+git20120509.89111c7-2)
- Erlang plugin for Vim
- vim-vimerl-syntax (1.4.1+git20120509.89111c7-2)
- Erlang syntax for Vim
- vim-vimoutliner (0.3.4+pristine-9.3)
- script for building an outline editor on top of Vim
- vim-voom (5.3-1)
- Vim two-pane outliner
- vim-youcompleteme (0+20190211+gitcbaf813-0.1)
- fast, as-you-type, fuzzy-search code completion engine for Vim
- vinagre (3.22.0-6+deb10u1) [security]
- remote desktop client for the GNOME Desktop
- vinetto (1:0.07-8)
- forensics tool to examine Thumbs.db files
- vino (3.22.0-5)
- VNC-server voor GNOME
- virt-goodies (0.4-2.1)
- A collection of helpful virtualisation related tools
- virt-manager (1:2.0.0-3)
- desktopapplicatie voor het beheren van virtuele machines
- virt-sandbox (0.5.1+git20160404-1)
- Application sandbox toolkit
- virt-top (1.0.8-2)
- show stats of virtualized domains
- virt-viewer (7.0-2)
- Displaying the graphical console of a virtual machine
- virt-what (1.19-1)
- detect if we are running in a virtual machine
- virtaal (0.7.1-6)
- graphical localisation editor and multi-format translation tool
- virtinst (1:2.0.0-3)
- Programs to create and clone virtual machines
- virtio-forwarder (1.1.99.51-1~unstable)
- SR-IOV virtual function to virtio packet forwarder
- virtual-mysql-client
- virtueel pakket geboden door mariadb-client-10.3
- virtual-mysql-client-core
- virtueel pakket geboden door mariadb-client-core-10.3
- virtual-mysql-server
- virtueel pakket geboden door mariadb-server-10.3
- virtual-mysql-server-core
- virtueel pakket geboden door mariadb-server-core-10.3
- virtual-mysql-testsuite
- virtueel pakket geboden door mariadb-test
- virtualbox-guest-additions-iso (6.0.4-1) [non-free]
- guest additions iso image for VirtualBox
- virtualbox-guest-modules
- virtueel pakket geboden door linux-image-5.10-rt-686-pae, linux-image-5.10-cloud-amd64, linux-image-5.10-686, linux-image-5.10-686-pae, linux-image-5.10-rt-amd64, linux-image-5.10-amd64
- virtualenv (15.1.0+ds-2+deb10u1)
- Python virtual environment creator
- virtualenv-clone (0.3.0-1.2)
- script for cloning a non-relocatable virtualenv (transitional package)
- virtualenvwrapper (4.3.1-2)
- extension to virtualenv for managing multiple virtual Python environments
- virtualjaguar (2.1.3-2+b1)
- Cross-platform Atari Jaguar emulator
- virtuoso-minimal (6.1.6+dfsg2-4)
- high-performance database - core dependency package
- virtuoso-opensource (6.1.6+dfsg2-4)
- high-performance database
- virtuoso-opensource-6.1 (6.1.6+dfsg2-4+b2)
- high-performance database - support files
- virtuoso-opensource-6.1-bin (6.1.6+dfsg2-4+b2)
- high-performance database - binaries
- virtuoso-opensource-6.1-common (6.1.6+dfsg2-4+b2)
- high-performance database - common files
- virtuoso-server (6.1.6+dfsg2-4)
- high-performance database - server dependency package
- virtuoso-vad-bpel (6.1.6+dfsg2-4)
- high-performance database - BPEL module
- virtuoso-vad-conductor (6.1.6+dfsg2-4)
- high-performance database - conductor module
- virtuoso-vad-demo (6.1.6+dfsg2-4)
- high-performance database - demo module
- virtuoso-vad-doc (6.1.6+dfsg2-4)
- high-performance database - documentation module
- virtuoso-vad-isparql (6.1.6+dfsg2-4)
- high-performance database - iSPARQL module
- virtuoso-vad-ods (6.1.6+dfsg2-4)
- high-performance database - Open Data Spaces module
- virtuoso-vad-rdfmappers (6.1.6+dfsg2-4)
- high-performance database - RDF mappers module
- virtuoso-vad-sparqldemo (6.1.6+dfsg2-4)
- high-performance database - SPARQL demo module
- virtuoso-vad-syncml (6.1.6+dfsg2-4)
- high-performance database - SyncML module
- virtuoso-vad-tutorial (6.1.6+dfsg2-4)
- high-performance database - tutorial module
- virtuoso-vsp-startpage (6.1.6+dfsg2-4)
- high-performance database - web interface files
- viruskiller (1.03-1+dfsg1-2)
- Game about viruses invading your computer
- vis (0.5+ts-3)
- Modern, legacy free, simple yet efficient vim-like editor
- visidata (1.5.2-1)
- rapidly explore columnar data in the terminal
- vislcg3
- virtueel pakket geboden door cg3
- visolate (2.1.6~svn8+dfsg1-1.1)
- tool for engraving PCBs using CNCs
- visp-images-data (3.2.0-1)
- visual servoing library - dataset reference files
- vistrails (2.2.4-1)
- Science visualisation workflow toolkit
- visual-regexp (3.1-5)
- Interactively debug regular expressions
- visualboyadvance (1.8.0.dfsg-5)
- full featured Game Boy Advance emulator
- visualvm (1.4.2-2)
- All-in-One Java Troubleshooting Tool
- vit (1.3~beta1-3)
- full-screen terminal interface for Taskwarrior
- vitables (2.1-1)
- graphical tool to browse and edit PyTables and HDF5 files
- vite (1.2+svn1430-6+deb10u1)
- Efficient visual trace explorer
- vitetris (0.57.2-2)
- Virtual terminal *tris clone
- viva (1.2-1.1)
- alternative visualization techniques for analysis of paje trace files
- vizigrep (1.4-1)
- graphical file contents search tool using regular expressions
- vkd3d-demos (1.1-3)
- Direct3D 12 to Vulkan translation - demos
- vkeybd (1:0.1.18d-3)
- Virtual MIDI Keyboard
- vlan (2.0.5)
- ifupdown integration for vlan configuration
- vland (0.8-1)
- LAVA Coordinator daemon
- vlc (3.0.20-0+deb10u1) [security]
- multimedia player and streamer
- vlc-bin (3.0.20-0+deb10u1) [security]
- binaries from VLC
- vlc-data (3.0.20-0+deb10u1) [security]
- common data for VLC
- vlc-l10n (3.0.20-0+deb10u1) [security]
- translations for VLC
- vlc-plugin-abi-3-0-0f
- virtueel pakket geboden door libvlccore9
- (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (extra access plugins)
- vlc-plugin-base (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (base plugins)
- vlc-plugin-bittorrent (2.6-1)
- Bittorrent plugin for VLC
- vlc-plugin-fluidsynth (3.0.20-0+deb10u1) [security]
- FluidSynth plugin for VLC
- vlc-plugin-jack (3.0.20-0+deb10u1) [security]
- JACK audio plugins for VLC
- vlc-plugin-notify (3.0.20-0+deb10u1) [security]
- LibNotify plugin for VLC
- vlc-plugin-qt (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (Qt plugin)
- vlc-plugin-samba (3.0.20-0+deb10u1) [security]
- Samba plugin for VLC
- vlc-plugin-skins2 (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (Skins2 plugin)
- vlc-plugin-svg (3.0.20-0+deb10u1) [security]
- SVG plugin for VLC
- vlc-plugin-video-output (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (video output plugins)
- vlc-plugin-video-splitter (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (video splitter plugins)
- vlc-plugin-visualization (3.0.20-0+deb10u1) [security]
- multimedia player and streamer (visualization plugins)
- vlc-plugin-vlsub (0.10.2-2)
- VLC extension to download subtitles from opensubtitles.org
- vlc-plugin-zvbi (3.0.20-0+deb10u1) [security]
- transitional dummy package
- vlevel (0.5.1-3)
- Levelling audio filter as command-line tool and LADSPA/JACK plugin
- vlock (2.2.2-8)
- Virtual Console locking program
- vlogger (1.3-4)
- virtual web logfile rotater/parser
- vm (8.2.0b-5)
- mail user agent for Emacs
- vmdb2 (0.13.2+git20190215-1)
- creator of disk images with Debian installed
- vmdebootstrap (1.11-2)
- Bootstrap Debian into a (virtual machine) disk image
- vmdk-stream-converter (0.2-4)
- raw disk images to stream-optimized VMDK files convert tool
- vmfs-tools (0.2.5-1+b2)
- Tools to access VMFS filesystems
- vmg (3.7.1-3)
- Virtual Magnifying Glass
- vmm (0.6.2-2)
- manage mail domains/accounts/aliases for Dovecot and Postfix
- vmm-doc (0.6.2-2)
- Documentation for the Virtual Mail Manager
- vmpk (0.4.0-3+b1)
- Virtual MIDI Piano Keyboard
- vmtk (1.3+dfsg-2.3) [non-free]
- the Vascular Modeling Toolkit
- vmtouch (1.3.0-1)
- Portable file system cache diagnostics and control
- vmware-manager (0.2.0-3) [contrib]
- utility to manage VMware virtual machines
- vnc-server
- virtueel pakket geboden door tigervnc-standalone-server, tigervnc-xorg-extension, tigervnc-scraping-server, tightvncserver
- vnc-viewer
- virtueel pakket geboden door xtightvncviewer, tigervnc-viewer
- vnc-xorg-extension
- virtueel pakket geboden door tigervnc-xorg-extension
- vnc4server (4.1.1+X4.3.0+t-1)
- transitional package
- vncsnapshot (1.2a-5.1+b2)
- A utility that takes JPEG snapshots from VNC servers
- vncviewer
- virtueel pakket geboden door tigervnc-viewer, xtightvncviewer
- vnlog (1.16-1)
- Toolkit to read/write/manipulate whitespace-separated ASCII logs
- vnstat (1.18-2)
- console-based network traffic monitor
- vnstati (1.18-2)
- image output support for vnStat
- vo-aacenc-dbg (0.1.3-1+b1)
- VisualOn AAC encoder library (debugging symbols)
- vo-amrwbenc-dbg (0.1.3-1+b1)
- VisualOn AMR-WB encoder library (debugging symbols)
- vobcopy (1.2.0-7)
- Tool to copy DVD VOBs to hard disk
- vocproc (0.2.1-2)
- LV2 plugin for pitch shifting and vocoding
- voctomix (1.3-2)
- Full-HD Software Live-Video-Mixer
- voctomix-core (1.3-2)
- Full-HD Software Live-Video-Mixer (Core)
- voctomix-gui (1.3-2)
- Full-HD Software Live-Video-Mixer (GUI)
- voctomix-outcasts (0.8.0-1)
- Additional scripts to be used with Voctomix
- vodovod (1.10-4)
- puzzle game, you must lead the water to the storage tank
- voikko-fi (2.2-1.1)
- Description of Finnish morphology written for libvoikko
- vokoscreen (2.5.0-2)
- easy to use screencast creator
- volatility (2.6.1-1)
- advanced memory forensics framework
- volatility-tools (2.6.1-1)
- generate profiles to Volatility Framework
- volti (0.2.3-7)
- control audio volume from system tray/notification area
- volume-key (0.3.12-2+b1)
- Utility for manipulating storage encryption keys and passphrases
- volumecontrol.app (0.8-1)
- Audio mixer for GNUstep
- volumeicon-alsa (0.5.1+git20170117-1)
- systray volume icon for alsa
- voms-clients (2.1.0~rc0-5+b1)
- Virtual Organization Membership Service Clients
- voms-clients-java (3.3.0-3)
- Virtual Organization Membership Service Java clients
- voms-dev (2.1.0~rc0-5+b1)
- Virtual Organization Membership Service C++ API Development Files
- voms-doc (2.1.0~rc0-5)
- Virtual Organization Membership Service Documentation Files
- voms-mysql-plugin (3.1.7-2+b1)
- VOMS server plugin for MySQL
- voms-server (2.1.0~rc0-5+b1)
- Virtual Organization Membership Service Server
- vor (0.5.7-3)
- 2D action game about dodging rocks in space
- vorbis-tools (1.4.0-11)
- several Ogg Vorbis tools
- vorbisgain (0.37-2+b1)
- add Replay Gain volume tags to Ogg Vorbis files
- voro++ (0.4.6+dfsg1-3)
- library for the computation of the Voronoi diagram
- voro++-dev (0.4.6+dfsg1-3)
- library for the computation of the Voronoi diagram (dev files)
- voro++-examples (0.4.6+dfsg1-3)
- library for the computation of the Voronoi diagram (examples)
- voronota (1.19.2352-1)
- Voronoi diagram-based tool to find atom contacts
- votca-csg (1.5-3)
- VOTCA's coarse-graining engine
- votca-csg-scripts (1.5-3)
- VOTCA's coarse-graining scripts
- votca-csg-tutorials (1.5-3)
- VOTCA's coarse-graining tutorials
- voxbo (1.8.5~svn1246-3+b1)
- processing, statistical analysis, and display of brain imaging data
- vpb-driver-source (4.2.61-1)
- Voicetronix telephony hardware driver source
- vpb-utils (4.2.61-1)
- Voicetronix telephony hardware userspace tools
- vpcs (0.5b2-2.1)
- virtual PC simulator for Dynamips
- vpnc (0.5.3r550-3)
- Cisco-compatible VPN client
- vpnc-scripts (0.1~git20190117-1)
- Network configuration scripts for VPNC and OpenConnect
- vprerex (1:6.5.1-1)
- Qt interface to prerex, a course prerequisite chart editor
- vpx-tools (1.7.0-3+deb10u2) [security]
- VP8 and VP9 video codec encoding/decoding tools
- vramsteg (1.1.0-1+b1)
- provide shell scripts with a full-featured progress indicator
- vrfy (990522-10)
- verify electronic mail addresses
- vrfydmn (0.9.1-2)
- Milter for ensuring email message from matches mail from
- vrms (1.24)
- virtual Richard M. Stallman
- vrrpd (1.0-2+b2)
- Virtual Router Redundancy Protocol user-space implementation
- vsd2odg (0.9.6-2)
- Visio to OpenDocument converter
- vsdump (0.0.45-1+b2)
- Convert Microsoft Visio diagrams .vsd, .vss
- vsearch (2.10.4-1)
- tool for processing metagenomic sequences
- vsftpd (3.0.3-12)
- lightweight, efficient FTP server written for security
- vsftpd-dbg (3.0.3-12)
- lightweight, efficient FTP server written for security (debug)
- vspline-dev (0.4.1-1)
- header-only C++ template library for uniform b-spline processing
- vstream-client (1.2-6.1+b2)
- library and utility to fetch media streams from a TIVO
- vstream-client-dev (1.2-6.1+b2)
- Development headers for vstream-client
- vtable-dumper (1.2-1)
- tool to list content of virtual tables in a C++ shared library
- vtgamma (0.4-2)
- gamma correction for terminals
- vtgrab (0.1.8-3.1)
- A VNC like console monitoring
- vtk-dicom-tools (0.8.9-1)
- DICOM for VTK - tools
- vtk6 (6.3.0+dfsg2-2+b5)
- Binaries for VTK6
- vtk6-doc (6.3.0+dfsg2-2)
- VTK class reference documentation
- vtk6-examples (6.3.0+dfsg2-2)
- VTK examples
- vtk7 (7.1.1+dfsg1-12+b1)
- Binaries for VTK7
- vtk7-doc (7.1.1+dfsg1-12)
- VTK class reference documentation
- vtk7-examples (7.1.1+dfsg1-12)
- VTK examples
- vtprint (2.0.2-13+b1)
- Prints to term emulator via ANSI codes
- vttest (2.7+20180911-1)
- tool for testing VT100 compatibility of terminals
- vtun (3.0.3-4)
- virtual tunnel over TCP/IP networks
- vtwm (5.4.7-5)
- Virtual Tab Window Manager
- vulkan-icd
- virtueel pakket geboden door nvidia-legacy-390xx-vulkan-icd, nvidia-vulkan-icd, nvidia-nonglvnd-vulkan-icd, nvidia-legacy-390xx-nonglvnd-vulkan-icd
- vulkan-tools (1.1.97+dfsg1-2)
- Miscellaneous Vulkan utilities
- vulkan-utils (1.1.97+dfsg1-2)
- transitional package
- vulkan-utils
- virtueel pakket geboden door vulkan-tools
- vulkan-validationlayers (1.1.97-2)
- Vulkan validation layers
- vulkan-validationlayers-dev (1.1.97-2)
- Vulkan validation layers -- development files
- vuls (0.6.1-1+b11)
- Vulnerability scanner for Linux/FreeBSD, agentless, written in Go
- vulture (0.21-1.1)
- scans for unused ("dead") code in a Python program
- vusb-analyzer (1.1-7) [contrib]
- tool for visualizing logs of USB packets
- vxi-dev (0.0.20121221-2)
- Development files for the VXI-11 RPC protocol
- vym (2.6.11-3)
- mindmapping tool
- vzctl (4.9.4-6)
- server virtualization solution - control tools
- vzdump (1.2.6-5)
- OpenVZ backup scripts
- vzquota (3.1-4)
- server virtualization solution - quota tools
- vzstats (0.5.3-2)
- OpenVZ component to gather statistics to improve the project
- w-scan (20170107-2)
- Channel scanning tool for DVB and ATSC channels
- w1retap (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors
- w1retap-doc (1.4.4-3)
- Data logger for 1-Wire weather sensors (docs)
- w1retap-mongo (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors (MongoDB plugin)
- w1retap-mysql (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors (MySQL plugin)
- w1retap-odbc (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors (ODBC plugin)
- w1retap-pgsql (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors (PostgreSQL plugin)
- w1retap-sqlite (1.4.4-3+b1)
- Data logger for 1-Wire weather sensors (SQLite plugin)
- w2do (2.3.1-8)
- simple text-based todo manager
- w3-dtd-mathml (2.0.0.0-5)
- Mathematical Markup Language V2.0 DTD
- w3-recs (20110107-1) [non-free]
- Recommendations of the World Wide Web Consortium (W3C)
- w3c-linkchecker (4.81-10)
- tool to verify the links in a web page are still valid
- w3c-markup-validator (1.3+dfsg-4)
- W3C Markup Validator
- w3c-sgml-lib (1.3-2)
- w3.org DTD and catalog files
- w3cam (0.7.2-6.2+b3)
- a simple CGI to retrieve images from video4linux device
- w3m (0.5.3-37+deb10u1) [security]
- WWW browsable pager with excellent tables/frames support
- w3m-el (1.4.569+0.20170110-5)
- simple Emacs interface of w3m
- w3m-el
- virtueel pakket geboden door w3m-el-snapshot
- w3m-el-snapshot (1.4.632+0.20181112-2)
- simple Emacs interface of w3m (development version)
- w3m-img (0.5.3-37+deb10u1) [security]
- inline image extension support utilities for w3m
- w9wm (0.4.2-8+b1)
- enhanced window manager based on 9wm
- waagent (2.2.45-4~deb10u1)
- Windows Azure Linux Agent
- wabt (1.0.8-1)
- WebAssembly Binary Toolkit
- wadc (3.0-1)
- programming environment for creating Doom maps
- waffle-utils (1.5.2-4)
- Waffle library (utilities)
- wafw00f (0.9.5-1)
- identify and fingerprint Web Application Firewall products
- wah-plugins (0.1.0-4)
- auto-wah LADSPA plugin
- wait-for-it (0.0~git20180723-1)
- script that will wait on the availability of a host and TCP port
- wajig (2.18.1)
- unified package management front-end for Debian
- wakeonlan (0.41-12)
- Sends 'magic packets' to wake-on-LAN enabled ethernet adapters
- wallstreet (1.14-1.1)
- fill your console with Wall Street-like news and stats
- wamerican (2018.04.16-1)
- Lijst met Amerikaans-Engelse woorden voor /usr/share/dict
- wamerican-huge (2018.04.16-1)
- American English dictionary words for /usr/share/dict
- wamerican-insane (2018.04.16-1)
- American English dictionary words for /usr/share/dict
- wamerican-large (2018.04.16-1)
- American English dictionary words for /usr/share/dict
- wamerican-small (2018.04.16-1)
- American English dictionary words for /usr/share/dict
- wammu (0.44-1.1)
- GTK-toepassing om uw mobiele telefoon te besturen
- wand-doc (0.4.4-3)
- Python interface for ImageMagick library - documentation
- wap-wml-tools (0.0.4-7+b1) [non-free]
- Wireless Markup Language development and test tools
- wapiti (3.0.1+dfsg-1)
- web application vulnerability scanner
- wapua (0.06.3-1)
- Web browser for WAP WML pages
- warmux (1:11.04.1+repack2-4)
- turn-based artillery game on 2D maps
- warmux-data (1:11.04.1+repack2-4)
- data files for the WarMUX game
- warmux-servers (1:11.04.1+repack2-4)
- stand alone server and game index server for WarMUX
- warzone2100 (3.2.1-3+deb10u1)
- 3D real time strategy game
- warzone2100-data (3.2.1-3+deb10u1)
- data files for warzone2100
- warzone2100-music (3.2.1-3+deb10u1)
- official music for warzone2100
- watch
- virtueel pakket geboden door procps
- watch-maildirs (1.2.0-2.2)
- mswatch helper tools to watch maildirs and trigger on-demand syncs
- watchcatd (1.2.1-3.1)
- Process monitoring daemon
- watchdog (5.15-2)
- system health checker and software/hardware watchdog handler
- watcher-api (1.12.0-3)
- OpenStack Cloud Optimization as a Service - API server
- watcher-applier (1.12.0-3)
- OpenStack Cloud Optimization as a Service - Applier
- watcher-common (1.12.0-3)
- OpenStack Cloud Optimization as a Service - common files
- watcher-decision-engine (1.12.0-3)
- OpenStack Cloud Optimization as a Service - Decision Engine
- watcher-doc (1.12.0-3)
- OpenStack Cloud Optimization as a Service - doc
- watson (1.6.0-6)
- Helps you monitoring your time (Python 3)
- wav2cdr (2.3.4-2+deb10u1)
- Converts wav files into CD-ROM audio file format
- wavbreaker (0.11-1+b2)
- tool to split wave files into multiple chunks
- wavemon (0.8.2-1+b1)
- Wireless Device Monitoring Application
- wavesurfer (1.8.8p5-1)
- Sound Manipulation Program
- wavpack (5.1.0-6+deb10u1)
- audio codec (lossy and lossless) - encoder and decoder
- wavtool-pl (0.20150501-1+b1)
- tool to concatenate wav files
- wayland-protocols (1.17-1)
- wayland compositor protocols
- wbar (2.3.4-9)
- light and fast launch bar
- wbar-config (2.3.4-9)
- GUI tool to configure wbar
- wbox (5-1+b2)
- HTTP testing tool and configuration-less HTTP server
- wbrazilian (3.0~beta4-22)
- Brazilian Portuguese wordlist
- wbritish (2018.04.16-1)
- British English dictionary words for /usr/share/dict
- wbritish-huge (2018.04.16-1)
- British English dictionary words for /usr/share/dict
- wbritish-insane (2018.04.16-1)
- British English dictionary words for /usr/share/dict
- wbritish-large (2018.04.16-1)
- British English dictionary words for /usr/share/dict
- wbritish-small (2018.04.16-1)
- British English dictionary words for /usr/share/dict
- wbulgarian (4.1-7)
- Bulgarian dictionary words for /usr/share/dict
- wcalc (2.5-3)
- Flexible command-line scientific calculator
- wcanadian (2018.04.16-1)
- Canadian English dictionary words for /usr/share/dict
- wcanadian-huge (2018.04.16-1)
- Canadian English dictionary words for /usr/share/dict
- wcanadian-insane (2018.04.16-1)
- Canadian English dictionary words for /usr/share/dict
- wcanadian-large (2018.04.16-1)
- Canadian English dictionary words for /usr/share/dict
- wcanadian-small (2018.04.16-1)
- Canadian English dictionary words for /usr/share/dict
- wcatalan (0.20111230b-12)
- Catalan dictionary words for /usr/share/dict
- wcc (0.0.2+dfsg-3+b3)
- Collection of tools to manipulate binaries and shared objects
- wcd (5.3.4-1+b2)
- saves time typing when you want to change directories
- wcslib-dev (6.2-2)
- Header files and static library for wcslib and pgsbox
- wcslib-doc (6.2-2)
- API documentation for wcslib
- wcslib-tools (6.2-2)
- Command line tools utilizing wcslib
- wcstools (3.9.5-3)
- Handle the WCS of a FITS image
- wdanish (1.6.36-11)
- Het Uitgebreide Deense woordenboek (DSDO) - woordenlijst
- wdiff (1.2.2-2+b1)
- Compares two files word by word
- wdiff-doc (1.2.2-2)
- Documentation for GNU wdiff
- wdm (1.28-24)
- WINGs Display Manager - an xdm replacement with a WindowMaker look
- wdq2wav (1.0.0-1.1) [contrib]
- Converts a WinDAQ channel to sound .wav file
- wdutch (1:2.10-6)
- list of Dutch words
- weather-util (2.3-2)
- command-line tool to obtain weather conditions and forecasts
- weather-util-data (2.3-2)
- optional correlation data for weather-util search feature
- weathermap4rrd (1.1.999+1.2rc3-3)
- script that generates picture network links utilization
- webalizer (2.23.08-3.1)
- web server log analysis program
- webapps-metainfo (0.1)
- Metadata for web applications
- webauth-tests (4.7.0-7)
- Tests for the WebAuth authentication modules
- webauth-utils (4.7.0-7)
- Command-line utilities for WebAuth authentication
- webauth-weblogin (4.7.0-7)
- Central login server for WebAuth authentication
- webcamoid (8.5.0+dfsg-2)
- full featured webcam capture application
- webcamoid-data (8.5.0+dfsg-2)
- icons and locale files for webcamoid
- webcamoid-plugins (8.5.0+dfsg-2)
- full featured webcam capture application - plugins
- webcheck (1.10.4-1.1)
- website link and structure checker
- webdeploy (1.0-2)
- Deploy files using FTP
- webdis (0.1.4+dfsg-1+b1 [amd64, arm64, armhf], 0.1.4+dfsg-1 [i386])
- simple web server providing an HTTP interface to Redis
- webdruid (0.5.4-15)
- Web server log file analysis tool
- webext-browserpass (2.0.22-2)
- web extension for the password manager pass
- webext-bulk-media-downloader (0.2.1-3)
- Cross-browser extension to detect and download media resources
- webext-dav4tbsync (1.23-1~deb10u1)
- Provide CalDAV & CardDAV for TbSync
- webext-debianbuttons (2.3-2)
- Buttons for querying Debian-related pages with Firefox
- webext-eas4tbsync (1.20-1~deb10u1)
- Provide Exchange ActiveSync (EAS v2.5 & v14.0) synchronization capabilities
- webext-form-history-control (2.3.0.0+dfsg-1)
- extension to manage form history
- webext-https-everywhere (2019.1.31-2)
- Extension to force the use of HTTPS on many sites
- webext-lightbeam (2.1.0-2)
- visualize sites that may be tracking you around the internet
- webext-noscript (10.1.9.6-2)
- permissions manager for Firefox
- webext-privacy-badger (2019.2.19-1)
- Privacy Badger automatically learns to block invisible trackers
- webext-proxy-switcher (0.3.9-1)
- Modify Proxy Settings for your Browser
- webext-tbsync (2.18-1~deb10u1)
- Thunderbird/Lightning Add-On to support MS Exchange Calendar etc.
- webext-treestyletab (2.7.23-1)
- Show browser tabs like a tree
- webext-ublock-origin (1.42.0+dfsg-1~deb10u1)
- transitional package
- webext-ublock-origin-chromium (1.42.0+dfsg-1~deb10u1)
- lightweight and efficient ads, malware, trackers blocker (Chromium)
- webext-ublock-origin-firefox (1.42.0+dfsg-1~deb10u1)
- lightweight and efficient ads, malware, trackers blocker (Firefox)
- webext-umatrix (1.3.16+dfsg-2)
- browser plugin to block requests and reduce data leakage
- webfs (1.21+ds1-12)
- lightweight HTTP server for static content
- webhook (2.6.9-1)
- Small server for creating HTTP endpoints (hooks)
- webhttrack (3.49.2-1)
- Copy websites to your computer, httrack with a Web interface
- webhttrack-common (3.49.2-1)
- webhttrack common files
- webissues (1.1.5-3)
- network system supporting team collaboration
- webkit2gtk-driver (2.38.6-0+deb10u1) [security]
- WebKitGTK WebDriver support
- weblint
- virtueel pakket geboden door weblint-perl
- weblint-perl (2.32+dfsg-1)
- syntax and minimal style checker for HTML
- webmagick (2.02-11)
- creëert gallerij postzegel afbeeldingen voor webpagina's
- webmail
- virtueel pakket geboden door php-horde-webmail
- weborf (0.15-1)
- Fast and small webserver meant to be run without root privileges
- weborf-daemon (0.15-1)
- init script for weborf
- webp (0.6.1-2+deb10u3) [security]
- Lossy compression of digital photographic images.
- webpack (3.5.6-3.1)
- Packs CommonJs/AMD modules for the browser
- websimba (0.8.4-6)
- web interface for simba
- websockify (0.8.0+dfsg1-10)
- WebSockets support for any application/server
- websockify-common (0.8.0+dfsg1-10)
- WebSockets support for any application/server - shared files
- websploit (3.0.0-2)
- Web exploitation framework
- weechat (2.3-1+deb10u1)
- Fast, light and extensible chat client (metapackage)
- weechat-core (2.3-1+deb10u1)
- Fast, light and extensible chat client - core files
- weechat-curses (2.3-1+deb10u1)
- Fast, light and extensible chat client - console client
- weechat-dev (2.3-1+deb10u1)
- Fast, light and extensible chat client - development headers
- weechat-doc (2.3-1+deb10u1)
- Fast, light and extensible chat client - documentation
- weechat-guile (2.3-1+deb10u1)
- Fast, light and extensible chat client - Guile plugin
- weechat-headless (2.3-1+deb10u1)
- Fast, light and extensible chat client - headless client
- weechat-lua (2.3-1+deb10u1)
- Fast, light and extensible chat client - Lua plugin
- weechat-perl (2.3-1+deb10u1)
- Fast, light and extensible chat client - Perl plugin
- weechat-php (2.3-1+deb10u1)
- Fast, light and extensible chat client - PHP plugin
- weechat-plugins (2.3-1+deb10u1)
- Fast, light and extensible chat client - plugins
- weechat-python (2.3-1+deb10u1)
- Fast, light and extensible chat client - Python plugin
- weechat-ruby (2.3-1+deb10u1)
- Fast, light and extensible chat client - Ruby plugin
- weechat-scripts (20180330-1)
- script collection for the WeeChat IRC client
- weechat-tcl (2.3-1+deb10u1)
- Fast, light and extensible chat client - Tcl plugin
- weevely (3.7.0-1)
- Stealth tiny web shell
- weex (2.8.3)
- Non-interactive FTP and FTPS client for updating web pages
- weightwatcher (1.12+dfsg-1)
- Combine maps and polygon data for astronomical image processing
- weirdx (1.0.32-7)
- X server in Java
- weka (3.6.14-1)
- Machine learning algorithms for data mining tasks
- weka-doc (3.6.14-1)
- documentation for the Weka machine learning suite
- welcome2l (3.04-27)
- Linux ANSI boot logo
- weplab (0.1.5-4)
- tool designed to break WEP keys
- werewolf (1.5.2.0-4+b1)
- game engine for playing werewolf within a chat client
- wesnoth (1:1.14.5-1)
- fantasy turn-based strategy game - complete suite (metapackage)
- wesnoth-1.14 (1:1.14.5-1)
- fantasy turn-based strategy game - complete suite (branch 1.14)
- wesnoth-1.14-aoi (1:1.14.5-1)
- "An Orcish Incursion" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-core (1:1.14.5-1+b1)
- fantasy turn-based strategy game (branch 1.14)
- wesnoth-1.14-data (1:1.14.5-1)
- data files for Wesnoth (branch 1.14)
- wesnoth-1.14-did (1:1.14.5-1)
- "Descent Into Darkness" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-dm (1:1.14.5-1)
- "Delfador's Memoirs" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-dw (1:1.14.5-1)
- "Dead Water" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-ei (1:1.14.5-1)
- "The Eastern Invasion" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-httt (1:1.14.5-1)
- "Heir to the Throne" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-l (1:1.14.5-1)
- "Liberty" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-low (1:1.14.5-1)
- "Legend of Wesmere" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-music (1:1.14.5-1)
- music files for Wesnoth (branch 1.14)
- wesnoth-1.14-nr (1:1.14.5-1)
- "Northern Rebirth" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-server (1:1.14.5-1+b1)
- multiplayer network server for Wesnoth (branch 1.14)
- wesnoth-1.14-sof (1:1.14.5-1)
- "The Sceptre of Fire" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-sota (1:1.14.5-1)
- "Secrets of the Ancients" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-sotbe (1:1.14.5-1)
- "Son of the Black-Eye" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-thot (1:1.14.5-1)
- "The Hammer of Thursagan" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-tools (1:1.14.5-1)
- tools for campaign developers for Wesnoth (branch 1.14)
- wesnoth-1.14-trow (1:1.14.5-1)
- "The Rise of Wesnoth" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-tsg (1:1.14.5-1)
- "The South Guard" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-ttb (1:1.14.5-1)
- "A Tale of Two Brothers" official campaign for Wesnoth (branch 1.14)
- wesnoth-1.14-utbs (1:1.14.5-1)
- "Under the Burning Suns" official campaign for Wesnoth (branch 1.14)
- wesnoth-core (1:1.14.5-1)
- fantasy turn-based strategy game (metapackage)
- wesnoth-music (1:1.14.5-1)
- music files for Wesnoth (metapackage)
- wesperanto (2.1.2000.02.25-57)
- Esperanto dictionary words for /usr/share/dict
- weston (5.0.0-3)
- reference implementation of a wayland compositor
- wfaroese (0.4.2-13)
- Faroese dictionary / wordlist
- wfrench (1.2.4-1)
- French dictionary words for /usr/share/dict
- wfut (0.2.3-6)
- WorldForge Update Tool (executable)
- wfuzz (2.3.4-1)
- Web application bruteforcer
- wgaelic (0.50-13)
- Scots Gaelic word list
- wgalician-minimos (0.5-46)
- Wordlist for Galician (minimos)
- wgerman-medical (20160103-3)
- German medical dictionary words for /usr/share/dict
- wget (1.20.1-1.1)
- retrieves files from the web
- wget-udeb (1.20.1-1.1)
- retrieves files from the web
- wget2 (1.99.1-2)
- file and recursive website downloader
- wget2-dev (1.99.1-2)
- development file for libwget2
- whalebuilder (0.7)
- Debian package builder using Docker
- whatmaps (0.0.12-3)
- tool to find processes mapping shared objects
- whatweb (0.4.9-2)
- Next generation web scanner
- when (1.1.38-2)
- tiny personal calendar
- whereami (0.3.34-0.4)
- Automatically reconfigure your (laptop) system for a new location
- whichman (2.4-9)
- Fault tolerant search utilities: whichman, ftff, ftwhich
- whichwayisup (0.7.9-6)
- 2D platform game with a slight rotational twist
- whiff (0.005-1)
- helper to find the first executable of a series of alternatives
- whiptail (0.52.20-8)
- Geeft gebruiksvriendelijke dialogen weer vanuit shell scripts
- whiteboard (0.1~2-1)
- simple collaborative text editor for the web
- whitedb (0.7.3-4)
- lightweight NoSQL database library
- whitedune (0.30.10-2.2)
- graphical VRML97/X3D viewer, editor, 3D modeller and animation tool
- whitedune-docs (0.30.10-2.2)
- documentation for whitedune
- whizzytex (1.3.3-0.2)
- WYSIWYG emacs environment for LaTeX
- whohas (0.29.1-1)
- query multiple distributions' package archives
- whois (5.4.3)
- intelligent WHOIS client
- whowatch (1.8.6-1+b1)
- Real-time user logins monitoring tool
- why3 (1.2.0-1)
- Software verification platform
- why3-coq (1.2.0-1)
- Coq support for the why3 verification platform
- why3-doc-html (1.2.0-1)
- HTML Documentation of the why3 verification platform
- why3-doc-pdf (1.2.0-1)
- PDF Documentation of the why3 verification platform
- why3-examples (1.2.0-1)
- Examples for the why3 verification platform
- whysynth (20090403-1.2+b2)
- DSSI Soft Synth Interface
- whyteboard (0.41.1-6)
- overlay painting and annotation application
- wicd (1.7.4+tb2-6)
- wired and wireless network manager - metapackage
- wicd-cli (1.7.4+tb2-6)
- wired and wireless network manager - scriptable console client
- wicd-client
- virtueel pakket geboden door wicd-curses, wicd-cli, wicd-gtk
- wicd-curses (1.7.4+tb2-6)
- wired and wireless network manager - Curses client
- wicd-daemon (1.7.4+tb2-6)
- wired and wireless network manager - daemon
- wicd-gtk (1.7.4+tb2-6)
- Netwerkbeheer voor bekabeld en draadloos - GTK+-client
- wide-dhcpv6-client (20080615-22)
- DHCPv6 client for automatic IPv6 hosts configuration
- wide-dhcpv6-client-udeb (20080615-22)
- DHCPv6 client for automatic IPv6 hosts configuration
- wide-dhcpv6-relay (20080615-22)
- DHCPv6 relay for automatic IPv6 hosts configuration
- wide-dhcpv6-server (20080615-22)
- DHCPv6 server for automatic IPv6 hosts configuration
- widelands (1:19+repack-6+b1)
- fantasy real-time strategy game
- widelands-data (1:19+repack-6)
- fantasy real-time strategy game (data files)
- widemargin (1.1.13-3)
- bible reading and study application
- wifi-radar (2.0.s08+dfsg-2)
- graphical utility for managing Wi-Fi profiles
- wifite (2.2.5-2)
- Python script to automate wireless auditing using aircrack-ng tools
- wig (0.6-1)
- WebApp Information Gatherer
- wigeon (20101212+dfsg1-2)
- reimplementation of the Pintail 16S DNA anomaly detection utility
- wiggle (1.1-1)
- apply patches with conflicting changes
- wiipdf (1.4-2+b2)
- present a PDF file using your wiimote
- wiki2beamer (0.9.5-1)
- Tool to create LaTeX beamer presentations in wiki syntax
- wikipedia2text (0.13-1)
- displays Wikipedia articles on the command line
- wikitrans (1.3-1)
- MediaWiki markup translator (utility)
- wildmidi (0.4.3-1)
- software MIDI player
- wiliki (0.6.2-1)
- Yet another Wiki clone written in Scheme
- wily (0.13.41-7.3)
- A work-alike of the Acme programming environment for Plan 9
- wims (1:4.15d~dfsg1-3)
- server for educational content: courses, exercises, and exams
- wims-help (4.01-3)
- help files for wims
- wims-java-applets (1:4.15d~dfsg1-3)
- applets for modules used by WIMS
- wims-modules (1:4.15d~dfsg1-3)
- minimal set of modules used by WIMS
- wims-moodle (4.0-18)
- module for Moodle featuring Wims mini-classes
- wimtools (1.13.0-1+b1)
- Tools for manipulating Windows Imaging files
- win32-loader (0.9.4+deb10u2)
- Debian-Installer loader for win32
- winbind (2:4.9.5+dfsg-5+deb10u5) [security]
- service to resolve user and group information from Windows NT servers
- window-size (0.2.0-1)
- get the height and width of the terminal
- windowlab (1.40-3+b1)
- small and simple Amiga-like window manager
- windows-el (2.48-3)
- window manager for GNU Emacs
- wine (4.0-2)
- Windows API implementation - standard suite
- wine
- virtueel pakket geboden door wine-development
- wine-binfmt (4.0-2)
- Register Wine as the interpreter for Windows executables
- wine-development (4.2-4)
- Windows API implementation - standard suite
- wine32 (4.0-2)
- Windows API implementation - 32-bit binary loader
- wine32-development (4.2-4+b1)
- Windows API implementation - 32-bit binary loader
- wine32-development-preloader (4.2-4+b1)
- Windows API implementation - prelinked 32-bit binary loader
- wine32-development-tools (4.2-4+b1)
- Windows API implementation - 32-bit developer tools
- wine32-preloader (4.0-2)
- Windows API implementation - prelinked 32-bit binary loader
- wine32-tools (4.0-2)
- Windows API implementation - 32-bit developer tools
- wine64 (4.0-2)
- Windows API implementation - 64-bit binary loader
- wine64-development (4.2-4+b1)
- Windows API implementation - 64-bit binary loader
- wine64-development-preloader (4.2-4+b1)
- Windows API implementation - prelinked 64-bit binary loader
- wine64-development-tools (4.2-4+b1)
- Windows API implementation - 64-bit developer tools
- wine64-preloader (4.0-2)
- Windows API implementation - prelinked 64-bit binary loader
- wine64-tools (4.0-2)
- Windows API implementation - 64-bit developer tools
- winetricks (0.0+20181203-3) [contrib]
- simple tool to work around common problems in Wine
- winff (1.5.5-6)
- graphical video and audio batch converter using ffmpeg or avconv
- winff-data (1.5.5-6)
- winff data files
- winff-doc (1.5.5-6)
- winff documentation
- winff-gtk2 (1.5.5-6)
- GTK+ variant of winff
- winff-qt (1.5.5-6)
- Qt variant of winff
- wing (0.7-31)
- Galaga-like arcade game
- wing-data (0.7-31)
- graphics and audio data for wing
- wings3d (2.1.7-3)
- Nendo-inspired 3D polygon mesh modeller
- wininfo (0.7-6)
- dynamic window information viewer
- winpdb (1.4.8-3)
- Platform independent Python debugger
- winpr-utils (2.3.0+dfsg1-2+deb10u4) [security]
- Windows Portable Runtime library command line utilities
- winregfs (0.7-2)
- Windows registry FUSE filesystem
- winrmcp (0.0~git20170607.0.078cc0a-1+b11)
- tool for copying files to remote Windows machines using WinRM
- winwrangler (0.2.4-5+b1)
- Daemon for manipulating windows (tiled layout, etc.)
- wipe (0.24-4)
- secure file deletion
- wire (1.0~rc+git20161223.40.2f3b7aa-2+b10)
- Simple Wire encoding protocol command line tool
- wiredtiger (3.1.0+ds-1)
- high performance, scalable, NoSQL, extensible platform for data management
- wireguard-modules (= 1.0.0)
- virtueel pakket geboden door linux-image-5.10-686-pae, linux-image-5.10-686, linux-image-5.10-amd64, linux-image-5.10-rt-arm64, linux-image-5.10-arm64, linux-image-5.10-armmp, linux-image-5.10-rt-armmp, linux-image-5.10-armmp-lpae, linux-image-5.10-rt-amd64, linux-image-5.10-cloud-arm64, linux-image-5.10-rt-686-pae, linux-image-5.10-cloud-amd64
- wireless-regdb (2022.04.08-2~deb10u1) [security]
- wireless regulatory database for Linux
- wireless-tools (30~pre9-13)
- Hulpprogramma's voor het manipuleren van de Linux Wireless Extensions
- wireless-tools-udeb (30~pre9-13)
- Tools for manipulating Linux Wireless Extensions
- wireshark (2.6.20-0+deb10u8) [security]
- network traffic analyzer - meta-package
- wireshark-common (2.6.20-0+deb10u8) [security]
- network traffic analyzer - common files
- wireshark-dev (2.6.20-0+deb10u8) [security]
- network traffic analyzer - development tools
- wireshark-doc (2.6.20-0+deb10u8) [security]
- network traffic analyzer - documentation
- wireshark-gtk (2.6.20-0+deb10u8) [security]
- network traffic analyzer - GTK+ version
- wireshark-qt (2.6.20-0+deb10u8) [security]
- network traffic analyzer - Qt version
- wirish (2.0-27)
- Irish (Gaeilge) dictionary words for /usr/share/dict
- wise (2.4.1-21)
- comparison of biopolymers, like DNA and protein sequences
- wise-data (2.4.1-21)
- data files for the wise package
- wise-doc (2.4.1-21)
- documentation for the wise package
- wish
- virtueel pakket geboden door tk
- wit (3.01a-2)
- manipulate Wii and GameCube ISO images and WBFS containers
- witalian (1.10)
- Italian dictionary words for /usr/share/dict/
- wixl (0.98-1)
- Windows Installer creation tool
- wixl-data (0.98-1)
- Windows Installer creation tool — data files
- wizznic (0.9.2-preview2+dfsg-4)
- implementation of the arcade classic Puzznic
- wizznic-data (0.9.2-preview2+dfsg-4)
- implementation of the arcade classic Puzznic (data)
- wkhtmltopdf (0.12.5-1+deb10u1) [security]
- Command line utilities to convert html to pdf or image using WebKit
- wks2ods (0.9.6-2)
- Works spreadsheet documents to OpenDocument converter
- wl (2.15.9+0.20161228-5)
- mail/news reader supporting IMAP for emacsen
- wl
- virtueel pakket geboden door wl-beta
- wl-beta (2.15.9+0.20190205-2)
- mail/news reader supporting IMAP for emacsen (development version)
- wl-clipboard (1.0.0-1)
- command line interface to the wayland clipboard
- wlc (1.1-1)
- Command line utility for Weblate
- wm-icons (0.4.0-10)
- Themed icon set that is Window Manager agnostic
- wm2 (4+svn20090216-4)
- small, unconfigurable window manager
- wmacpi (2.3-2+b1)
- ACPI battery monitor for WindowMaker
- wmail (2.0-3.1+b1)
- WindowMaker docklet watching your inbox
- wmaker (0.95.8-3)
- NeXTSTEP-like window manager for X
- wmaker-common (0.95.8-3)
- Window Maker - Architecture independent files
- wmaker-data (0.9~3-4)
- several free icons for use with WindowMaker and others
- wmaker-utils (0.95.8-3)
- Window Maker - Utilities
- wmanager (0.2.2-5)
- window-manager selection tool used at X startup
- wmanx (0.50-16)
- Manx Gaelic dictionary words for /usr/share/dict
- wmauda (0.9-1)
- remote-control dockapp for Audacious
- wmbattery (2.51-2)
- display laptop battery info, dockable in WindowMaker
- wmbiff (0.4.31-1)
- Dockable app that displays information about mailboxes
- wmbubble (1.53-2+b1)
- system-load meter for Window Maker that features a duck
- wmbutton (0.7.1-1)
- dockapp displaying nine configurable buttons
- wmcalc (0.7-1)
- dockable calculator application
- wmcalclock (1.25-16)
- dock.app which simply tells time and date
- wmcdplay (1.1-2+b1)
- CD player based on ascd designed for WindowMaker
- wmcliphist (2.1-2+b1)
- Dockapp which provides a history for X11 selections
- wmclock (1.0.16-1+b1)
- dockable clock applet for Window Maker
- wmclockmon (0.8.1-3)
- Displays a clock in 12/24h mode with alarm mode
- wmcoincoin (2.6.4-git-1+b1)
- Humourous dockapp for browsing DaCode sites' news and board
- wmcore (0.0.2+ds-1)
- Dockapp that shows the usage of each core in the system
- wmcpu (1.4-4+b2)
- Window Maker docking app similar to xosview
- wmcpuload (1.1.1-2)
- Dockapp that displays the current CPU usage
- wmctrl (1.07-7+b1)
- control an EWMH/NetWM compatible X Window Manager
- wmcube (1.0.2-1)
- spinning 3D object that shows the current CPU load
- wmdate (0.7-4.1+b1)
- Calendar for window manager docking bars
- wmdiskmon (0.0.2-3+b1)
- dockapp to display disk usage
- wmdocker (1.5-2)
- System tray for KDE3/GNOME2 docklet applications
- wmdrawer (0.10.5-2)
- Window Maker dockapp providing a drawer to launch applications
- wmf (1.0.5-7)
- Web Mail Folder
- wmfire (1.2.4-2+b2)
- very cool fiery way of showing your CPU usage
- wmforecast (0.11-1+b1)
- weather dockapp for Window Maker using the Yahoo Weather API
- wmforkplop (0.9.3-2.1+b3 [amd64, armhf, i386], 0.9.3-2.1+b1 [arm64])
- monitors forking activity and displays top CPU consuming processes
- wmfrog (0.3.1+git20161115-1)
- dockapp for graphically indicating the weather
- wmfsm (0.36-1+b1)
- WindowMaker dock app for monitoring filesystem usage
- wmget (0.6.1-1+b1)
- Background download manager in a Window Maker dock app
- wmgtemp (1.2-2)
- Temperature sensor dockapp for Window Maker
- wmgui (0.6.00+svn201-4)
- GUI interface to the wiimote
- wmhdplop (0.9.11-1)
- hard drive activity monitor dockapp
- wmifinfo (0.10-2+b1)
- Dockapp that shows information for all interfaces
- wmifs (1.9-1)
- Window Maker dockapp for monitoring network traffic
- wmii (3.10~20120413+hg2813-11)
- lightweight tabbed and tiled X11 window manager, version 3
- wmii-doc (1:1-15)
- lightweight tabbed and tiled X11 window manager, version 3 (documentation)
- wminput (0.6.00+svn201-4)
- Userspace driver for the wiimote
- wmitime (0.5-2+b1)
- clock dock app showing time and internet time
- wmix (3.3-1)
- Dockapp mixer for OSS
- wml (2.12.2~ds1-3~deb10u1)
- off-line HTML generation toolkit
- wmload (0.9.7-1+b1)
- system load monitor designed for Window Maker
- wmlongrun (0.3.1-1)
- program to monitor longrun status
- wmmatrix (0.2-12+b2)
- View The Matrix in a Window Maker dock application
- wmmemload (0.1.8-2+b1)
- WindowMaker dockapp to monitor memory and swap usage
- wmmisc (1.2-1)
- dockapp that monitors your system
- wmmixer (1.8-1)
- mixer application designed for WindowMaker
- wmmon (1.4-1)
- Window Maker dockapp for monitoring system information
- wmmoonclock (1.29-1)
- Window Maker moon phase dockapp
- wmname
- virtueel pakket geboden door suckless-tools
- wmnd (0.4.17-3)
- Dockapp monitoring network interfaces
- wmnd-snmp (0.4.17-3)
- Dockapp monitoring network interfaces with SNMP support
- wmnet (1.06-1+b2)
- network monitor for WindowMaker
- wmnut (0.66-1)
- WindowMaker dock app that displays UPS statistics from NUT's upsd
- wmpinboard (1.0.1-1+b1)
- Window Maker dock applet resembling a miniature pinboard
- wmpomme (1.39~dfsg-5)
- WindowMaker dockapp client for pommed
- wmppp.app (1.3.2-1+b1)
- PPP dial control and network load monitor w/ NeXTStep look
- wmpuzzle (0.5.2-2+b1)
- WindowMaker dock app 4x4 puzzle
- wmrack (1.4-5+b1)
- Combined CD Player + Mixer designed for WindowMaker
- wmressel (0.9-1)
- Dock app to change X11 resolutions
- wmshutdown (1.4-2+b1)
- dockapp to shutdown or reboot your machine
- wmstickynotes (0.7-2+b1)
- Window Maker dockapp to create sticky notes on desktop
- wmsun (1.05-1+b1)
- Dockable WindowMaker SunRise/SunSet App
- wmsysmon (0.7.7+git20150808-1)
- Window Maker dockapp for monitoring your system
- wmsystemtray (1.4+git20150508-2+b1)
- Freedesktop.org system tray as a Window Maker dock app
- wmtemp (0.0.6-3.3+b3)
- WM dock applet displaying lm_sensors temperature values
- wmtime (1.4-1+b1)
- Window Maker dockapp that displays the time and date
- wmtop (0.85-1)
- dockapp that displays 3 top memory or CPU using processes
- wmwave (0.4-10+b1)
- Monitor status of an 802.11 wireless ethernet link
- wmweather (2.4.7-1)
- WindowMaker dockapp that shows your current weather
- wmweather+ (2.17-1)
- WindowMaker dock app that shows your current weather
- wmwork (0.2.6-2+b1)
- Keep track of time worked on projects
- wmxmms2 (0.6+repack-2)
- remote-control dockapp for XMMS2
- wmxres (1.2-10.1)
- dock application to select your display mode among those possible
- wngerman (20161207-7)
- New German orthography wordlist
- wnn7egg (1.02-8) [contrib]
- Wnn-nana-tamago -- EGG Input Method with Wnn7 for Emacsen
- wnorwegian (2.2-4)
- Norwegian word list
- wodim (9:1.1.11-3+b2)
- CD/DVD brandhulpmiddel voor het terminalvenster
- woff-tools (0:2009.10.04-2+b1)
- tools to convert between OpenType and WOFF formats
- woff2 (1.0.2-1)
- utilities for converting fonts to WOFF 2.0
- wogerman (1:2-35)
- Traditional German wordlist
- wolf4sdl (1.7+svn262+dfsg1-5) [contrib]
- SDL-Port of Wolfenstein 3-D and Spear of Destiny
- wondershaper (1.1a-10)
- Easy to use traffic shaping script
- woof (20091227-2.1)
- share files through HTTP protocol
- wordgrinder (0.7.2-1)
- simple word processor for writing first drafts
- wordgrinder-doc (0.7.2-1)
- simple word processor for writing first drafts (documentation)
- wordgrinder-ncurses (0.7.2-1)
- simple word processor for writing first drafts (terminal version)
- wordgrinder-x11 (0.7.2-1)
- simple word processor for writing first drafts (X11 version)
- wordlist
- virtueel pakket geboden door wfaroese, wdanish, wpolish, witalian, wcanadian, wcatalan, wgerman-medical, wcanadian-insane, wswedish, wspanish, wdutch, wnorwegian, wportuguese, wbritish-small, wbritish-insane, wbritish-large, wbritish-huge, wamerican, wgalician-minimos, wbritish, miscfiles, wamerican-insane, wbrazilian, wngerman, wamerican-huge, wukrainian, wfrench, wcanadian-large, wogerman, wamerican-small, wbulgarian, wswiss, wcanadian-huge, wesperanto, wcanadian-small, wamerican-large
- wordnet (1:3.0-35)
- electronic lexical database of English language
- wordnet-base (1:3.0-35)
- electronic lexical database of English language (base data)
- wordnet-dev (1:3.0-35)
- electronic lexical database of English language (devel)
- wordnet-grind (1:3.0-35)
- WordNet lexicographer files processor
- wordnet-gui (1:3.0-35)
- electronic lexical database of English language (GUI)
- wordnet-sense-index (1:3.0-35)
- electronic lexical database of English language (index)
- wordplay (7.22-21)
- anagram generator
- wordpress (5.0.21+dfsg1-0+deb10u1) [security]
- weblog manager
- wordpress-l10n (5.0.21+dfsg1-0+deb10u1) [security]
- weblog manager - language files
- wordpress-shibboleth (1.8-1)
- Shibboleth plugin for WordPress
- wordpress-theme-twentynineteen (5.0.21+dfsg1-0+deb10u1) [security]
- weblog manager - twentynineteen theme files
- wordpress-theme-twentyseventeen (5.0.21+dfsg1-0+deb10u1) [security]
- weblog manager - twentyseventeen theme files
- wordpress-theme-twentysixteen (5.0.21+dfsg1-0+deb10u1) [security]
- weblog manager - twentysixteen theme files
- wordpress-xrds-simple (1.2-1)
- XRDS-Simple plugin for WordPress
- wordwarvi (1.00+dfsg1-4)
- retro-styled side-scrolling shoot'em up arcade game
- wordwarvi-sound (1.00+dfsg1-4)
- retro-styled side-scrolling shoot'em up arcade game [Sound Files]
- worker (3.15.4-1)
- highly configurable two-paned file manager for X
- worker-data (3.15.4-1)
- data files for worker
- worklog (2.0-1)
- Keep Track of Time worked on Projects
- workrave (1.10.23-5)
- Repetitive Strain Injury prevention tool
- workrave-data (1.10.23-5)
- Repetitive Strain Injury prevention tool (data files)
- worldforge-server
- virtueel pakket geboden door cyphesis-cpp
- wormhole
- virtueel pakket geboden door magic-wormhole
- wotsap (0.7-6)
- OpenPGP Web of Trust analyzer and pathfinder
- wp2x (2.5-mhi-13)
- WordPerfect 5.x documents to whatever converter
- wpagui (2:2.7+git20190128+0c1e29f-6+deb10u4) [security]
- graphical user interface for wpa_supplicant
- wpan-tools (0.9-1)
- Configure and test 802.15.4 devices
- wpasupplicant (2:2.7+git20190128+0c1e29f-6+deb10u4) [security]
- clientondersteuning voor WPA en WPA2 (IEEE 802.11i)
- wpasupplicant-udeb (2:2.7+git20190128+0c1e29f-6+deb10u3)
- Client support for WPA and WPA2 (IEEE 802.11i)
- wpd2epub (0.9.6-2)
- WordPerfect document to EPUB converter
- wpd2odt (0.9.6-2)
- WordPerfect to OpenDocument converter
- wpg2odg (0.9.6-2)
- WordPerfect Graphics to OpenDocument converter
- wpolish (20180621-1)
- Polish dictionary words for /usr/share/dict
- wportuguese (20171225-3)
- European Portuguese wordlist
- wps2epub (0.9.6-2)
- Works text document to EPUB converter
- wps2odt (0.9.6-2)
- Works text documents to OpenDocument converter
- wput (0.6.2+git20130413-8)
- tiny wget-like ftp-client for uploading files
- wraplinux (1.7-8+b1)
- Utility to wrap a Linux kernel and initrd into an ELF or NBI file
- wraplinux-dbg (1.7-8+b1)
- Utility to wrap a Linux kernel and initrd into an ELF or NBI file (debug)
- wrapperfactory.app (0.1.0-5+b1)
- Application wrappers configuration tool for GNUstep
- wrapsrv (1.0.0-1+b2)
- DNS SRV record command line wrapper
- wreport-common (3.15-1)
- common data files for libwreport
- writeboost (1.20170616-1)
- utility to manage mappings of dm-writeboost devices
- writer2latex (1.4-8)
- OpenOffice.org Writer/Calc to LaTeX/XHTML converter
- writer2latex-manual (1.4-8)
- OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- manual
- writetype (1.3.163-1)
- application designed to help students write more easily
- wsclean (2.6-1+b3)
- Fast generic widefield interferometric imager
- wsclean-dev (2.6-1+b3)
- Fast generic widefield interferometric imager (development files)
- wsjtx (2.0.0+repack-2)
- Weak-signal amateur radio communications
- wsjtx-data (2.0.0+repack-2)
- Weak-signal amateur radio communications (data files)
- wsjtx-doc (2.0.0+repack-2)
- Documentation and examples for the WSJT-X package
- wsl (0.2.1-1)
- Wsman Shell Command Line "whistle"
- wspanish (1.0.28)
- Spanish dictionary words for /usr/share/dict
- wsrep
- virtueel pakket geboden door galera-3
- wswedish (1.4.5-2.2)
- Swedish dictionary words for /usr/share/dict
- wswiss (20161207-7)
- Swiss (German) orthography wordlist
- wsynth-dssi (0.1.3-4+b2)
- hack on Xsynth-DSSI to allow wavetable synthesis
- wukrainian (1.7.1-2)
- Ukrainian dictionary words for /usr/share/dict
- wuzz (0.3.0-1+b12)
- Interactive console tool for HTTP inspection
- wuzzah (0.53-3)
- inobtrusively monitor your friends
- wv (1.2.9-4.2+b2)
- Programs for accessing Microsoft Word documents
- wvdial (1.61-5)
- intelligent Point-to-Point Protocol dialer
- wwl (1.3+db-2+b1)
- Calculates distance and azimuth between two Maidenhead locators
- wwsympa
- virtueel pakket geboden door sympa
- www-browser
- virtueel pakket geboden door netrik, hv3, konqueror, links2, firefox-esr, chromium, lynx, sugar-browse-activity, surf, epiphany-browser, midori, edbrowse, dillo, elinks, xemacs21-nomule, xemacs21-mule, w3m, falkon, links, xemacs21-mule-canna-wnn
- wwwconfig-common (0.3.0)
- Debian web auto configuration
- wx-common (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (common support files)
- wx-i18n
- virtueel pakket geboden door wx3.0-i18n
- wx3.0-doc (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (documentation)
- wx3.0-examples (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (examples)
- (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (header files)
- wx3.0-i18n (3.0.4+dfsg-8)
- wxWidgets Cross-platform C++ GUI toolkit (i18n support)
- wxastrocapture (1.8.1+git20140821+dfsg-2)
- Windows linuX Astronomy Capture
- wxglade (0.8.3-2)
- GUI designer written in Python with wxPython
- wxhexeditor (0.23+repack-3)
- hexadecimal editor for massive files
- wxmaxima (19.01.2-1)
- GUI for the computer algebra system Maxima
- wxperl-gtk-3-0-4-uni-gcc-3-4
- virtueel pakket geboden door libalien-wxwidgets-perl
- wxsqlite3-doc (3.4.1~dfsg-3)
- Documentation files for wxSQLite3
- wyrd (1.4.6-4+b3 [armhf], 1.4.6-4+b2 [amd64, arm64, i386])
- text-based calendar application
- wzip (1.1.5)
- Lossy compression and denoising
- x-audio-mixer
- virtueel pakket geboden door alsamixergui, kmix, aumix-gtk, opencubicplayer
- x-display-manager
- virtueel pakket geboden door gdm3, lxdm, xdm, nodm, slim, wdm, sddm, lightdm
- x-face-el (1.3.6.24-17)
- utility for displaying X-Face on emacsen
- x-loader-omap3-beagle (1.5.1+git20110715+fca7cd2-2)
- Board initialization helper for TI OMAP 3 Beagle boards
- x-loader-omap3-igepv2 (1.5.1+git20110715+fca7cd2-2)
- Board initialization helper for IGEP v2 and v3 boards
- x-loader-omap3-overo (1.5.1+git20110715+fca7cd2-2)
- Board initialization helper for Gumstix Overo boards
- x-loader-omap4-panda (1.5.1+git20110715+fca7cd2-2)
- Board initialization helper for TI OMAP 4 Panda boards
- x-session-manager
- virtueel pakket geboden door openbox-lxde-session, xfce4-session, gnome-session-flashback, lxqt-session, gnome-session, ukui-session-manager, plasma-workspace, openbox, mate-session-manager, sugar-session, cinnamon-session, lxsession
- x-terminal-emulator
- virtueel pakket geboden door konsole, xiterm+thai, termit, mlterm-tiny, mate-terminal, eterm, xfce4-terminal, gnome-terminal, pterm, xterm, terminology, tilix, deepin-terminal, rxvt-unicode, terminator, stterm, qterminal, sakura, kitty, mlterm, lxterminal, lilyterm
- x-window-manager
- virtueel pakket geboden door herbstluftwm, pekwm, dwm, miwm, fvwm, wmaker, afterstep, tinywm, spectrwm, jwm, w9wm, awesome, blackbox, icewm, subtle, windowlab, xfwm4, flwm, ctwm, notion, fluxbox, cwm, metacity, stumpwm, wmii, evilwm, 9wm, tritium, cinnamon, lwm, fvwm1, ukwm, twm, i3-wm, ratpoison, enlightenment, wm2, oroborus, xmonad, kwin-x11, bspwm, matchbox-window-manager, clfswm, fvwm-crystal, sawfish, aewm++, vtwm, marco, mutter, muffin, openbox, mwm, sapphire, amiwm, icewm-experimental, aewm
- x-window-system
- virtueel pakket geboden door xorg
- x-window-system-core
- virtueel pakket geboden door xorg
- x-www-browser
- virtueel pakket geboden door qutebrowser
- x11-apps (7.7+7)
- X applications
- x11-common (1:7.7+19)
- X Window System (X.Org) infrastructuur
- x11-session-utils (7.7+3)
- X session utilities
- x11-touchscreen-calibrator (0.2-2)
- X Window System's Touchscreen Calibrator
- x11-utils (7.7+4)
- X11 utilities
- x11-xfs-utils (7.7+2+b1)
- X font server utilities
- x11-xkb-utils (7.7+4)
- X11 XKB utilities
- x11-xkb-utils-udeb (7.7+4)
- X11 XKB utilities
- x11-xserver-utils (7.7+8)
- X server utilities
- x11proto-bigreqs-dev (1:2018.4-4)
- transitional dummy package
- x11proto-bigreqs-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-composite-dev (1:2018.4-4)
- transitional dummy package
- x11proto-composite-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-core-dev (2018.4-4)
- transitional dummy package
- x11proto-core-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-damage-dev (1:2018.4-4)
- transitional dummy package
- x11proto-damage-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-dev (2018.4-4)
- X11 extension protocols and auxiliary headers
- x11proto-dmx-dev (1:2018.4-4)
- transitional dummy package
- x11proto-dmx-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-dri2-dev (2018.4-4)
- transitional dummy package
- x11proto-dri2-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-dri3-dev (2018.4-4)
- transitional dummy package
- x11proto-dri3-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-fixes-dev (1:2018.4-4)
- transitional dummy package
- x11proto-fixes-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-fonts-dev (2018.4-4)
- transitional dummy package
- x11proto-fonts-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-gl-dev (2018.4-4)
- transitional dummy package
- x11proto-gl-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-input-dev (2018.4-4)
- transitional dummy package
- x11proto-input-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-kb-dev (2018.4-4)
- transitional dummy package
- x11proto-kb-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-present-dev (2018.4-4)
- transitional dummy package
- x11proto-present-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-randr-dev (2018.4-4)
- transitional dummy package
- x11proto-randr-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-record-dev (2018.4-4)
- transitional dummy package
- x11proto-record-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-render-dev (2:2018.4-4)
- transitional dummy package
- x11proto-render-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-resource-dev (2018.4-4)
- transitional dummy package
- x11proto-resource-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-scrnsaver-dev (2018.4-4)
- transitional dummy package
- x11proto-scrnsaver-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-video-dev (2018.4-4)
- transitional dummy package
- x11proto-video-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xcmisc-dev (2018.4-4)
- transitional dummy package
- x11proto-xcmisc-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xext-dev (2018.4-4)
- transitional dummy package
- x11proto-xext-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xf86bigfont-dev (2018.4-4)
- transitional dummy package
- x11proto-xf86bigfont-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xf86dga-dev (2018.4-4)
- transitional dummy package
- x11proto-xf86dga-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xf86dri-dev (2018.4-4)
- transitional dummy package
- x11proto-xf86dri-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xf86vidmode-dev (2018.4-4)
- transitional dummy package
- x11proto-xf86vidmode-dev
- virtueel pakket geboden door x11proto-dev
- x11proto-xinerama-dev (2018.4-4)
- transitional dummy package
- x11proto-xinerama-dev
- virtueel pakket geboden door x11proto-dev
- x11vnc (0.9.13-6+deb10u1)
- VNC server to allow remote access to an existing X session
- x11vnc-data (0.9.13-6+deb10u1)
- data files for x11vnc
- x13as (1.1-B39-1) [non-free]
- seasonal adjustment software for modeling time series
- x264 (2:0.155.2917+git0a84d98-2)
- video encoder for the H.264/MPEG-4 AVC standard
- x265 (2.9-4)
- H.265/HEVC video stream encoder
- x2gobroker (0.0.4.1-1)
- X2Go Session Broker (executable)
- x2gobroker-agent (0.0.4.1-1)
- X2Go Session Broker (remote agent)
- x2gobroker-authservice (0.0.4.1-1)
- X2Go Session Broker (PAM authentication service)
- x2gobroker-common (0.0.4.1-1)
- X2Go Session Broker (common files)
- x2gobroker-daemon (0.0.4.1-1)
- X2Go Session Broker (standalone daemon)
- x2gobroker-loadchecker (0.0.4.1-1)
- X2Go Session Broker (load checker service)
- x2gobroker-ssh (0.0.4.1-1)
- X2Go Session Broker (SSH broker)
- x2gobroker-wsgi (0.0.4.1-1)
- X2Go Session Broker (WSGI)
- x2goclient (4.1.2.1-2+deb10u1)
- X2Go Client application (Qt5)
- x2godesktopsharing (3.2.0.0-2)
- Share X11 desktops with other users via X2Go
- x2goserver (4.1.0.3-4)
- X2Go Server
- x2goserver-common (4.1.0.3-4)
- X2Go Server (common files)
- x2goserver-desktopsharing (4.1.0.3-4)
- Share X11 desktops with other users via X2Go
- x2goserver-extensions (4.1.0.3-4)
- X2Go Server (extension support)
- x2goserver-fmbindings (4.1.0.3-4)
- X2Go Server (file manager bindings)
- x2goserver-printing (4.1.0.3-4)
- X2Go Server (printing support)
- x2goserver-x2goagent (4.1.0.3-4)
- X2Go Server's X2Go Agent
- x2goserver-xsession (4.1.0.3-4)
- X2Go Server (Xsession runner)
- x2vnc (1.7.2-6)
- Link a VNC and an X display together, simulating a multiheaded display
- x2x (1.30-7)
- Link two X displays together, simulating a multiheaded display
- x3270 (3.6ga4-3+b1)
- X11 program for telnet sessions to IBM mainframes
- x3270-doc (3.6ga4-3)
- X11 program for telnet sessions to IBM mainframes - documentation
- x42-plugins (20180803-1)
- Collection of LV2 plugins
- x4d-icons (1.2-1)
- X4D Icon set for various online document types
- x509-util (1.6.4-2)
- utility for X.509 certificates and chains
- x86dis (0.23-6+b1)
- Frontend to libdisasm
- x86info (1.31~pre0.8052aabdd159bc9050e7dc264f33782c5acce05f-1+b1)
- Display diagnostic information about i386 compatible CPUs
- xa65 (2.3.8-2)
- cross-assembler and utility suite for 65xx/65816 processors
- xabacus (8.2.1-1)
- simulation of the ancient calculator (plain X version)
- xabacus
- virtueel pakket geboden door xabacus, xmabacus
- xalan (1.11-9)
- XSLT processor utility
- xandikos (0.0.11-2)
- Git-backed CalDAV/CardDAV server
- xaos (3.5+ds1-3.1+b3)
- real-time interactive fractal zoomer
- xapers (0.8.2-1.1)
- Personal journal article management and indexing system
- xapian-doc (1.4.11-1+deb10u1) [security]
- Core Xapian documentation
- xapian-examples (1.4.11-1+deb10u1) [security]
- Xapian simple example programs
- xapian-omega (1.4.11-1)
- CGI search interface and indexers using Xapian
- xapian-tools (1.4.11-1+deb10u1) [security]
- Basic tools for Xapian search engine library
- xapps-common (1.2.2-1)
- Common files for XApp desktop apps
- xapps-doc (1.2.2-1)
- Libxapp documentation
- xarchiver (1:0.5.4.14-1)
- GTK+ frontend for most used compression formats
- xarclock (1.0-15)
- reversed xclock
- xastir (2.1.0-5)
- X Amateur Station Tracking and Information Reporting
- xastir-data (2.1.0-5)
- X Amateur Station Tracking and Information Reporting
- xattr (0.9.6-1)
- tool for manipulating filesystem extended attributes
- xauth (1:1.0.10-1)
- X-authenticatiehulpmiddel
- xautolock (1:2.2-5.1+b1)
- Program launcher for idle X sessions
- xautomation (1.09-2)
- Control X from the command line, and find things on the screen
- xavante (2.3.0-1)
- Lua HTTP 1.1 Web server
- xavante-doc (2.3.0-1)
- Documentation files for the Xavante web server
- xaw3dg (1.5+E-18.3)
- Xaw3d widget set
- xaw3dg-dev (1.5+E-18.3)
- Xaw3d widget set development package
- xbacklight (1.2.1-1+b2)
- simple utility to set the backlight level
- xball (3.0.1-2)
- Simulate bouncing balls in a window
- xbase-clients (1:7.7+19)
- miscellaneous X clients - metapackage
- xbattbar (1.4.8-1+b1)
- Display battery status in X11
- xbill (2.1-8+b2)
- Get rid of those Wingdows Viruses!
- xbindkeys (1.8.6-1+b1)
- Associate a combination of keys or mouse buttons with a shell command
- xbindkeys-config (0.1.3-2+b2)
- an easy to use gtk program for configuring Xbindkeys
- xbitmaps (1.1.1-2)
- Base X bitmaps
- xblast-tnt (2.10.4-4+b1)
- multiplayer blast-the-others game inspired by Dynablaster
- xblast-tnt-images (20050106-3)
- image files for xblast-tnt
- xblast-tnt-levels (20050106-3)
- level files for xblast-tnt
- xblast-tnt-models (20050106-4)
- player models for xblast-tnt
- xblast-tnt-musics (20050106-3)
- music files for xblast-tnt
- xblast-tnt-sounds (20040429-3)
- sound files for xblast-tnt
- xboard (4.9.1-1)
- X Window System Chess Board
- xbomb (2.2b-1+b1)
- 'minesweeper' game with squares, hexagons or triangles
- xboxdrv (0.8.8-1)
- Xbox360 gamepad driver for the userspace
- xbrlapi (5.6-10+deb10u1)
- Access software for a blind person using a braille display - xbrlapi
- xbs (0-10+b1)
- 3D Modellen en filmpjes van moleculen
- xbubble (0.5.11.2-3.4)
- A nice Puzzle Bubble clone
- xbubble-data (0.5.11.2-3.4)
- Data files for XBubble, a nice Puzzle Bubble clone
- xbuffy (3.3.bl.3.dfsg-10+b2)
- monitor mailboxes and/or newsgroups
- xbuilder (1.0.1)
- Tool to cross-build a list of packages using sbuild, xdeb or pdebuild-cross
- xc3sprog (0+svn795+dfsg-1)
- JTAG flashing tool for FPGAs, CPLDs and EEPROMs
- xca (2.0.1-1)
- x509 Certification Authority management tool based on QT
- xcal (4.1-19+b2)
- a graphical calendar with memos and reminder alarms
- xcalib (0.8.dfsg1-2+b2)
- Tiny monitor calibration loader for Xorg
- xcape (1.2-2)
- Configure modifier keys to act as other keys when pressed and released
- xcas (1.4.9.69+dfsg1-2)
- Computer Algebra System - console and graphical calculator
- xcb (2.4-4.3)
- Pigeon holes for your cut and paste selections
- xcb-proto (1.13-1)
- X C Binding - protocol descriptions
- xcfa (5.0.2-1+b1)
- X Convert File Audio
- xcfa-dbg (5.0.2-1+b1)
- X Convert File Audio - debugging symbols
- xcftools (1.0.7-6+deb10u1)
- command-line tools for extracting data for XCF files
- xchain (1.0.1-9)
- strategy game for 2-4 players
- xchat (2.8.8-17)
- IRC-client voor X, vergelijkbaar met AmIRC
- xchat-common (2.8.8-17)
- Common files for X-Chat
- xchm (2:1.23-3)
- Compiled HTML Help (CHM)-bestandenviewer voor X
- xcircuit (3.9.73+dfsg.1-1)
- Draw circuit schematics or almost anything
- xcite (1.60-5)
- Transition Package, xcite to elpa-xcite
- xcite
- virtueel pakket geboden door elpa-xcite
- xclip (0.13-1)
- command line interface to X selections
- xcolmix (1.07-10+b2)
- an RGB colour mixer
- xcolors (1.5a-8+b1)
- display all X11 color names and colors
- xcolorsel (1.1a-21)
- display colors and names in X
- xcompmgr (1.1.7-1+b1)
- X composition manager
- xcowsay (1.4-2)
- Graphical configurable talking cow
- xcscope-el (1.4-1)
- Interactively examine a C program source in emacs
- xcursor-themes (1.0.5-1)
- Base X cursor themes
- xcwcp (3.5.1-3)
- Morse code tutor - graphical user interface
- xcwd (0~2.gbpe2c777c-1)
- print the current working directory of the focused window
- xd (3.28.00-1)
- smart directory change utility
- xdaliclock (2.43+debian-3)
- Melting digital clock
- xdeb (0.6.7)
- Cross-build tool for Debian packages
- xdelta (1.1.3-9.2)
- A diff utility which works with binary files
- xdelta3 (3.0.11-dfsg-1+b1)
- Diff utility which works with binary files
- xdemineur (2.1.1-20)
- Yet another minesweeper for X
- xdemorse (3.6.1-1)
- decode Morse signals to text
- xdesktopwaves (1.3-4+b1)
- Simulation of water waves on the X Window System
- xdeview (0.5.20-11)
- Smart multi-file multi-part decoder (X11 GUI)
- xdg-dbus-proxy (0.1.1-1)
- filtering D-Bus proxy
- xdg-dbus-proxy-tests (0.1.1-1)
- filtering D-Bus proxy - as-installed tests
- xdg-desktop-portal (1.2.0-1)
- desktop integration portal for Flatpak and Snap
- xdg-desktop-portal-backend
- virtueel pakket geboden door xdg-desktop-portal-kde, xdg-desktop-portal-gtk
- xdg-desktop-portal-dev (1.2.0-1)
- desktop integration portal - development files
- xdg-desktop-portal-gtk (1.2.0-1)
- GTK+/GNOME portal backend for xdg-desktop-portal
- xdg-desktop-portal-kde (5.14.5-1)
- backend implementation for xdg-desktop-portal using Qt
- xdg-desktop-portal-tests (1.2.0-1)
- desktop integration portal - automated tests
- xdg-user-dirs (0.17-2)
- tool to manage well known user directories
- xdg-user-dirs-gtk (0.10-3)
- tool to manage well known user directories (Gtk extension)
- xdg-utils (1.1.3-1+deb10u1)
- desktop integration utilities from freedesktop.org
- xdiskusage (1.48-10.1+b1)
- Displays a graphic of your disk usage with du
- xdm (1:1.1.11-3)
- X display manager
- xdms (1.3.2-6+b1)
- Uncompressor for Amiga DMS archives
- xdmx (2:1.20.4-1+deb10u14) [security]
- distributed multihead X server
- xdmx-tools (2:1.20.4-1+deb10u14) [security]
- Distributed Multihead X tools
- xdo (0.5.2-1)
- small console-based utility to perform elementary actions on windows
- xdot (1.0-1)
- interactive viewer for Graphviz dot files
- xdotool (1:3.20160805.1-4)
- simulate (generate) X11 keyboard/mouse input events
- xdrawchem (1:1.10.2.1-2)
- Chemical structures and reactions editor
- xdu (3.0-19)
- display the output of "du" in an X window
- xdvik-ja (22.87.03+j1.42-2)
- Japanized DVI Previewer for the X Window System
- xdx (2.5.0-2)
- DX-cluster tcp/ip client for amateur radio
- xe (0.11-3)
- simple alternative to xargs and apply
- xemacs21 (21.4.24-8)
- highly customizable text editor metapackage
- xemacs21
- virtueel pakket geboden door xemacs21-mule, xemacs21-nomule, xemacs21-mule-canna-wnn
- xemacs21-basesupport (2009.02.17.dfsg.2-4)
- Editor and kitchen sink -- compiled elisp support files
- xemacs21-basesupport-el (2009.02.17.dfsg.2-4)
- Editor and kitchen sink -- source elisp support files
- xemacs21-bin (21.4.24-8)
- highly customizable text editor -- support binaries
- xemacs21-mule (21.4.24-8)
- highly customizable text editor -- Mule binary
- xemacs21-mule-canna-wnn (21.4.24-8)
- highly customizable text editor -- Mule binary compiled with Canna and Wnn
- xemacs21-mulesupport (2009.02.17.dfsg.2-4)
- Editor and kitchen sink -- Mule elisp support files
- xemacs21-mulesupport-el (2009.02.17.dfsg.2-4)
- Editor and kitchen sink -- source elisp support files
- xemacs21-nomule (21.4.24-8)
- highly customizable text editor -- Non-mule binary
- xemacs21-support (21.4.24-8)
- highly customizable text editor -- architecture independent support files
- xemacs21-supportel (21.4.24-8)
- highly customizable text editor -- non-required library files
- xen-doc (4.11.4+107-gef32c7afa2-1)
- XEN documentation
- xen-hypervisor
- virtueel pakket geboden door xen-hypervisor-4.11-arm64, xen-hypervisor-4.11-armhf, xen-hypervisor-4.11-amd64
- xen-hypervisor-4.11
- virtueel pakket geboden door xen-hypervisor-4.11-amd64, xen-hypervisor-4.11-armhf, xen-hypervisor-4.11-arm64
- xen-hypervisor-4.11-amd64 (4.11.4+107-gef32c7afa2-1)
- Xen Hypervisor on AMD64
- xen-hypervisor-4.11-arm64 (4.11.4+107-gef32c7afa2-1)
- Xen Hypervisor on ARM64
- xen-hypervisor-4.11-armhf (4.11.4+107-gef32c7afa2-1)
- Xen Hypervisor on ARMHF
- xen-hypervisor-amd64
- virtueel pakket geboden door xen-hypervisor-4.11-amd64
- xen-hypervisor-arm64
- virtueel pakket geboden door xen-hypervisor-4.11-arm64
- xen-hypervisor-armhf
- virtueel pakket geboden door xen-hypervisor-4.11-armhf
- xen-hypervisor-common (4.11.4+107-gef32c7afa2-1)
- Xen Hypervisor - common files
- xen-system
- virtueel pakket geboden door xen-system-amd64, xen-system-armhf, xen-system-arm64
- xen-system-amd64 (4.11.4+107-gef32c7afa2-1)
- Xen System on AMD64 (metapackage)
- xen-system-arm64 (4.11.4+107-gef32c7afa2-1)
- Xen System on ARM64 (metapackage)
- xen-system-armhf (4.11.4+107-gef32c7afa2-1)
- Xen System on ARMHF (metapackage)
- xen-tools (4.8-1)
- Tools to manage Xen virtual servers
- xen-utils
- virtueel pakket geboden door xen-utils-4.11
- xen-utils-4.11 (4.11.4+107-gef32c7afa2-1)
- XEN administrative tools
- xen-utils-common (4.11.4+107-gef32c7afa2-1)
- Xen administrative tools - common files
- xenstore-utils (4.11.4+107-gef32c7afa2-1)
- Xenstore command line utilities for Xen
- xenwatch (0.5.4-4+b1)
- Virtualization utilities, mostly for Xen
- xfaces (3.3-29+b1)
- Displays an image for each piece of mail in your mailbox
- xfburn (0.5.5-2)
- CD-burner application for Xfce Desktop Environment
- xfce-keyboard-shortcuts (4.12.1-3)
- xfce keyboard shortcuts configuration (transitional package)
- xfce-keyboard-shortcuts
- virtueel pakket geboden door libxfce4ui-common
- xfce4 (4.12.5)
- Meta-package for the Xfce Lightweight Desktop Environment
- xfce4-appfinder (4.12.0-2)
- Application finder for the Xfce4 Desktop Environment
- (0.7.1+dfsg1-1)
- Application Menu plugin for xfce4-panel
- xfce4-battery-plugin (1.1.2-1)
- battery monitor plugin for the Xfce4 panel
- xfce4-clipman (2:1.4.3-1)
- clipboard history utility
- xfce4-clipman-plugin (2:1.4.3-1)
- clipboard history plugin for Xfce panel
- xfce4-cpufreq-plugin (1.2.1-1)
- cpufreq information plugin for the Xfce4 panel
- xfce4-cpugraph-plugin (1.0.5-2)
- CPU load graph plugin for the Xfce4 panel
- xfce4-datetime-plugin (0.7.0-2)
- date and time plugin for the Xfce4 panel
- xfce4-dev-tools (4.12.0-3)
- Script to help building Xfce from git
- xfce4-dict (0.8.2-1)
- Dictionary plugin for Xfce4 panel
- xfce4-diskperf-plugin (2.6.1-2)
- disk performance display plugin for the Xfce4 panel
- xfce4-equake-plugin (1.3.8.1-2)
- Xfce panel plugin which monitors earthquakes
- xfce4-eyes-plugin (4.5.0-2)
- eyes that follow your mouse for the Xfce4 panel
- xfce4-fsguard-plugin (1.1.0-2)
- filesystem monitor plugin for the Xfce4 panel
- xfce4-genmon-plugin (4.0.1-2)
- Generic Monitor for the Xfce4 panel
- xfce4-goodies (4.12.6)
- enhancements for the Xfce4 Desktop Environment
- xfce4-indicator-plugin (2.3.4-2)
- plugin to display information from applications in the Xfce4 panel
- xfce4-mailwatch-plugin (1.2.0-3)
- mail watcher plugin for the Xfce4 panel
- xfce4-mount-plugin (1.1.3-2)
- mount plugin for the Xfce4 panel
- xfce4-mpc-plugin (0.5.1-1)
- Xfce panel plugin which serves as client for MPD music player
- xfce4-netload-plugin (1.3.1-2)
- network load monitor plugin for the Xfce4 panel
- xfce4-notes (1.8.1-2)
- Notes application for the Xfce4 desktop
- xfce4-notes-plugin (1.8.1-2)
- Notes plugin for the Xfce4 desktop
- xfce4-notifyd (0.4.3-1)
- simple, visually-appealing notification daemon for Xfce
- xfce4-panel (4.12.2-1)
- panel for Xfce4 desktop environment
- xfce4-panel-dev (4.12.2-1)
- Xfce4 panel development files
- xfce4-places-plugin (1.7.0-4)
- quick access to folders, documents and removable media
- xfce4-power-manager (1.6.1-1)
- power manager for Xfce desktop
- xfce4-power-manager-data (1.6.1-1)
- power manager for Xfce desktop, arch-indep files
- xfce4-power-manager-plugins (1.6.1-1)
- power manager plugins for Xfce panel
- xfce4-pulseaudio-plugin (0.4.1-1)
- Xfce4 panel plugin to control pulseaudio
- xfce4-screenshooter (1.9.3-1)
- screenshots utility for Xfce
- xfce4-sensors-plugin (1.3.0-2+b1)
- hardware sensors plugin for the Xfce4 panel
- xfce4-session (4.12.1-6)
- Xfce4 Session Manager
- xfce4-settings (4.12.4-1)
- graphical application for managing Xfce settings
- xfce4-smartbookmark-plugin (0.5.0-1)
- search the web via the Xfce4 panel
- xfce4-sntray-plugin (0.4.11-1)
- plugin for xfce4-panel to show StatusNotifierItems - Xfce
- xfce4-sntray-plugin-common (0.4.11-1)
- plugin for xfce4-panel to show StatusNotifierItems - commoner
- xfce4-statusnotifier-plugin (0.2.1-1)
- plugin to display status notifiers in the Xfce4 panel
- xfce4-systemload-plugin (1.2.2-1)
- system load monitor plugin for the Xfce4 panel
- xfce4-taskmanager (1.2.2-1)
- process manager for the Xfce4 Desktop Environment
- xfce4-terminal (0.8.7.4-2)
- Xfce terminal emulator
- xfce4-timer-plugin (1.7.0-1)
- timer plugin for Xfce panel
- xfce4-verve-plugin (2.0.0-1)
- Verve (command line) plugin for Xfce panel
- xfce4-wavelan-plugin (0.6.0-2)
- wavelan status plugin for the Xfce4 panel
- xfce4-weather-plugin (0.8.10-1+deb10u1)
- weather information plugin for the Xfce4 panel
- (2.3.1-1)
- Alternate menu plugin for the Xfce desktop environment
- xfce4-xkb-plugin (1:0.8.1-2)
- xkb layout switch plugin for the Xfce4 panel
- xfconf (4.12.1-1)
- utilities for managing settings in Xfce
- xfdesktop4 (4.12.4-2)
- xfce desktop background, icons and root menu manager
- xfdesktop4-data (4.12.4-2)
- xfce desktop background, icons and root menu (common files)
- xfe (1.43.1-1)
- lightweight file manager for X11
- xfe-i18n (1.43.1-1)
- lightweight file manager for X11 (i18n support)
- xfe-themes (1.43.1-1)
- lightweight file manager for X11 (themes)
- xfig (1:3.2.7a-3+deb10u1) [security]
- Facility for Interactive Generation of figures under X11
- xfig-doc (1:3.2.7a-3+deb10u1) [security]
- XFig on-line documentation and examples
- xfig-libs (1:3.2.7a-3+deb10u1) [security]
- XFig image libraries and examples
- xfireworks (1.3-11)
- Fireworks in your root window
- xfishtank (2.5-1+b1)
- turns your X root into an aquarium
- xflip (1.01-27)
- programs to mirror-image or melt your display
- xflr5 (6.09.06-2+b3)
- analysis tool for airfoils
- xflr5-doc (6.09.06-2)
- xflr5 documentation
- xfoil (6.99.dfsg+1-1)
- program for the design and analysis of subsonic airfoils
- xfont-nexus
- virtueel pakket geboden door xfonts-nexus
- xfonts-100dpi (1:1.0.4+nmu1)
- 100 dpi fonts for X
- xfonts-100dpi-transcoded (1:1.0.4+nmu1)
- 100 dpi fonts for X (transcoded from ISO 10646-1)
- xfonts-75dpi (1:1.0.4+nmu1)
- 75 dpi fonts for X
- xfonts-75dpi-transcoded (1:1.0.4+nmu1)
- 75 dpi fonts for X (transcoded from ISO 10646-1)
- xfonts-a12k12 (1-12)
- 12-dot Kanji & ASCII fonts for X
- xfonts-ayu (1:1.7a-7)
- Gothic 18/20 dot Japanese and ISO-8859-1 X11 bitmap fonts
- xfonts-baekmuk (2.2-8)
- Baekmuk bitmap Korean fonts for X
- xfonts-base (1:1.0.5)
- standaard lettertypen voor X
- xfonts-biznet-100dpi (3.0.0-26)
- 100 dpi BIZNET ISO-8859-2 fonts for X servers
- xfonts-biznet-75dpi (3.0.0-26)
- 75 dpi BIZNET ISO-8859-2 fonts for X servers
- xfonts-biznet-base (3.0.0-26)
- Standard BIZNET ISO-8859-2 fonts for X servers
- xfonts-bolkhov-75dpi (1.1.20001007-8)
- 75 dpi Unicode Cyrillic fonts for X (Cyr-RFX collection)
- xfonts-bolkhov-cp1251-75dpi (1.1.20001007-8)
- 75 DPI CP1251 gecodeerde Cyrillische lettertypes voor X (Cyr-RFX verzameling)
- xfonts-bolkhov-cp1251-misc (1.1.20001007-8)
- Character-cell CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
- xfonts-bolkhov-isocyr-75dpi (1.1.20001007-8)
- 75 dpi ISO 8859-5 gecodeerde Cyrillische lettertypes voor X
- xfonts-bolkhov-isocyr-misc (1.1.20001007-8)
- Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
- xfonts-bolkhov-koi8r-75dpi (1.1.20001007-8)
- 75 dpi KOI8-R gecodeerde Cyrillische lettertypen voor X
- xfonts-bolkhov-koi8r-misc (1.1.20001007-8)
- Character-cell KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
- xfonts-bolkhov-koi8u-75dpi (1.1.20001007-8)
- 75 dpi KOI8-U gecodeerde Cyrillische fonts voor X (Cyr-RFX collectie)
- xfonts-bolkhov-koi8u-misc (1.1.20001007-8)
- Tekencel KOI8-U gecodeerde Cyrillische lettertypes voor X (Cyr-RFX verzameling).
- xfonts-bolkhov-misc (1.1.20001007-8)
- Character-cell Unicode Cyrillic fonts for X (Cyr-RFX collection)
- xfonts-cronyx-100dpi (2.3.8-9)
- 100 dpi Unicode Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-75dpi (2.3.8-9)
- 75 dpi Unicode Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-cp1251-100dpi (2.3.8-9)
- 100 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-cp1251-75dpi (2.3.8-9)
- 75 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-cp1251-misc (2.3.8-9)
- Character-cell CP1251 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-isocyr-100dpi (2.3.8-9)
- 100 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-isocyr-75dpi (2.3.8-9)
- 75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-isocyr-misc (2.3.8-9)
- Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8r-100dpi (2.3.8-9)
- 100 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8r-75dpi (2.3.8-9)
- 75 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8r-misc (2.3.8-9)
- Character-cell KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8u-100dpi (2.3.8-9)
- 100 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8u-75dpi (2.3.8-9)
- 75 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-koi8u-misc (2.3.8-9)
- Character-cell KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
- xfonts-cronyx-misc (2.3.8-9)
- Character-cell Unicode Cyrillic fonts for X (Cronyx collection)
- xfonts-cyrillic (1:1.0.4)
- Cyrillische lettertypes voor X
- xfonts-efont-unicode (0.4.2-11)
- /efont/ Unicode fonts for X which cover various scripts
- xfonts-efont-unicode-ib (0.4.2-11)
- /efont/ Unicode fonts for X (italic and bold)
- xfonts-encodings (1:1.0.4-2)
- Encodings for X.Org fonts
- xfonts-intl-arabic (1.2.1-10)
- international fonts for X - Arabic
- xfonts-intl-asian (1.2.1-10)
- international fonts for X - (south-east) Asian
- xfonts-intl-chinese (1.2.1-10)
- international fonts for X - Chinese
- xfonts-intl-chinese-big (1.2.1-10)
- international fonts for X - large Chinese
- xfonts-intl-european (1.2.1-10)
- international fonts for X - European
- xfonts-intl-japanese (1.2.1-10)
- international fonts for X - Japanese
- xfonts-intl-japanese-big (1.2.1-10)
- international fonts for X - large Japanese
- xfonts-intl-phonetic (1.2.1-10)
- international fonts for X - International Phonetic Alphabet
- xfonts-jisx0213 (0+20040511-7)
- JIS X 0213 Japanese Kanji bitmap fonts for X
- xfonts-jmk (3.0-22)
- Jim Knoble's character-cell fonts for X
- xfonts-kaname (1.1-13)
- Kaname Cho 12 dot Japanese Kanji, Latin 1 fonts for X11
- xfonts-kapl (4.22.1-10)
- APL fonts for A+ development
- xfonts-kappa20 (1:0.396-6)
- X11 Kappa 20dot Fonts (ISO-8859-1..4/9/10/13..16, Japanese) with bold
- xfonts-libdockapp (1:0.7.2-1)
- Window Maker Dock App support (fonts)
- xfonts-marumoji (0.2-11)
- Roundish fonts (marumoji fonts) for X
- xfonts-mona (2.90-8)
- Proportional X fonts for 2ch ASCII art
- xfonts-mplus (1:2.2.4-5)
- M+ bitmap 10/12 dot Latin/Japanese fonts for X11
- xfonts-naga10 (1.1-18) [non-free]
- 10x10 dot Japanese and ISO-8859-1 naga10 fonts
- xfonts-nexus (0.0.2-17)
- Nexus font for X
- xfonts-scalable (1:1.0.3-1.1)
- scalable fonts for X
- xfonts-shinonome (1:0.9.11-6)
- Various 12,14,16 dot Japanese Kanji, iso8859-1 fonts for X11
- xfonts-terminus (4.40-2)
- Fixed-width fonts for fast reading
- xfonts-terminus-dos (4.40-2)
- Fixed-width fonts for DOS encodings
- xfonts-terminus-oblique (4.40-2)
- Oblique version of the Terminus font
- xfonts-thai (1:1.2.7-3)
- Collection of Thai fonts for X (metapackage)
- xfonts-thai-etl (1:1.2.7-3)
- Thai etl fonts for X
- xfonts-thai-manop (1:1.2.7-3)
- Manop Wongsaisuwan's bitmap fonts for X
- xfonts-thai-nectec (1:1.2.7-3)
- Thai fixed fonts for X from Nectec
- xfonts-thai-poonlap (1:1.2.7-3)
- Poonlap Veerathanabutr's bitmap fonts for X
- xfonts-thai-vor (1:1.2.7-3)
- Voradesh Yenbut's bitmap fonts for X
- xfonts-tipa (2:1.3-20)
- X11 PostScript Type 1 font for the Phonetic Alphabet
- xfonts-traditional (1.8.0)
- Traditional fixed-width fonts for X
- xfonts-unifont (1:11.0.03-1)
- PCF (bitmap) version of GNU Unifont
- xfonts-utils (1:7.7+6)
- X Window System font utility programs
- xfonts-wqy (1.0.0~rc1-6)
- WenQuanYi Bitmap Song CJK font for X
- xfonts-x3270-misc (3.6ga4-3)
- Font files for the x3270(1) IBM 3270 emulator
- xfpt (0.10-1)
- generate XML from plain text
- xfractint (20.4.10-2+b2) [non-free]
- UNIX-based fractal generator
- xfrisk (1.2-6)
- Server and X11 client for playing risk with humans or AIs
- xfs-modules
- virtueel pakket geboden door xfs-modules-4.19.0-21-686-pae-di, xfs-modules-4.19.0-20-686-di, xfs-modules-4.19.0-20-arm64-di, xfs-modules-4.19.0-21-amd64-di, xfs-modules-4.19.0-21-686-di, xfs-modules-4.19.0-21-arm64-di, xfs-modules-4.19.0-20-amd64-di, xfs-modules-4.19.0-20-686-pae-di
- xfs-modules-4.19.0-20-686-di (4.19.235-1)
- XFS filesystem support
- xfs-modules-4.19.0-20-686-pae-di (4.19.235-1)
- XFS filesystem support
- xfs-modules-4.19.0-20-amd64-di (4.19.235-1)
- XFS filesystem support
- xfs-modules-4.19.0-20-arm64-di (4.19.235-1)
- XFS filesystem support
- xfs-modules-4.19.0-21-686-di (4.19.249-2)
- XFS filesystem support
- xfs-modules-4.19.0-21-686-pae-di (4.19.249-2)
- XFS filesystem support
- xfs-modules-4.19.0-21-amd64-di (4.19.249-2)
- XFS filesystem support
- xfs-modules-4.19.0-21-arm64-di (4.19.249-2)
- XFS filesystem support
- xfsdump (3.1.6+nmu2+b2 [amd64], 3.1.6+nmu2+b1 [arm64, armhf, i386])
- Administrative utilities for the XFS filesystem
- xfslibs-dev (4.20.0-1)
- XFS filesystem-specific static libraries and headers
- xfsprogs (4.20.0-1)
- Utilities for managing the XFS filesystem
- xfsprogs-udeb (4.20.0-1)
- A stripped-down version of xfsprogs, for debian-installer
- xfstt (1.10-1)
- X Font Server for TrueType fonts
- xfwm4 (4.12.5-1)
- window manager of the Xfce project
- xfwm4-theme-breeze (0.1.0-4)
- Breeze theme files for xfwm4
- xgalaga (2.1.1.0-5+b1)
- X version of the famous Galaga game
- xgalaga++ (0.9-2)
- classic single screen vertical shooter, inspired by xgalaga
- xgammon (0.99.1128-3+b2)
- Implementation of backgammon under X
- xgnokii (0.6.30+dfsg-1.2+b4)
- Datasuite for mobile phone management (X interface)
- xgridfit (2.3-3)
- program for gridfitting, or "hinting," TrueType fonts
- xgridfit-doc (2.3-3)
- Documentation for xgridfit
- xhtml-relaxng (20151127+dfsg-3)
- Implementation in RELAX NG of the modularization of XHTML
- xhtml2ps (1.0b7-3)
- HTML to PostScript converter (Tcl/Tk GUI frontend)
- xia (2.2-3)
- Convert svg to html5 interactive pictures
- xiccd (0.2.4-1)
- X color management daemon
- xidle (20161031)
- run program after inactivity or edge sensitive
- xindy (2.5.1.20160104-5)
- index generator for structured documents like LaTeX or SGML
- xindy-rules (2.5.1.20160104-5)
- rule files for xindy
- xine-console (0.99.9-1.3+b1)
- the xine video player, user interface
- xine-ui (0.99.9-1.3+b1)
- the xine video player, user interface
- xineliboutput-fbfe (2.1.0-1+b1)
- Remote Framebuffer frontend for vdr-plugin-xineliboutput
- xineliboutput-fbfe-dbg (2.1.0-1+b1)
- Remote Framebuffer frontend for vdr-plugin-xineliboutput
- xineliboutput-sxfe (2.1.0-1+b1)
- Remote X-Server frontend for vdr-plugin-xineliboutput
- xineliboutput-sxfe-dbg (2.1.0-1+b1)
- Remote X-Server frontend for vdr-plugin-xineliboutput
- xinetd (1:2.3.15.3-1)
- replacement for inetd with many enhancements
- xininfo (0.14.11-1)
- small helper program for monitor layouts
- xinit (1.4.0-1)
- X server initialisation tool
- xinput (1.6.2-1+b1)
- Runtime configuration and test of XInput devices
- xinput-calibrator (0.7.5+git20140201-1+b2)
- Generic touchscreen calibration program for X.Org
- xinv3d (1.3.6-6+b2)
- 3D space invaders for X
- xiphos (4.1.0.1+dfsg1-1)
- environment for Bible reading, study, and research
- xiphos-data (4.1.0.1+dfsg1-1)
- data files for Xiphos Bible study software
- xiterm+thai (1.10-2+b1 [armhf], 1.10-2 [amd64, arm64, i386])
- X terminal program with Thai languague support
- xjadeo (0.8.7-2+b1)
- Video player with JACK sync
- xjdic (24-10+b1)
- Japanese-English dictionary search program
- xjed (1:0.99.19-7+b1)
- editor for programmers (x11 version)
- xjig (2.4-14.1)
- X11 jigsaw puzzle
- xjobs (20120412-1+b1)
- reads job description line by line and executes them in parallel
- xjokes (1.0-16)
- X11 jokes
- xjump (2.7.5-6.2)
- jumping game for X
- xkb-data (2.26-2)
- X Keyboard Extension (XKB) configuration data
- xkb-data-udeb (2.26-2)
- X Keyboard Extension (XKB) configuration data
- xkbind (2010.05.20-1+b2)
- X Keyboard Extension Indicator
- xkbset (0.5-7.1)
- Small utility to change the AccessX settings of XKEYBOARD
- xkcdpass (1.16.5+dfsg.1-1)
- secure passphrase generator inspired by XKCD 936
- xkeycaps (2.47-5)
- manipulate X11 keymaps (for xmodmap) graphically
- xl2tpd (1.3.12-1.1)
- layer 2 tunneling protocol implementation
- xlassie (1.8-21+b2)
- Dockable mail notifier w/ message count & POP3/APOP/IMAP support
- xlax (2.4-2)
- send keyboard input to multiple X11 windows
- xless (1.7-14.3+b1)
- file browsing tool for the X Window System
- xletters (1.1.1-5+b1)
- Type falling words before they land
- xli (1.17.0+20061110-5)
- command line tool for viewing images in X11
- xlibmesa-glu-dev
- virtueel pakket geboden door libglu1-mesa-dev
- xloadimage (4.1-25)
- Graphics file viewer under X11
- xlog (2.0.14-1)
- GTK+ logboek programma voor zendamateurs
- xlog-data (2.0.14-1)
- data for xlog, a GTK+ Logging program for Hamradio Operators
- xlsx2csv (1:0.7.4+git20181115.89ffd7d-1)
- convert xslx files to csv format
- xlunzip (0.4-3)
- data compressor based on the LZMA algorithm (decompressor)
- xmabacus (8.2.1-1)
- simulation of the ancient calculator (Motif version)
- xmacro (0.3pre-20000911-7)
- Record / Play keystrokes and mouse movements in X displays
- xmahjongg (3.7-4+b1)
- tile-based solitaire game
- xmakemol (5.16-9+b1)
- program for visualizing atomic and molecular systems
- xmakemol-gl (5.16-9+b1)
- program for visualizing atomic and molecular systems (OpenGL)
- xmaxima (5.42.1-1)
- Computer algebra system -- x interface
- xmbmon (2.05-8+b1)
- Hardware monitoring without kernel dependencies (X client)
- xmds2 (2.2.3+dfsg-15)
- eXtensible Multi-Dimensional Simulator
- xmds2-doc (2.2.3+dfsg-15)
- documentation for the eXtensible Multi-Dimensional Simulator
- xmedcon (0.16.1+dfsg-1)
- Medical Image (DICOM, ECAT, ...) conversion tool (GUI)
- xmille (2.0-13+b1 [armhf], 2.0-13 [amd64, arm64, i386])
- The classic game of Mille Bournes
- xmix (2.1-7+b1)
- X11-based interface to the Linux sound driver mixer
- xml-core (0.18+nmu1)
- XML infrastructure and XML catalog file support
- xml-i18n-tools
- virtueel pakket geboden door intltool
- xml-rpc-api2cpp
- virtueel pakket geboden door xmlrpc-api-utils
- xml-rpc-api2txt
- virtueel pakket geboden door xmlrpc-api-utils
- xml-rs (0.8.0-1)
- Show various statistics about XML files
- xml-security-c-utils (2.0.2-3)
- C++ library for XML Digital Signatures (utilities)
- xml-twig-tools (1:3.50-1.1)
- Command line tools for processing XML documents
- xml2 (0.5-2)
- Convert between XML, HTML, CSV and a line-oriented format
- xml2rfc (2.15.5-1) [non-free]
- XML-based formatting tool for RFCs
- xmlbeans (3.0.2-1)
- Java library for accessing XML by binding it to Java types - tools
- xmlcopyeditor (1.2.1.3-3)
- fast, free, validating XML editor
- xmlcopyeditor-dbg (1.2.1.3-3)
- fast, free, validating XML editor - debug
- xmldiff (0.6.10-3)
- tree to tree correction between xml documents
- xmldiff-xmlrev (0.6.10-3)
- xmldiff output formatter
- xmlformat
- virtueel pakket geboden door xmlformat-perl, xmlformat-ruby
- xmlformat-doc (1.04-2)
- XML Document Formatter documentation
- xmlformat-perl (1.04-2)
- XML Document Formatter
- xmlformat-ruby (1.04-2)
- XML Document Formatter
- xmlindent (0.2.17-6)
- XML stream reformatter
- xmlroff (0.6.2-1.4)
- XSL formatter mainly for DocBook
- xmlrpc-api-utils (1.33.14-8+b1)
- Generate C++ wrapper classes for XML-RPC servers
- xmlsec1 (1.2.27-2)
- XML security command line processor
- xmlstarlet (1.6.1-2)
- command line XML toolkit
- xmltex
- virtueel pakket geboden door texlive-formats-extra
- xmlto (0.0.28-2.1)
- XML-to-any converter
- xmltoman (0.5-1)
- simple XML to man converter
- xmltooling-schemas (3.0.4-1+deb10u2) [security]
- XML schemas for XMLTooling
- xmltv (0.6.1-1)
- functionality related to the XMLTV file format for TV listings
- xmltv-gui (0.6.1-1)
- graphical user interface for XMLTV tv_check utility
- xmltv-util (0.6.1-1)
- utilities related to the XMLTV file format for TV listings
- xmms2 (0.8+dfsg-18.2)
- Client/server based media player system
- xmms2-client-avahi (0.8+dfsg-18.2)
- XMMS2 - avahi client
- xmms2-client-cli (0.8+dfsg-18.2)
- XMMS2 - cli client
- xmms2-client-medialib-updater (0.8+dfsg-18.2)
- XMMS2 - medialib-updater client
- xmms2-client-nycli (0.8+dfsg-18.2)
- XMMS2 - new cli client
- xmms2-core (0.8+dfsg-18.2)
- XMMS2 - core package
- xmms2-dev (0.8+dfsg-18.2)
- XMMS2 - plug-in development files
- xmms2-icon (0.8+dfsg-18.2)
- XMMS2 - icon package
- xmms2-plugin-airplay (0.8+dfsg-18.2)
- XMMS2 - airplay output plug-in
- xmms2-plugin-all (0.8+dfsg-18.2)
- XMMS2 - all plug-ins
- xmms2-plugin-alsa (0.8+dfsg-18.2)
- XMMS2 - ALSA output
- xmms2-plugin-ao (0.8+dfsg-18.2)
- XMMS2 - libao output plug-in
- xmms2-plugin-apefile (0.8+dfsg-18.2)
- XMMS2 - Monkey's Audio decoder plug-in
- xmms2-plugin-asf (0.8+dfsg-18.2)
- XMMS2 - ASF plug-in
- xmms2-plugin-asx (0.8+dfsg-18.2)
- XMMS2 - ASX playlist plug-in
- xmms2-plugin-avcodec (0.8+dfsg-18.2)
- XMMS2 - avcodec decoder
- xmms2-plugin-cdda (0.8+dfsg-18.2)
- XMMS2 - CDDA plug-in
- xmms2-plugin-cue (0.8+dfsg-18.2)
- XMMS2 - CUE playlist plug-in
- xmms2-plugin-curl (0.8+dfsg-18.2)
- XMMS2 - curl transport for HTTP
- xmms2-plugin-daap (0.8+dfsg-18.2)
- XMMS2 - daap plug-in
- xmms2-plugin-faad (0.8+dfsg-18.2)
- XMMS2 - faad decoder
- xmms2-plugin-flac (0.8+dfsg-18.2)
- XMMS2 - FLAC decoder
- xmms2-plugin-flv (0.8+dfsg-18.2)
- XMMS2 - Flash Video plug-in
- xmms2-plugin-gme (0.8+dfsg-18.2)
- XMMS2 - gme plug-in
- xmms2-plugin-gvfs (0.8+dfsg-18.2)
- XMMS2 - gvfs plug-in
- xmms2-plugin-html (0.8+dfsg-18.2)
- XMMS2 - HTML playlist plug-in
- xmms2-plugin-ices (0.8+dfsg-18.2)
- XMMS2 - Ogg streaming output
- xmms2-plugin-icymetaint (0.8+dfsg-18.2)
- XMMS2 - shoutcast metadata plug-in
- xmms2-plugin-id3v2 (0.8+dfsg-18.2)
- XMMS2 - ID3v2 plug-in
- xmms2-plugin-jack (0.8+dfsg-18.2)
- XMMS2 - JACK output
- xmms2-plugin-karaoke (0.8+dfsg-18.2)
- XMMS2 - karaoke plug-in
- xmms2-plugin-m3u (0.8+dfsg-18.2)
- XMMS2 - M3U playlist plug-in
- xmms2-plugin-mad (0.8+dfsg-18.2)
- XMMS2 - libmad based mp3 decoder
- xmms2-plugin-mms (0.8+dfsg-18.2)
- XMMS2 - MMS transport
- xmms2-plugin-modplug (0.8+dfsg-18.2)
- XMMS2 - modplug decoder
- xmms2-plugin-mp4 (0.8+dfsg-18.2)
- XMMS2 - MPEG-4 plug-in
- xmms2-plugin-mpg123 (0.8+dfsg-18.2)
- XMMS2 - libmpg123 based mp3 decoder
- xmms2-plugin-musepack (0.8+dfsg-18.2)
- XMMS2 - mpc decoder
- xmms2-plugin-normalize (0.8+dfsg-18.2)
- XMMS2 - Normalize plug-in
- xmms2-plugin-ofa (0.8+dfsg-18.2)
- XMMS2 - Open Fingerprint Architecture plug-in
- xmms2-plugin-oss (0.8+dfsg-18.2)
- XMMS2 - OSS output
- xmms2-plugin-output
- virtueel pakket geboden door xmms2-plugin-pulse, xmms2-plugin-alsa, xmms2-plugin-oss, xmms2-plugin-ices, xmms2-plugin-jack, xmms2-plugin-ao
- xmms2-plugin-pls (0.8+dfsg-18.2)
- XMMS2 - PLS playlist plug-in
- xmms2-plugin-pulse (0.8+dfsg-18.2)
- XMMS2 - PulseAudio output plug-in
- (0.8+dfsg-18.2)
- XMMS2 - RSS podcast plug-in
- xmms2-plugin-sid (0.8+dfsg-18.2)
- XMMS2 - libsidplay2 based decoder
- xmms2-plugin-smb (0.8+dfsg-18.2)
- XMMS2 - Server Message Block (SMB) transport
- xmms2-plugin-sndfile (0.8+dfsg-18.2)
- XMMS2 - sndfile decoder
- xmms2-plugin-speex (0.8+dfsg-18.2)
- XMMS2 - Speex decoder
- xmms2-plugin-tta (0.8+dfsg-18.2)
- XMMS2 - TTA decoder plug-in
- xmms2-plugin-vocoder (0.8+dfsg-18.2)
- XMMS2 - vocoder plug-in
- xmms2-plugin-vorbis (0.8+dfsg-18.2)
- XMMS2 - vorbis decoder
- xmms2-plugin-wavpack (0.8+dfsg-18.2)
- XMMS2 - WavPack decoder plug-in
- xmms2-plugin-xml (0.8+dfsg-18.2)
- XMMS2 - XML plug-in
- xmms2-plugin-xspf (0.8+dfsg-18.2)
- XMMS2 - XSPF playlist plug-in
- xmobar (0.29.4-2)
- lightweight status bar for X11 window managers
- xmonad (0.14.2-3+b1)
- Lightweight X11 window manager written in Haskell
- xmorph (1:20140707+nmu2)
- digital image warp and morph (x11)
- xmorph-example
- virtueel pakket geboden door gtkmorph-example
- xmotd (1.17.3b-10)
- message of the day browser for X
- xmoto (0.5.11+dfsg-8+b1)
- 2D motocross platform game
- xmoto-data (0.5.11+dfsg-8)
- 2D motocross platform game - data files
- xmount (0.7.6-2)
- tool to crossmount between multiple input and output harddisk images
- xmountains (2.9-6)
- Fractal landscape generator for X
- xmp (4.1.0-2)
- module player supporting AWE32, GUS, and software-mixing
- xmp-player
- virtueel pakket geboden door xmp
- xmpi (2.2.3b8-13.2)
- Graphical user interface for MPI program development
- xmpp-server
- virtueel pakket geboden door ejabberd, prosody
- xmpuzzles (7.7.1-1.1)
- collection of puzzles for X (Motif version)
- xnbd-client (0.3.0-3)
- Network Block Device client with support for live migration
- xnbd-common (0.3.0-3)
- Network Block Device - common files
- xnbd-server (0.3.0-3)
- Network Block Device server with support for live migration
- xnec2c (1:4.1.1-1)
- calculate and display radio antenna properties
- xnecview (1.36-1)
- NEC structure and gain pattern viewer
- xnee (3.19-3)
- X event recorder/replayer - metapackage
- xnee-doc (3.19-3)
- X event recorder/replayer - documentation
- xnest (2:1.20.4-1+deb10u14) [security]
- Nested X server
- xneur (0.20.0-2)
- in-place conversion of text typed in with a wrong keyboard layout
- xonix (1.4-32)
- game to carve up the screen whilst dodging monsters
- xonsh (0.8.10+dfsg-1)
- Python-powered, cross-platform, Unix-gazing shell
- xonsh-doc (0.8.10+dfsg-1)
- Python-powered, cross-platform, Unix-gazing shell (documentation)
- xorg (1:7.7+19)
- X.Org X Window System
- xorg-dev (1:7.7+19)
- X.Org X Window System development libraries
- xorg-docs (1:1.7.1-1.1)
- Miscellaneous documentation for the X.org X Window System
- xorg-docs-core (1:1.7.1-1.1)
- Core documentation for the X.org X Window System
- xorg-driver-input
- virtueel pakket geboden door xserver-xorg-input-void, xserver-xorg-input-xwiimote, xserver-xorg-input-multitouch, xorgxrdp, xserver-xorg-input-libinput, xserver-xorg-input-mtrack, xserver-xorg-input-evdev, xserver-xorg-input-joystick, xserver-xorg-input-wacom, xserver-xorg-input-mouse, xserver-xorg-input-aiptek, xserver-xorg-input-elographics, xserver-xorg-input-evdev-udeb, xserver-xorg-input-kbd, xserver-xorg-input-libinput-udeb, xserver-xorg-input-mutouch, xserver-xorg-input-synaptics
- xorg-driver-synaptics
- virtueel pakket geboden door xserver-xorg-input-synaptics
- xorg-driver-video
- virtueel pakket geboden door xserver-xorg-video-qxl, xserver-xorg-video-fbdev-udeb, xserver-xorg-video-intel, xserver-xorg-video-amdgpu, xserver-xorg-video-vesa, xserver-xorg-video-ati, xserver-xorg-video-omap, xserver-xorg-video-trident, xserver-xorg-video-ast, xserver-xorg-video-nvidia, xserver-xorg-video-savage, xserver-xorg-video-openchrome, xserver-xorg-video-vmware, xserver-xorg-video-tdfx, xserver-xorg-video-ivtv, xserver-xorg-video-geode, xserver-xorg-video-nvidia-legacy-340xx, xserver-xorg-video-radeon, xserver-xorg-video-fbdev, xserver-xorg-video-nvidia-legacy-390xx, xserver-xorg-video-mach64, xserver-xorg-video-glide, xserver-xorg-video-neomagic, xserver-xorg-video-r128, xserver-xorg-video-mga, xserver-xorg-video-cirrus, xserver-xorg-video-siliconmotion, xorgxrdp, xserver-xorg-video-nouveau, xserver-xorg-video-dummy, xserver-xorg-video-sisusb
- xorg-input-abi-24
- virtueel pakket geboden door xserver-xorg-core-udeb, xserver-xorg-core
- xorg-server-source (2:1.20.4-1+deb10u14) [security]
- Xorg X server - source files
- xorg-sgml-doctools (1:1.11-1)
- Common tools for building X.Org SGML documentation
- xorg-video-abi-24
- virtueel pakket geboden door xserver-xorg-core, xserver-xorg-core-udeb
- xorgxrdp (1:0.2.9-1)
- Remote Desktop Protocol (RDP) modules for X.org
- xorp (1.8.6~wip.20160715-2+b2)
- eXtensible Open Router Platform
- xorriso (1.5.0-1)
- command line ISO-9660 and Rock Ridge manipulation tool
- xorriso-tcltk (1.5.0-1)
- educational GUI frontend for ISO-9660 manipulation tool xorriso
- xoscope (2.2-1+b1)
- digital oscilloscope
- xosd-bin (2.2.14-2.1+b1)
- X On-Screen Display library - binary files
- xosview (1.21-1)
- X based system monitor
- xotcl (1.6.8-4)
- Extended Object Tcl (XOTcl): Object orientation for Tcl - shared library
- xotcl-dev (1.6.8-4)
- Extended Object Tcl (XOTcl): Object orientation for Tcl - development files
- xotcl-doc (1.6.8-4)
- Extended Object Tcl (XOTcl): Object orientation for Tcl - manual
- xotcl-shells (1.6.8-4)
- Extended Object Tcl (XOTcl): Object orientation for Tcl - shells
- xournal (1:0.4.8-1+b1)
- GTK+ Application for note taking
- xpa-tools (2.1.18-4)
- Tools for seamless communication between Unix programs
- xpad (5.3.0-1)
- sticky note application for X
- xpaint (2.9.1.4-3.2+b1)
- simple paint program for X
- xpaint-dev (2.9.1.4-3.2+b1)
- simple paint program for X (development files)
- xpat2 (1.07-20)
- Generic patience game for X11
- xpdf (3.04-13)
- Portable Document Format (PDF) reader
- xpdf-utils
- virtueel pakket geboden door poppler-utils
- xpenguins (2.2-11)
- little penguins walk on your windows
- xphoon (20000613+0-7)
- sets the root window to a picture of the moon
- (4.7.3)
- Maps, utilities and configs for XPilot
- xpilot-ng (1:4.7.3-2.3)
- Multi-player tactical game for X (NG version)
- xpilot-ng-client-sdl (1:4.7.3-2.3)
- Client for XPilot NG
- xpilot-ng-client-x11 (1:4.7.3-2.3)
- Client for XPilot NG
- xpilot-ng-common (1:4.7.3-2.3)
- Common files for XPilot NG
- xpilot-ng-server (1:4.7.3-2.3)
- Server for hosting XPilot NG games
- xpilot-ng-utils (1:4.7.3-2.3)
- Utilities for XPilot NG
- xplanet (1.3.0-5.1)
- planetary body renderer
- xplanet-images (1.3.0-5.1)
- imagery for xplanet
- xplot (1.19-9+b2)
- simple on-screen x-y column data plotter
- xplot-xplot.org (0.90.7.1-4)
- fast tool to graph and visualize lots of data
- xpmutils (1:3.5.12-1+deb10u2) [security]
- X11 pixmap utilities
- xpp (1.5-cvs20081009-4)
- X Printing Panel
- xppaut (6.11b+1.dfsg-1+b2)
- Phase Plane Plus Auto: Solves many kinds of equations
- xpra (2.4.3+dfsg1-1)
- tool to detach/reattach running X programs
- xprintidle (0.2-10+b1)
- Small utility that prints user's idle time in X
- xprobe (0.3-4)
- Remote OS identification
- xprobe2
- virtueel pakket geboden door xprobe
- xpuzzles (7.7.1-1.1)
- collection of puzzles for X (plain X version)
- xqf (1.0.6-2)
- X-based Quake Server Browser
- xqilla (2.3.4-1+b1)
- XQuery and XPath 2.0 command line interpreter
- xracer (0.96.9.1-9)
- Futuristic racing game
- xracer-tools (0.96.9.1-9)
- Futuristic racing game - developer tools
- xrdp (0.9.9-1+deb10u3) [security]
- Remote Desktop Protocol (RDP) server
- xrestop (0.4+git20130926-2)
- X11 server resource usage monitor
- xringd (1.20-27+b1)
- extended ring daemon - monitor phone rings and take action
- xrootconsole (1:0.6-4)
- Fancy X console display
- xsane (0.999-6+b1)
- Grafische interface voor SANE (Scanner Access Now Easy) met veel mogelijkheden
- xsane-common (0.999-6)
- xsane architecture independent files
- xscavenger (1.4.5-4)
- Lode-runner-like platform game for X
- xschem (2.8.1-2)
- schematic capture program
- xscorch (0.2.1-1+nmu2)
- Clone of Scorched Earth
- xscreensaver (5.42+dfsg1-1)
- Screensaver daemon and frontend for X11
- xscreensaver-data (5.42+dfsg1-1)
- Screen saver modules for screensaver frontends
- (5.42+dfsg1-1)
- Extra screen saver modules for screensaver frontends
- xscreensaver-gl (5.42+dfsg1-1)
- GL(Mesa) screen saver modules for screensaver frontends
- (5.42+dfsg1-1)
- Extra GL(Mesa) screen saver modules for screensaver frontends
- xscreensaver-screensaver-bsod (5.42+dfsg1-1)
- BSOD screen saver module from XScreenSaver
- xscreensaver-screensaver-dizzy (0.3-3)
- Graphics demo that makes you dizzy (XScreenSaver hack)
- xscreensaver-screensaver-webcollage (5.42+dfsg1-1)
- Webcollage screen saver module from XScreenSaver
- xsdcxx (4.0.0-8)
- XML Data Binding for C++
- xsddiagram (1.0-1)
- XML Schema Definition (XSD) diagram viewer
- xsel (1.2.0+git9bfc13d.20180109-1)
- command-line tool to access X clipboard and selection buffers
- xsensors (0.70-5)
- hardware health information viewer
- xserver
- virtueel pakket geboden door tightvncserver, xserver-xephyr, xvfb, xnest, tigervnc-standalone-server, xserver-xorg
- xserver-common (2:1.20.4-1+deb10u14) [security]
- common files used by various X servers
- xserver-xephyr (2:1.20.4-1+deb10u14) [security]
- nested X server
- xserver-xorg (1:7.7+19)
- X.Org X server
- xserver-xorg-core (2:1.20.4-1+deb10u14) [security]
- Xorg X server - core server
- xserver-xorg-core-udeb (2:1.20.4-1+deb10u4)
- Xorg X server - core server
- xserver-xorg-dev (2:1.20.4-1+deb10u14) [security]
- Xorg X server - development files
- xserver-xorg-input-aiptek (1:1.4.1-2+b1)
- X.Org X server -- Aiptek input driver
- xserver-xorg-input-all (1:7.7+19)
- X.Org X server -- input driver metapackage
- xserver-xorg-input-elographics (1:1.4.1-1+b5 [amd64, armhf, i386], 1:1.4.1-1+b2 [arm64])
- X.Org X server -- ELOGraphics input driver
- xserver-xorg-input-evdev (1:2.10.6-1)
- X.Org X server -- evdev input driver
- xserver-xorg-input-evdev-dbg (1:2.10.6-1)
- X.Org X server -- evdev input driver (debug symbols)
- xserver-xorg-input-evdev-dev (1:2.10.6-1)
- X.Org X server -- evdev input driver (development headers)
- xserver-xorg-input-evdev-udeb (1:2.10.6-1)
- X.Org X server -- evdev input driver
- xserver-xorg-input-joystick (1:1.6.3-1+b1)
- X.Org X server -- joystick input driver
- xserver-xorg-input-joystick-dev (1:1.6.3-1)
- X.Org X server -- joystick input driver (development headers)
- xserver-xorg-input-kbd (1:1.9.0-1+b1)
- X.Org X server -- keyboard input driver
- xserver-xorg-input-libinput (0.28.2-2)
- X.Org X server -- libinput input driver
- xserver-xorg-input-libinput-dev (0.28.2-2)
- X.Org X server -- libinput input driver (development headers)
- xserver-xorg-input-libinput-udeb (0.28.2-2)
- X.Org X server -- libinput input driver
- xserver-xorg-input-mouse (1:1.9.3-1)
- X.Org X server -- mouse input driver
- xserver-xorg-input-mtrack (0.3.1-1+b2)
- Multitouch X input driver
- xserver-xorg-input-multitouch (1.0~rc3-2)
- Multitouch X input driver
- xserver-xorg-input-mutouch (1:1.3.0-1+b7 [amd64, i386], 1:1.3.0-1+b6 [armhf], 1:1.3.0-1+b2 [arm64])
- X.Org X server -- muTouch input driver
- xserver-xorg-input-synaptics (1.9.1-1)
- Synaptics TouchPad stuurprogramma voor de X.Org-server
- xserver-xorg-input-synaptics-dev (1.9.1-1)
- Synaptics TouchPad stuurprogramma voor X.Org-server (ontwikkelingsheaders)
- xserver-xorg-input-void (1:1.4.1-1+b2)
- X.Org X server -- void input driver
- xserver-xorg-input-wacom (0.34.99.1-1)
- X.Org X server -- stuurprogramma voor invoerapparatenvan Wacom
- xserver-xorg-input-xwiimote (0.5-1+b2)
- X.Org Wii remote input driver
- xserver-xorg-legacy (2:1.20.4-1+deb10u14) [security]
- setuid root Xorg server wrapper
- xserver-xorg-video-all (1:7.7+19)
- X.Org X server -- output driver metapackage
- xserver-xorg-video-amd
- virtueel pakket geboden door xserver-xorg-video-geode
- xserver-xorg-video-amdgpu (18.1.99+git20190207-1)
- X.Org X server -- AMDGPU display driver
- xserver-xorg-video-ast (1.1.5-1.1+b1)
- X.Org X server -- ASpeed Technologies display driver
- xserver-xorg-video-ati (1:19.0.1-1)
- X.Org X server -- AMD/ATI display driver wrapper
- xserver-xorg-video-cirrus (1:1.5.3-1+b3)
- X.Org X server -- stuurprogramma voor Cirrus-weergave
- xserver-xorg-video-dummy (1:0.3.8-1+b1)
- X.Org X server -- dummy display driver
- xserver-xorg-video-fbdev (1:0.5.0-1)
- X.Org X server -- stuurprogramma voor fbdev-weergave
- xserver-xorg-video-fbdev-udeb (1:0.5.0-1)
- X.Org X server -- fbdev display driver
- xserver-xorg-video-geode (2.11.19-4)
- X.Org X server -- Geode GX2/LX display driver
- xserver-xorg-video-glide (1.2.2-1+b7)
- X.Org X server -- Glide display driver
- xserver-xorg-video-intel (2:2.99.917+git20180925-2)
- X.Org X server -- Intel i8xx, i9xx display driver
- xserver-xorg-video-ivtv (1.1.2-2+b6) [contrib]
- X.Org X server -- IVTV display driver
- xserver-xorg-video-ivtv-dbg (1.1.2-2+b6) [contrib]
- X.Org X server -- IVTV display driver (debug symbols)
- xserver-xorg-video-mach64 (6.9.6-1)
- X.Org X server -- stuurprogramma voor ATI Mach64-weergave
- xserver-xorg-video-mga (1:2.0.0-1)
- X.Org X server -- stuurprogramma voor MGA-weergave
- xserver-xorg-video-modesetting
- virtueel pakket geboden door xserver-xorg-core
- xserver-xorg-video-neomagic (1:1.3.0-1)
- X.Org X server -- stuurprogramma voor Neomagic-weergave
- xserver-xorg-video-nouveau (1:1.0.16-1)
- X.Org X server -- Nouveau display driver
- xserver-xorg-video-nvidia (418.226.00-3) [non-free]
- NVIDIA binary Xorg driver
- xserver-xorg-video-nvidia-any
- virtueel pakket geboden door xserver-xorg-video-nvidia, xserver-xorg-video-nvidia-legacy-340xx, xserver-xorg-video-nvidia-legacy-390xx
- xserver-xorg-video-nvidia-legacy-340xx (340.108-3~deb10u1) [non-free]
- NVIDIA binary Xorg driver (340xx legacy version)
- xserver-xorg-video-nvidia-legacy-390xx (390.157-1~deb10u1) [non-free] [security]
- NVIDIA binary Xorg driver (390xx legacy version)
- xserver-xorg-video-omap (0.4.5-1+b1)
- X.Org X server -- OMAP display driver
- xserver-xorg-video-openchrome (1:0.6.0-3+b1)
- X.Org X server -- OpenChrome display driver
- xserver-xorg-video-qxl (0.1.5-2+b1)
- X.Org X server -- QXL display driver
- xserver-xorg-video-r128 (6.12.0-1)
- X.Org X server -- stuurprogramma voor ATI r128-weergave
- xserver-xorg-video-radeon (1:19.0.1-1)
- X.Org X server -- AMD/ATI Radeon display driver
- xserver-xorg-video-savage (1:2.3.9-2)
- X.Org X server -- stuurprogramma voor Savage-weergave
- xserver-xorg-video-siliconmotion (1:1.7.9-2+b1)
- X.Org X server -- stuurprogramma voor SiliconMotion-weergave
- xserver-xorg-video-sisusb (1:0.9.7-1+b1)
- X.Org X server -- SiS USB beeldschermstuurprogramma
- xserver-xorg-video-tdfx (1:1.4.7-1+b1)
- X.Org X server -- stuurprogramma voor tdfx-weergave
- xserver-xorg-video-trident (1:1.3.8-1+b1)
- X.Org X server -- stuurprogramma voor Trident-weergave
- xserver-xorg-video-vesa (1:2.4.0-1)
- X.Org X server -- VESA display driver
- xserver-xorg-video-vmware (1:13.3.0-2)
- X.Org X server -- stuurprogramma voor VMware-weergave
- xserver-xspice (0.1.5-2+b1)
- Xspice X server
- xsettings-kde (0.9-2+b2)
- XSettings daemon for KDE
- xsettingsd (0.0.20171105+1+ge4cf9969-1)
- Provides settings to X11 applications
- xshisen (1:1.51-6)
- Shisen-sho puzzle game for X11
- xshogi (1.4.2-2+b1)
- X11 frontend to gnushogi
- xskat (4.0-7)
- 3-player card game "Skat"
- xsltproc (1.1.32-2.2~deb10u2) [security]
- XSLT 1.0 command line processor
- xsnow (1:1.42-9) [non-free]
- brings Christmas to your desktop
- xsok (1.02-19)
- generic Sokoban game for X11
- xsol (0.31-14)
- Solitaire game for the X Window system
- xsoldier (1:1.8-6)
- shoot 'em up game with the "not shooting" bonus
- xspectemu
- virtueel pakket geboden door spectemu-x11
- xss-lock (0.3.0-7)
- invoke external screen lock in response to XScreenSaver events
- xssproxy (1.0.0-1)
- Forward freedesktop.org Idle Inhibition Service calls to Xss
- xssstate
- virtueel pakket geboden door suckless-tools
- xstarfish (1.1-11.1+b2)
- X wallpaper generator
- xstow (1.0.2-1)
- Extended replacement of GNU Stow
- xsunpinyin (2.0.3-5)
- Standalone XIM server for Sunpinyin
- xsysinfo (1.7-9+b2)
- display some Linux kernel parameters in graphical form
- xsystem35 (1.7.3-pre5-7)
- System 3.5 games engine for X Window System
- xtail (2.1-6)
- like "tail -f", but works on truncated files, directories, more
- xtalk (1.3-15.3)
- BSD talk compatible X Window System client
- xteddy (2.2-3)
- cuddly teddy bear (or other image) for your X desktop
- xtel (3.3.0-22)
- X emulator of the French Minitel
- xterm (344-1+deb10u2)
- X terminal emulator
- xtermcontrol (3.3-2)
- dynamic configuration of xterm properties
- xtermset (0.5.2-6+b1)
- change the characteristics of an xterm
- xtide-coastline (20020202-1)
- coastline data for xtide
- xtide-data (20100529-1)
- Harmonics data for xtide
- xtide-data-nonfree (20100529-1) [non-free]
- Harmonics data for xtide (Canada, Netherlands, Germany and UK)
- xtightvncviewer (1:1.3.9-9+deb10u1)
- virtual network computing client software for X
- xtitle (1.0.2-7)
- set window title and icon name for X terminal
- xtrace (1.4.0-1)
- trace communication between X client and server
- xtrans-dev (1.3.5-1)
- X transport library (development files)
- xtrkcad (1:5.1.1-2)
- CAD program for designing model railroad layouts
- xtrkcad-common (1:5.1.1-2)
- CAD program for designing model railroad layouts (common files)
- xtrlock (2.8+deb10u1+b1 [amd64], 2.8+deb10u1 [arm64, armhf, i386])
- Minimal X display lock program
- xtron (1.1a-14+b2)
- Tron game for X11
- xtrs (4.9d-2) [contrib]
- emulator for TRS-80 Model I/III/4/4P computers
- xttitle (1.0-7)
- Changes X terminal emulator window titles
- xtv (1.1-15)
- View the screen of a remote X11 display
- xul-ext-debianbuttons (2.3-2)
- Buttons for Firefox - Transitional package
- xul-ext-dispmua (1.8.4.6-1~deb10u1)
- display Mail User Agent extension for Thunderbird
- xul-ext-enigmail
- virtueel pakket geboden door enigmail
- xul-ext-exteditor (1.0.3-1)
- edit Icedove messages in an external editor
- xul-ext-https-everywhere (2019.1.31-2)
- Extension to force the use of HTTPS on many sites - Transitional package
- xul-ext-noscript (10.1.9.6-2)
- Show browser tabs like a tree - transitional package
- xul-ext-treestyletab (2.7.23-1)
- Show browser tabs like a tree - transitional package
- xutils (1:7.7+19)
- X Window System utility programs metapackage
- xutils-dev (1:7.7+5+b1)
- X Window System utility programs for development
- xvfb (2:1.20.4-1+deb10u14) [security]
- Virtual Framebuffer 'fake' X server
- xvier (1.0-7.6)
- a "Four in a row" game
- xvile (9.8t-1)
- VI Like Emacs - vi work-alike (X11)
- xvkbd (3.9-1)
- software virtual keyboard for X11
- xvnc4viewer (4.1.1+X4.3.0+t-1)
- transitional package
- xwallpaper (0.4.1-1)
- utility for setting image files as X wallpaper
- xwatch (2.11-15+b2)
- logfile monitor that displays in an X window.
- xwax (1.7-1)
- open-source vinyl emulation software for Linux
- xwayland (2:1.20.4-1+deb10u14) [security]
- Xwayland X server
- xwelltris (1.0.1-17)
- 3D Tetris like popular game similar to Welltris
- xwiimote (2-3+b4)
- Nintendo Wii Remote Linux Device Driver Tools
- xwit (3.4-16)
- collection of simple routines to call some X11 functions
- xwpe (1.5.30a-2.1+b3)
- Programming environment and editor for console and X11
- xwrited (3-1)
- display write and wall messages as desktop notifications
- xwrits (2.21-6.1+b2)
- reminds you to take a break from typing
- xxd (2:8.1.0875-5+deb10u6) [security]
- tool to make (or reverse) a hex dump
- xxdiff (1:4.0.1+hg487+dfsg-1)
- graphical file/directory comparison and merge tool
- xxdiff-scripts (1:4.0.1+hg487+dfsg-1)
- graphical file/directory comparison and merge tool - scripts
- xxgdb (1.12-17+b2)
- An X front-end to the GNU debugger gdb
- xxhash (0.6.5-2)
- Extremely fast hash algorithm
- xxkb (1.11-2.1+b2)
- Toetsenbordstatus indicator en schakelaar voor xkb
- xye (0.12.2+dfsg-8)
- puzzle game - get all the gems in the room
- xye-data (0.12.2+dfsg-8)
- data files for xye
- xygrib (1.2.2-1)
- Weather data visualization, GRIB file viewer
- xygrib-maps (1.2.2-1)
- Maps for XyGrib weather visualization
- xymon (4.3.28-5+deb10u1)
- monitoring system for systems, networks and applications
- xymon-client (4.3.28-5+deb10u1)
- client for the Xymon network monitor
- xymonq (0.8-1)
- query cli for Xymon
- xyscan (4.30-2+b2)
- data thief for scientists
- xz-utils (5.2.4-1+deb10u1)
- XZ-formaat compressiehulpmiddelen
- xzdec (5.2.4-1+deb10u1)
- XZ-format compression utilities - tiny decompressors
- xzgv (0.9.1-4+b1)
- Picture viewer for X with a thumbnail-based selector
- xzip (1:1.8.2-4+b1)
- Interpreter of Infocom-format story-files
- xzoom (0.3-24+b1)
- magnify part of X display, with real-time updates
- yabasic (1:2.82.0-1)
- Yet Another BASIC interpreter
- yabause (0.9.14-3)
- beautiful and under-rated Saturn emulator
- yabause-common (0.9.14-3)
- beautiful and under-rated Saturn emulator - common files
- yabause-gtk (0.9.14-3)
- beautiful and under-rated Saturn emulator - Gtk port
- yabause-qt (0.9.14-3)
- beautiful and under-rated Saturn emulator - Qt port
- yacas (1.3.6-2+b1)
- Computer Algebra System
- yacas-doc (1.3.6-2)
- Documentation for Yacas
- yacpi (3.0.1-1+b1)
- ncurses based acpi monitor for text mode
- yad (0.40.0-1)
- tool for creating graphical dialogs from shell scripts
- yade (2019.01a-2)
- Platform for discrete element modeling
- yade-doc (2019.01a-2)
- Platform for discrete element modeling. Documentation
- yadifa (2.3.8-1)
- Internet Domain Name Server
- yadm (1.12.0-2)
- Yet Another Dotfiles Manager
- yafc (1.3.7-4)
- yet another FTP client
- yagf (0.9.3.2-1+b1)
- graphical interface for cuneiform and tesseract
- yaggo (1.5.10-2)
- generate command line parser using getopt_long
- yagiuda (1.19-9+b1)
- software to analyse performance of Yagi-Uda antennas
- yagtd (0.3.4-1.1)
- utility to help organize your to-do lists
- yagv (0.4~20130422.r5bd15ed+dfsg-4)
- yet another G-code viewer
- yaha (0.1.83-1)
- find split-read mappings on single-end queries
- yahtzeesharp (1.1-6.1)
- game similar to poker with dice and less money
- yajl-tools (2.1.0-3+deb10u2) [security]
- Yet Another JSON Library - tools
- yakuake (3.0.5-1)
- Quake-style terminal emulator based on KDE Konsole technology
- yale (5.0.95-2) [non-free]
- stellar data set from the Yale Bright Star Catalog
- yale-viewer
- virtueel pakket geboden door starplot
- yamagi-quake2 (7.40+ctf1.06~dfsg-1) [contrib]
- enhanced version of id Software's Quake II - client
- yamagi-quake2-core (7.40+ctf1.06~dfsg-1) [contrib]
- enhanced version of id Software's Quake II - non-GUI part
- yamdi (1.4-2+b2)
- a utility for adding metadata to flash video files
- yaml-mode (0.0.13-1)
- transition package, yaml-mode to elpa-yaml-mode
- yamllint (1.15.0-1)
- Linter for YAML files
- yample (0.30-3)
- Yet Another Mail Processing Language
- yang-tools (1.0.225-1.1~deb10u1 [all], 0.16.105-1+deb10u1 [amd64, arm64, armhf, i386]) [security]
- parser toolkit for IETF YANG data modeling [dummy package]
- yangcli (2.11-1)
- NETCONF/YANG command line client application
- yangdump (2.11-1)
- Validate YANG modules and convert them to different formats
- yank (1.1.0-2)
- interactively select and yank terminal output to stdout or xsel
- yapet (2.3-1)
- Yet Another Password Encryption Tool
- yapf (0.25.0-2.1)
- Python code formatter for different styles (Python 2)
- yapf3 (0.25.0-2.1)
- Python code formatter for different styles (Python 3)
- yapps2 (2.2.1-3)
- Yet Another Python Parser System
- yapra (0.1.2-7.1)
- Yet Another Pragger implementation
- yara (3.9.0-1)
- Pattern matching swiss knife for malware researchers
- yara-doc (3.9.0-1)
- HTML documentation for YARA
- yard (0.9.16-1+deb10u1) [security]
- Ruby documentation tool
- yard-doc (0.9.16-1+deb10u1) [security]
- Ruby documentation tool - documentation
- yaret (2.1.0-5.1)
- console tool to turn CDs into encoded music
- yarn
- virtueel pakket geboden door cmdtest
- yarnpkg (1.13.0-1+deb10u1)
- Fast, reliable and secure npm alternative
- yasat (848-1)
- simple stupid audit tool
- yash (2.48-1)
- yet another shell
- yaskkserv (1.1.0-2)
- small and fast SKK dictionary server intended for embedded use
- yasm (1.3.0-2+b1)
- modular assembler with multiple syntaxes support
- yasnippet (0.13.0-2)
- transition Package, yasnippet to elpa-yasnippet
- yasnippet
- virtueel pakket geboden door elpa-yasnippet
- yasnippet-snippets (0.9-1)
- transition package, yasnippet-snippets to elpa-yasnippet-snippets
- yasnippet-snippets
- virtueel pakket geboden door elpa-yasnippet-snippets
- yasr (0.6.9-8)
- General-purpose console screen reader
- yasw (0.6-2)
- Yet Another Scan Wizard
- yatex (1.81-2)
- Yet Another TeX mode for Emacs
- yatm (0.9-2)
- Command line audio file player with time stretching capabilities
- yavta (0.0+git20190114.e21525b-1)
- Yet Another V4L2 Test Application
- yaws (2.0.6+dfsg-1+deb10u1)
- High performance HTTP 1.1 webserver written in Erlang
- yaws-chat (2.0.6+dfsg-1+deb10u1)
- Chat application for Yaws webserver
- yaws-doc (2.0.6+dfsg-1+deb10u1)
- Documentation and examples for Yaws webserver
- yaws-mail (2.0.6+dfsg-1+deb10u1)
- Webmail application for Yaws webserver
- yaws-wiki (2.0.6+dfsg-1+deb10u1)
- Wiki application for Yaws webserver
- yaws-yapp (2.0.6+dfsg-1+deb10u1)
- Provides an easy way to deploy applications for Yaws webserver
- yaz (5.27.1-2)
- utilities for YAZ Z39.50 toolkit
- yaz-doc (5.27.1-2)
- YAZ Z39.50 toolkit (documentation)
- yaz-icu (5.27.1-2)
- command line utility for ICU utilities of YAZ
- yaz-illclient (5.27.1-2)
- utility for ISO ILL of YAZ
- yazc (0.4.1-1)
- Command line tool for the libzc library
- yc-el (5.0.0-8)
- Yet another Canna client for Emacsen
- ycmd (0+20181101+git600f54d-0.1+b2)
- code-completion & comprehension server
- ycmd-core-version (= 41)
- virtueel pakket geboden door ycmd
- ydpdict (1.0.2+1.0.3-2+b2) [contrib]
- interface for Collins and Langenscheidt dictionaries
- yeahconsole (0.3.4-5)
- drop-down X terminal emulator wrapper
- yelp (3.31.90-1)
- Documentatiebrowser voor GNOME
- yelp-tools (3.31.90-1)
- Yelp documentation tools
- yelp-xsl (3.31.90-1)
- XSL stylesheets for the yelp help browser
- yersinia (0.8.2-2+b1)
- Network vulnerabilities check software
- yesod (1.6.0.3-4)
- Helper executables for the Haskell web framework Yesod
- yforth (0.2.1-1)
- small freeware Forth environment in ANSI C
- yhsm-daemon (1.2.1-1)
- YubiHSM server daemon
- yhsm-tools (1.2.1-1)
- Common files for YubiHSM applications
- yhsm-validation-server (1.2.1-1)
- Validation server using YubiHSM
- yhsm-yubikey-ksm (1.2.1-1)
- Yubikey Key Storage Module using YubiHSM
- yi (0.18.0-1)
- Haskell-Scriptable Editor
- yiyantang (0.7.0-5+b1)
- Terminal-based Chinese automatic encoding converter
- ykush-control (1.1.0+ds-1)
- control application for Yepkit YKUSH Switchable USB Hub board
- yodl (4.02.00-3)
- Your Own Document Language (Yodl) is a pre-document language
- yodl-doc (4.02.00-3)
- Documentation for Your Own Document Language (Yodl)
- yokadi (1.1.1-2)
- commandline todo system
- yorick (2.2.04+dfsg1-10)
- interpreted language and scientific graphics
- yorick-av (0.0.5-1+b1)
- write movies from Yorick in various formats
- yorick-cubeview (2.2-2)
- 3D FITS data viewer specialized in spectro-imaging
- yorick-curses (0.1-6+b2)
- interface to the (n)curses library for the Yorick language
- yorick-data (2.2.04+dfsg1-10)
- interpreted library for the Yorick language
- yorick-dev (2.2.04+dfsg1-10)
- development files for the Yorick interpreted language
- yorick-doc (2.2.04+dfsg1-10)
- documentation for the Yorick interpreted language
- yorick-full (2.2.04+dfsg1+full)
- full installation of the Yorick interpreter and add-ons
- yorick-gl (1.1+cvs20070922+dfsg-6.1)
- OpenGL 3D graphics support for the Yorick language
- yorick-gy (0.0.5-1)
- GObject introspection and Gtk bindings for Yorick
- yorick-gyoto (1.3.1-1)
- General relativistic geodesic integration for the Yorick language
- yorick-hdf5 (0.8.0-8+b1)
- Hierarchical Data Format 5 interface for the Yorick language
- yorick-imutil (0.5.7-3)
- fast image manipulation routines for the Yorick language
- yorick-mira (1.1.0+git20170124.3bd1c3~dfsg1-2)
- optical interferometry image reconstruction within Yorick
- yorick-ml4 (0.6.0-3)
- Matlab file format support for the Yorick language
- yorick-mpeg (0.1-3)
- MPEG output support for the Yorick language
- yorick-mpy-common (2.2.04+dfsg1-10)
- Message Passing Yorick (common files)
- yorick-mpy-mpich2 (2.2.04+dfsg1-10)
- Message Passing Yorick (MPICH2 build)
- yorick-mpy-openmpi (2.2.04+dfsg1-10)
- Message Passing Yorick (OpenMPI build)
- yorick-optimpack (1.3.2+dfsg+1.4.0-1)
- optimization of large scale problems for the Yorick language
- yorick-optimpacklegacy
- virtueel pakket geboden door yorick-optimpack
- yorick-soy (1.4.0-3)
- sparse matrix operations for the Yorick language
- yorick-spydr (0.8.2-3)
- FITS image display and simple analysis
- yorick-svipc (0.16-3)
- interprocess communication (shared memory...) for Yorick
- yorick-yao (5.4.0-1)
- Yorick-based adaptive optics system simulator
- yorick-yeti (6.4.0-1)
- utility plugin for the Yorick language
- yorick-yeti-fftw (6.4.0-1)
- FFT plugin for the Yorick language
- yorick-yeti-gsl
- virtueel pakket geboden door yorick-ygsl
- yorick-yeti-regex (6.4.0-1)
- POSIX regular expressions for the Yorick language
- yorick-yeti-tiff (6.4.0-1)
- TIFF image format input for the Yorick language
- yorick-ygsl (1.2.1-1+b1)
- GSL special functions plugin for the Yorick language
- yorick-ynfft (1.0.3-1)
- nonequispaced fast Fourier transform for Yorick
- yorick-yutils (1.5.2-1)
- various utilities for the Yorick language
- yorick-z (1.2.0+cvs20080115-5+b2)
- zlib, jpeg and png support for the Yorick language
- yoshimi (1.5.10.1-1)
- software synthesizer originally based on ZynAddSubFX2
- yoshimi-data (1.5.10.1-1)
- Presets for Yoshimi
- yoshimi-doc (1.5.10.1-1)
- Documentation for Yoshimi
- yosys (0.8-1)
- Framework for Verilog RTL synthesis
- yosys-dev (0.8-1)
- Framework for Verilog RTL synthesis (development files)
- yosys-doc (0.8-1)
- Documentation for Yosys
- yotta (0.18.5-2)
- build tool for C/C++ projects using modular components
- yotta-doc (0.18.5-2)
- build tool for C/C++ projects using modular components (documentation)
- youtube-dl (2019.01.17-1.1)
- downloader of videos from YouTube and other sites
- yowsup-cli (2.5.7-4)
- command line tool that acts as WhatsApp client
- yrmcds (1.1.8-1.1)
- memcached compatible KVS with master/slave replication
- ytalk (3.3.0-9+b3)
- enhanced talk program
- ytnef-tools (1.9.3-1)
- ytnef decoder commandline tools
- ytree (1.94-2+b1)
- File manager for terminals
- yubikey-luks (0.5.1+29.g5df2b95-1)
- YubiKey two factor authentication for LUKS disks
- yubikey-manager (2.1.0-1+deb10u1)
- Python library and command line tool for configuring a YubiKey
- yubikey-personalization (1.19.3-3+deb10u1)
- Personalization tool for Yubikey OTP tokens
- yubikey-personalization-gui (3.1.24-1)
- Graphical personalization tool for YubiKey tokens
- yubikey-server-c (0.5-1.1)
- Yubikey validation server
- yubikey-val (2.38-2)
- One-Time Password (OTP) validation server for YubiKey tokens
- yubiserver (0.6-3.1)
- Yubikey OTP and HOTP/OATH Validation Server
- yudit (2.9.6-8)
- Unicode text editor (arch-dependent binaries)
- yudit-common (2.9.6-8)
- Unicode text editor (arch-independent files)
- yudit-doc (2.9.6-8)
- Unicode text editor (Documentation)
- yui-compressor (2.4.8-2)
- JavaScript/CSS minifier
- yum (3.4.3-3)
- Advanced front-end for rpm
- yum-utils (1.1.31-4)
- Utilities based around the yum package manager
- yydebug (1.1.0-11)
- support library for the Java-based parser generator jay
- z-push (2.4.5-2)
- open source implementation of the ActiveSync protocol - metapackage
- z-push-backend-caldav (2.4.5-2)
- open source implementation of the ActiveSync protocol - CalDav backend
- z-push-backend-carddav (2.4.5-2)
- open source implementation of the ActiveSync protocol - CardDav backend
- z-push-backend-combined (2.4.5-2)
- open source implementation of the ActiveSync protocol - combine backends
- z-push-backend-galsearch-ldap (2.4.5-2)
- open source implementation of the ActiveSync protocol - LDAP search backend
- z-push-backend-imap (2.4.5-2)
- open source implementation of the ActiveSync protocol - IMAP backend
- z-push-backend-kopano (2.4.5-2)
- open source implementation of the ActiveSync protocol - Kopano backend
- z-push-backend-ldap (2.4.5-2)
- open source implementation of the ActiveSync protocol - LDAP backend
- z-push-common (2.4.5-2)
- open source implementation of the ActiveSync protocol - common files
- z-push-ipc-memcached (2.4.5-2)
- open source implementation of the ActiveSync protocol - IPC memory management
- z-push-kopano-gab2contacts (2.4.5-2)
- open source implementation of the ActiveSync protocol - Kopano GAB to contacts
- z-push-kopano-gabsync (2.4.5-2)
- open source implementation of the ActiveSync protocol - Kopano GAB sync
- z-push-state-sql (2.4.5-2)
- open source implementation of the ActiveSync protocol - MySQL state backend
- z3 (4.4.1-1~deb10u1)
- theorem prover from Microsoft Research
- z80asm (1.8-1+b2)
- assembler for the Zilog Z80 microprocessor
- z80dasm (1.1.5-1)
- disassembler for the Zilog Z80 microprocessor
- z8530-utils2 (3.0-1-9)
- Utilities for Z8530 based HDLC cards for AX.25
- z88 (13.0.0+dfsg2-6)
- Finite Element Analysis Program - runtime
- z88-data (13.0.0+dfsg2-6)
- Finite Element Analysis Program - data
- z88-doc (13.0.0+dfsg2-6)
- Finite Element Analysis Program - documentation
- zabbix-agent (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - agent
- zabbix-cli (1.7.0-1)
- Command-line interface for Zabbix monitoring system
- zabbix-frontend-php (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - PHP front-end
- zabbix-java-gateway (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - Java gateway
- zabbix-proxy-mysql (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - proxy (using MySQL)
- zabbix-proxy-pgsql (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - proxy (using PostgreSQL)
- zabbix-proxy-sqlite3 (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - proxy (using SQLite3)
- zabbix-server-mysql (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - server (using MySQL)
- zabbix-server-pgsql (1:4.0.4+dfsg-1+deb10u5) [security]
- network monitoring solution - server (using PostgreSQL)
- zalign (0.9.1-4)
- parallel local alignment of biological sequences
- zam-plugins (3.9~repack3-1)
- Collection of LV2, LADSPA, LINUX-VST and JACK plugins
- zangband (1:2.7.5pre1-12) [non-free]
- A single-player, text-based, roguelike game
- zangband-data (1:2.7.5pre1-12) [non-free]
- A single-player, text-based, roguelike game (datafiles)
- zanshin (0.5.0-2)
- to-do list manager
- zapping (0.10~cvs6-16)
- television viewer for the GNOME environment
- zaqar-common (7.0.0-1)
- OpenStack Queueing as a Service - common files
- zaqar-server (7.0.0-1)
- OpenStack Queueing as a Service - API server
- zatacka (0.1.8-5.2)
- arcade multiplayer game like nibbles
- zathura (0.4.3-1)
- document viewer with a minimalistic interface
- zathura-abi-4
- virtueel pakket geboden door zathura
- zathura-cb (0.1.8-2)
- comic book archive support for zathura
- zathura-dev (0.4.3-1)
- development files for zathura plugins
- zathura-djvu (0.2.8-1)
- DjVu support for zathura
- zathura-pdf-poppler (0.2.9-1)
- PDF support for zathura
- zathura-ps (0.2.6-1)
- PostScript support for zathura
- zaz (1.0.0~dfsg1-5+b1)
- arcade action puzzle game
- zaz-data (1.0.0~dfsg1-5)
- arcade action puzzle game - game data
- zbackup (1.4.4-3+b1)
- Versatile deduplicating backup tool
- zbar-tools (0.22-1+deb10u1) [security]
- bar code scanner and decoder (utilities)
- zcav
- virtueel pakket geboden door bonnie++
- zcode-interpreter
- virtueel pakket geboden door gargoyle-free, fizmo-ncursesw, fizmo-console, frotz, jzip, fizmo-sdl2, zoom-player, xzip
- zd1211-firmware
- virtueel pakket geboden door firmware-zd1211
- zdbsp (1.19+20181027+dfsg.1-2)
- node builder library for OpenGL-based Doom-style games
- zeal (1:0.6.1-1)
- Simple offline API documentation browser
- zec (0.12-5)
- Z-Shell Empire client
- zegrapher (3.0.2-1)
- plotting mathematical functions and sequences on the plane
- zeitgeist (1.0.1-1)
- event logging framework
- zeitgeist-core (1.0.1-1)
- event logging framework - engine
- zeitgeist-datahub (1.0.1-1)
- event logging framework - passive logging daemon
- zeitgeist-explorer (0.2-1.2)
- GUI application for monitoring and debugging zeitgeist
- zeitgeist-extension-fts
- virtueel pakket geboden door zeitgeist-core
- zemberek-java-demo (2.1.1-8.2)
- Zemberek demo application
- zemberek-server (0.7.1-12.2)
- Turkish spellcheck server
- zenity (3.30.0-2)
- Display graphical dialog boxes from shell scripts
- zenity-common (3.30.0-2)
- Display graphical dialog boxes from shell scripts (common files)
- zenlisp (2013.11.22-2+b1)
- Interpreter for purely symbolic, pure, lexically scoped dialect of LISP
- zenmap (7.70+dfsg1-6+deb10u2)
- De Network Mapper gebruikersomgeving
- zephyr-clients (3.1.2-1+b3)
- Project Athena's notification service - client programs
- zephyr-server (3.1.2-1+b3)
- Project Athena's notification service - non-Kerberos server
- zephyr-server
- virtueel pakket geboden door zephyr-server-krb5
- zephyr-server-krb5 (3.1.2-1+b3)
- The original "Instant Message" system-server with Kerberos 5
- zeroc-glacier2 (3.7.2-4)
- Glacier2 router
- zeroc-ice-all-dev (3.7.2-4)
- Ice development packages (metapackage)
- zeroc-ice-all-runtime (3.7.2-4)
- Ice run-time packages (metapackage)
- zeroc-ice-compilers (3.7.2-4)
- Slice compilers for developing Ice applications
- zeroc-ice-slice (3.7.2-4)
- Slice files for Ice
- zeroc-ice-utils (3.7.2-4)
- Ice utilities and admin tools
- zeroc-ice-utils-java (3.7.2-4)
- zeroc-icegridgui transitional package
- zeroc-icebox (3.7.2-4)
- IceBox server, a framework for Ice application services
- zeroc-icebridge (3.7.2-4)
- Bridge service
- zeroc-icegrid (3.7.2-4)
- Locate, deploy, and manage Ice servers
- zeroc-icegridgui (3.7.2-4)
- IceGrid Admin graphical client
- zeroc-icepatch2 (3.7.2-4)
- File distribution and patching
- zerofree (1.1.1-1)
- zero free blocks from ext2, ext3 and ext4 file-systems
- zeroinstall-injector
- virtueel pakket geboden door 0install-core
- zfs-auto-snapshot (1.2.4-2) [contrib]
- ZFS automatic snapshot service
- zfs-dbg (0.7.12-2+deb10u3) [contrib] [security]
- Debugging symbols for OpenZFS userland libraries and tools
- zfs-dkms (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS filesystem kernel modules for Linux
- zfs-dracut (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS root filesystem capabilities for Linux - dracut
- zfs-fuse (0.7.0-19)
- ZFS on FUSE
- zfs-initramfs (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS root filesystem capabilities for Linux - initramfs
- zfs-modules
- virtueel pakket geboden door zfs-dkms
- zfs-test (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS test infrastructure an support scripts
- zfs-zed (0.7.12-2+deb10u3) [contrib] [security]
- OpenZFS Event Daemon
- zfsnap (1.11.1-5.1)
- Automatic snapshot creation and removal for ZFS
- zfsutils
- virtueel pakket geboden door zfsutils-linux
- zfsutils-linux (0.7.12-2+deb10u3) [contrib] [security]
- command-line tools to manage OpenZFS filesystems
- zftp (20061220+dfsg3-4.4)
- CERNLIB data analysis suite - file transfer program
- zgen (0~20150919-3)
- Lightweight plugin manager for ZSH inspired by Antigen
- zh-autoconvert (0.3.16-5)
- Chinese HZ/GB/BIG5/UTF-16/UTF-7/UTF-8 encodings auto-converter
- zhcon (1:0.2.6-16)
- Fast console CJK system using FrameBuffer (main program)
- zhcon-data (1:0.2.6-16)
- Fast console CJK system using FrameBuffer (data files)
- zhpy
- virtueel pakket geboden door python-zhpy
- zile (2.4.14-7+b1)
- very small Emacs-subset editor
- zim (0.68-1)
- graphical text editor based on wiki technologies
- zimpl (3.3.6-1)
- mathematical modeling language for optimization problems
- zimwriterfs (1.2-1)
- creates ZIM files from a locally-stored directory
- zinnia-utils (0.06-4)
- utils for the zinnia library
- zip (3.0-11+b1)
- Archiveerder voor .zip bestanden
- zipalign (1:8.1.0+r23-2)
- Zip archive alignment tool
- zipalign
- virtueel pakket geboden door google-android-build-tools-installer
- zipcmp (1.5.1-4)
- compare contents of zip archives
- zipmerge (1.5.1-4)
- merge zip archives
- zipper.app (1.5-3)
- Archive manager for GNUstep
- ziproxy (3.3.1-2.1)
- compressing HTTP proxy server
- ziptime (1:8.1.0+r23-2)
- Zip archive timestamp remover
- ziptool (1.5.1-4)
- modify zip archives
- zita-ajbridge (0.7.0-1)
- alsa to jack bridge
- zita-alsa-pcmi-utils (0.2.0-4)
- Two simple demo programs zita-alsa-pcmi library
- zita-at1 (0.6.0-1.1)
- JACK autotuner
- zita-bls1 (0.1.0-3.1)
- binaural stereo signals converter
- zita-lrx (0.1.0-3)
- Command line jack application providing crossover filters
- zita-mu1 (0.2.2-3)
- organise stereo monitoring for Jack Audio Connection Kit
- zita-njbridge (0.4.1-1)
- Jack clients to transmit multichannel audio over a local IP network
- zita-resampler (1.6.0-2)
- resampler application written with libzita-resampler
- zita-rev1 (0.2.1-5.1)
- pro-audio reverb effect
- zivot (20013101-3.1+b3)
- the game of life, simple console version
- zlib-modules
- virtueel pakket geboden door kernel-image-4.19.0-21-amd64-di, kernel-image-4.19.0-20-686-di, kernel-image-4.19.0-21-arm64-di, kernel-image-4.19.0-21-686-di, zlib-modules-4.19.0-21-armmp-di, kernel-image-4.19.0-20-amd64-di, kernel-image-4.19.0-21-686-pae-di, kernel-image-4.19.0-20-686-pae-di, kernel-image-4.19.0-20-arm64-di, zlib-modules-4.19.0-20-armmp-di
- zlib-modules-4.19.0-20-armmp-di (4.19.235-1)
- zlib modules
- zlib-modules-4.19.0-21-armmp-di (4.19.249-2)
- zlib modules
- zlib1g (1:1.2.11.dfsg-1+deb10u2) [security]
- compressiebibliotheek - programma's
- zlib1g-dbg (1:1.2.11.dfsg-1+deb10u2) [security]
- compressie bibliotheek - voor ontwikkeling
- zlib1g-dev (1:1.2.11.dfsg-1+deb10u2) [security]
- compressie bibliotheek - voor ontwikkeling
- zlib1g-udeb (1:1.2.11.dfsg-1+deb10u1)
- compression library - runtime for Debian installer
- zlibc (0.9k-4.3)
- An on-fly auto-uncompressing C library
- zmakebas (1.2-1.1+b2)
- convert text files into ZX Spectrum Basic programs
- zmap (2.1.1-2+b4)
- network scanner for researchers
- zmf2epub (0.9.6-2)
- Zoner Draw to EPUB converter
- zmf2odg (0.9.6-2)
- Zoner Draw to OpenDocument converter
- znc (1.7.2-3)
- advanced modular IRC bouncer
- znc-backlog (0.20180824-1+b3)
- module for requesting backlog from znc bouncer
- znc-dev (1.7.2-3)
- advanced modular IRC bouncer (development headers)
- znc-perl (1.7.2-3)
- advanced modular IRC bouncer (Perl extension)
- znc-python (1.7.2-3)
- advanced modular IRC bouncer (Python extension)
- znc-tcl (1.7.2-3)
- advanced modular IRC bouncer (Tcl extension)
- zoem (11-166-1.2)
- general-purpose macro/programming language for transforming text
- zomg (0.8-3)
- console-based libre.fm submission and radio client
- zonemaster-cli (1.0.5-1)
- run Zonemaster tests from the command line
- zookeeper (3.4.13-2+deb10u1) [security]
- High-performance coordination service for distributed applications
- zookeeper-bin (3.4.13-2+deb10u1) [security]
- Command line utilities for zookeeper
- zookeeperd (3.4.13-2+deb10u1) [security]
- Init control scripts for zookeeper
- zoom-player (1.1.5~dfsg-5)
- player for Z-Code stories or games
- zope-common (0.5.54)
- common settings and scripts for Zope installations
- zope-debhelper (0.3.16)
- debhelper script for zope packaging
- zopfli (1.0.2-1)
- zlib (gzip, deflate) compatible compressor
- zoph (0.9.9-1)
- Web based digital image presentation and management system
- zpaq (7.15-1)
- maximum reference compressor for ZPAQ open standard
- zplug (2.4.2-1)
- next-generation plugin manager for zsh
- zpspell (0.4.3-4.1+b2)
- Command line interface for zemberek-server
- zram-tools (0.3.2.1-1)
- utilities for working with zram
- zsh (5.7.1-1+deb10u1)
- shell with lots of features
- zsh-antigen (2.2.3-2)
- manage your zsh plugins
- zsh-autosuggestions (0.5.0-1)
- Fish-like fast/unobtrusive autosuggestions for zsh
- zsh-common (5.7.1-1+deb10u1)
- architecture independent files for Zsh
- zsh-dev (5.7.1-1+deb10u1)
- shell with lots of features (development files)
- zsh-doc (5.7.1-1+deb10u1)
- zsh documentatie - info/HTML-formaat
- zsh-static (5.7.1-1+deb10u1)
- shell with lots of features (static link)
- zsh-syntax-highlighting (0.6.0-3)
- Fish shell like syntax highlighting for zsh
- zsh-theme-powerlevel9k (0.6.6-1)
- powerlevel9k is a theme for zsh which uses powerline fonts
- zsnes (1.510+bz2-8+b3)
- Emulator of the Super Nintendo Entertainment System
- zsnes-dbg (1.510+bz2-8+b3)
- Emulator of the Super Nintendo Entertainment System - debugging symbols
- zssh (1.5c.debian.1-7)
- interactive file transfers over ssh
- zstd (1.3.8+dfsg-3+deb10u2)
- fast lossless compression algorithm -- CLI tool
- zsync (0.6.2-3)
- client-side implementation of the rsync algorithm
- ztex-bmp (20120314-2)
- universal macro processor
- zulucrypt-cli (5.4.0-3)
- tool for encrypting volumes
- zulucrypt-gui (5.4.0-3)
- graphical front end for zulucrypt-cli
- zulumount-cli (5.4.0-3)
- tool that manages encrypted volumes
- zulumount-gui (5.4.0-3)
- graphical front end for zulumount-cli
- zulupolkit (5.4.0-3)
- handler the polkit privileges
- zulusafe-cli (5.4.0-3)
- cli that manages encrypted volumes
- zurl (1.10.0-1)
- HTTP client worker with ZeroMQ interface
- zutils (1.8-3+b10)
- utilities for dealing with compressed files transparently
- zvbi (0.2.35-16)
- Vertical Blanking Interval (VBI) utilities
- zvmcloudconnector-api (1.2.3-1)
- z/VM Development SDK for managing z/VM - z/VM SDK API Server
- zvmcloudconnector-common (1.2.3-1)
- z/VM Development SDK for managing z/VM - Common Files
- zynadd (1+git.20100609+dfsg0-4)
- ZynAddSubFX engines converted to LV2 plugin format
- zynaddsubfx (3.0.3-1)
- Realtime software synthesizer for Linux
- zynaddsubfx-data (3.0.3-1)
- Realtime software synthesizer for Linux (data)
- zynaddsubfx-dssi (3.0.3-1)
- dssi plugin of zynaddsubfx
- zypper (1.14.11-1)
- command line software manager using libzypp
- zypper-common (1.14.11-1)
- command line software manager using libzypp (common files)
- zypper-doc (1.14.11-1)
- command line software manager using libzypp (documentation)
- zziplib-bin (0.13.62-3.2+deb10u1)
- library providing read access on ZIP-archives - binaries
- zzuf (0.15-1+b1)
- transparent application fuzzer